diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 224edea7..7918a6e7 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2706,3664 +2706,3721 @@ circuit el2_lsu_bus_buffer : buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] - node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 401:88] + wire CmdPtr0Dec : UInt<8> + CmdPtr0Dec <= UInt<1>("h00") + wire CmdPtr1Dec : UInt<8> + CmdPtr1Dec <= UInt<1>("h00") + node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 403:55] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:42] + node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:75] + node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 403:60] + node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:87] + node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 403:85] + node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 403:55] + node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:42] + node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:75] + node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 403:60] + node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:87] + node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 403:85] + node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 403:55] + node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:42] + node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:75] + node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 403:60] + node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:87] + node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 403:85] + node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 403:55] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:42] + node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:75] + node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 403:60] + node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:87] + node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 403:85] node _T_1910 = cat(_T_1909, _T_1903) @[Cat.scala 29:58] node _T_1911 = cat(_T_1910, _T_1897) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_1911, _T_1891) @[Cat.scala 29:58] - node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1956 = cat(_T_1955, _T_1944) @[Cat.scala 29:58] - node _T_1957 = cat(_T_1956, _T_1933) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_1957, _T_1922) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 403:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] - node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1974 = cat(_T_1973, _T_1969) @[Cat.scala 29:58] - node _T_1975 = cat(_T_1974, _T_1965) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_1975, _T_1961) @[Cat.scala 29:58] - node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:31] - found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 406:17] - node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 407:31] - found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 407:17] - node _T_1978 = bits(CmdPtr0Dec, 0, 0) @[OneHot.scala 47:40] - node _T_1979 = bits(CmdPtr0Dec, 1, 1) @[OneHot.scala 47:40] - node _T_1980 = bits(CmdPtr0Dec, 2, 2) @[OneHot.scala 47:40] - node _T_1981 = bits(CmdPtr0Dec, 3, 3) @[OneHot.scala 47:40] - node _T_1982 = mux(_T_1980, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] - node _T_1983 = mux(_T_1979, UInt<1>("h01"), _T_1982) @[Mux.scala 47:69] - node CmdPtr0 = mux(_T_1978, UInt<1>("h00"), _T_1983) @[Mux.scala 47:69] - io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 410:11] - node _T_1984 = bits(CmdPtr1Dec, 0, 0) @[OneHot.scala 47:40] - node _T_1985 = bits(CmdPtr1Dec, 1, 1) @[OneHot.scala 47:40] - node _T_1986 = bits(CmdPtr1Dec, 2, 2) @[OneHot.scala 47:40] - node _T_1987 = bits(CmdPtr1Dec, 3, 3) @[OneHot.scala 47:40] - node _T_1988 = mux(_T_1986, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] - node _T_1989 = mux(_T_1985, UInt<1>("h01"), _T_1988) @[Mux.scala 47:69] - node CmdPtr1 = mux(_T_1984, UInt<1>("h00"), _T_1989) @[Mux.scala 47:69] - node _T_1990 = bits(RspPtrDec, 0, 0) @[OneHot.scala 47:40] - node _T_1991 = bits(RspPtrDec, 1, 1) @[OneHot.scala 47:40] - node _T_1992 = bits(RspPtrDec, 2, 2) @[OneHot.scala 47:40] - node _T_1993 = bits(RspPtrDec, 3, 3) @[OneHot.scala 47:40] - node _T_1994 = mux(_T_1992, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] - node _T_1995 = mux(_T_1991, UInt<1>("h01"), _T_1994) @[Mux.scala 47:69] - node RspPtr = mux(_T_1990, UInt<1>("h00"), _T_1995) @[Mux.scala 47:69] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 413:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 415:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 417:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 419:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 421:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] - node _T_1996 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_1997 = and(_T_1996, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_1998 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_1999 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2000 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2001 = and(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2002 = or(_T_1998, _T_2001) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2003 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2004 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2006 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2008 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2010 = or(_T_2002, _T_2009) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2011 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2012 = and(_T_2011, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2013 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2014 = and(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2015 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2017 = or(_T_2010, _T_2016) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2018 = and(_T_1997, _T_2017) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2019 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2020 = or(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2021 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2022 = and(_T_2021, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2023 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2024 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2025 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2026 = and(_T_2024, _T_2025) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2027 = or(_T_2023, _T_2026) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2031 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2033 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2035 = or(_T_2027, _T_2034) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2037 = and(_T_2036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2038 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2039 = and(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2040 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2041 = and(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2042 = or(_T_2035, _T_2041) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2043 = and(_T_2022, _T_2042) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2044 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2045 = or(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2046 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2047 = and(_T_2046, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2048 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2049 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2050 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2052 = or(_T_2048, _T_2051) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2053 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2054 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2056 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2058 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2060 = or(_T_2052, _T_2059) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2061 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2062 = and(_T_2061, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2063 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2067 = or(_T_2060, _T_2066) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2068 = and(_T_2047, _T_2067) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2069 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2070 = or(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2071 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2072 = and(_T_2071, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2073 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2074 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2075 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2076 = and(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2077 = or(_T_2073, _T_2076) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2078 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2079 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2081 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2082 = and(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2083 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2084 = and(_T_2082, _T_2083) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2085 = or(_T_2077, _T_2084) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2086 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2087 = and(_T_2086, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2088 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2092 = or(_T_2085, _T_2091) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2093 = and(_T_2072, _T_2092) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2094 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2096 = cat(_T_2095, _T_2070) @[Cat.scala 29:58] - node _T_2097 = cat(_T_2096, _T_2045) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2097, _T_2020) @[Cat.scala 29:58] - node _T_2098 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2099 = and(_T_2098, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2100 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2101 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2102 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2103 = and(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2104 = or(_T_2100, _T_2103) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2106 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2107 = and(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2108 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2110 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2111 = and(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2112 = or(_T_2104, _T_2111) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2113 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2114 = and(_T_2113, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2115 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2117 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2118 = and(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2119 = or(_T_2112, _T_2118) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2120 = and(_T_2099, _T_2119) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2121 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2123 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2124 = and(_T_2123, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2125 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2126 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2127 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2128 = and(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2129 = or(_T_2125, _T_2128) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2130 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2131 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2132 = and(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2133 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2135 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2136 = and(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2137 = or(_T_2129, _T_2136) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2138 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2139 = and(_T_2138, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2140 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2142 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2144 = or(_T_2137, _T_2143) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2145 = and(_T_2124, _T_2144) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2146 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2147 = or(_T_2145, _T_2146) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2148 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2149 = and(_T_2148, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2150 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2151 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2152 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2154 = or(_T_2150, _T_2153) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2155 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2156 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2158 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2159 = and(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2160 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2162 = or(_T_2154, _T_2161) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2163 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2164 = and(_T_2163, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2165 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2167 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2169 = or(_T_2162, _T_2168) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2170 = and(_T_2149, _T_2169) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2171 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2172 = or(_T_2170, _T_2171) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2173 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2174 = and(_T_2173, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2175 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2176 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2177 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2179 = or(_T_2175, _T_2178) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2180 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2181 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2183 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2185 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2187 = or(_T_2179, _T_2186) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2188 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2189 = and(_T_2188, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2190 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2192 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2194 = or(_T_2187, _T_2193) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2195 = and(_T_2174, _T_2194) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2196 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2197 = or(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2198 = cat(_T_2197, _T_2172) @[Cat.scala 29:58] - node _T_2199 = cat(_T_2198, _T_2147) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2199, _T_2122) @[Cat.scala 29:58] - node _T_2200 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2201 = and(_T_2200, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2202 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2203 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2204 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2205 = and(_T_2203, _T_2204) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2206 = or(_T_2202, _T_2205) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2207 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2208 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2210 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2212 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2214 = or(_T_2206, _T_2213) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2215 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2216 = and(_T_2215, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2217 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2219 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2221 = or(_T_2214, _T_2220) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2222 = and(_T_2201, _T_2221) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2223 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2224 = or(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2225 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2226 = and(_T_2225, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2227 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2228 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2229 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2230 = and(_T_2228, _T_2229) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2231 = or(_T_2227, _T_2230) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2232 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2233 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2235 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2237 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2239 = or(_T_2231, _T_2238) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2240 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2241 = and(_T_2240, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2242 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2244 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2246 = or(_T_2239, _T_2245) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2247 = and(_T_2226, _T_2246) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2248 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2249 = or(_T_2247, _T_2248) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2250 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2251 = and(_T_2250, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2252 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2253 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2254 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2256 = or(_T_2252, _T_2255) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2257 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2258 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2260 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2262 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2264 = or(_T_2256, _T_2263) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2265 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2266 = and(_T_2265, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2267 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2269 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2271 = or(_T_2264, _T_2270) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2272 = and(_T_2251, _T_2271) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2273 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2274 = or(_T_2272, _T_2273) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2275 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2276 = and(_T_2275, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2277 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2278 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2279 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2281 = or(_T_2277, _T_2280) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2282 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2283 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2285 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2287 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2289 = or(_T_2281, _T_2288) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2290 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2291 = and(_T_2290, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2292 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2294 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2296 = or(_T_2289, _T_2295) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2297 = and(_T_2276, _T_2296) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2298 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2299 = or(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2300 = cat(_T_2299, _T_2274) @[Cat.scala 29:58] - node _T_2301 = cat(_T_2300, _T_2249) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2301, _T_2224) @[Cat.scala 29:58] - node _T_2302 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2303 = and(_T_2302, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2304 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2305 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2306 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2307 = and(_T_2305, _T_2306) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2308 = or(_T_2304, _T_2307) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2309 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2310 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2312 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2314 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2316 = or(_T_2308, _T_2315) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2317 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2318 = and(_T_2317, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2319 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2321 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2323 = or(_T_2316, _T_2322) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2324 = and(_T_2303, _T_2323) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2325 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2326 = or(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2327 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2328 = and(_T_2327, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2329 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2330 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2332 = and(_T_2330, _T_2331) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2333 = or(_T_2329, _T_2332) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2334 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2335 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2337 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2339 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2341 = or(_T_2333, _T_2340) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2342 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2343 = and(_T_2342, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2344 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2346 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2348 = or(_T_2341, _T_2347) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2349 = and(_T_2328, _T_2348) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2350 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2351 = or(_T_2349, _T_2350) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2352 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2353 = and(_T_2352, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2354 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2355 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2356 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2358 = or(_T_2354, _T_2357) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2359 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2360 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2362 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2364 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2366 = or(_T_2358, _T_2365) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2367 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2368 = and(_T_2367, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2369 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2371 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2373 = or(_T_2366, _T_2372) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2374 = and(_T_2353, _T_2373) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2375 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2376 = or(_T_2374, _T_2375) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2377 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2378 = and(_T_2377, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2379 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2380 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2381 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2383 = or(_T_2379, _T_2382) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2384 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2385 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2387 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2389 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2391 = or(_T_2383, _T_2390) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2392 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2393 = and(_T_2392, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2394 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2396 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2398 = or(_T_2391, _T_2397) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2399 = and(_T_2378, _T_2398) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2400 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2401 = or(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2402 = cat(_T_2401, _T_2376) @[Cat.scala 29:58] - node _T_2403 = cat(_T_2402, _T_2351) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2403, _T_2326) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 428:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] - node _T_2404 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2405 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2406 = and(_T_2405, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2407 = and(_T_2404, _T_2406) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2408 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2409 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2410 = and(_T_2409, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2411 = and(_T_2408, _T_2410) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2412 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2413 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2414 = and(_T_2413, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2415 = and(_T_2412, _T_2414) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2416 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2417 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2418 = and(_T_2417, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2419 = and(_T_2416, _T_2418) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2420 = cat(_T_2419, _T_2415) @[Cat.scala 29:58] - node _T_2421 = cat(_T_2420, _T_2411) @[Cat.scala 29:58] - node _T_2422 = cat(_T_2421, _T_2407) @[Cat.scala 29:58] - node _T_2423 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2424 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2425 = and(_T_2424, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2426 = and(_T_2423, _T_2425) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2427 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2428 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2429 = and(_T_2428, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2430 = and(_T_2427, _T_2429) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2431 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2432 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2433 = and(_T_2432, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2434 = and(_T_2431, _T_2433) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2435 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2437 = and(_T_2436, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2438 = and(_T_2435, _T_2437) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2439 = cat(_T_2438, _T_2434) @[Cat.scala 29:58] - node _T_2440 = cat(_T_2439, _T_2430) @[Cat.scala 29:58] - node _T_2441 = cat(_T_2440, _T_2426) @[Cat.scala 29:58] - node _T_2442 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2444 = and(_T_2443, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2445 = and(_T_2442, _T_2444) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2446 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2447 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2448 = and(_T_2447, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2449 = and(_T_2446, _T_2448) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2450 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2451 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2452 = and(_T_2451, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2453 = and(_T_2450, _T_2452) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2454 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2456 = and(_T_2455, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2457 = and(_T_2454, _T_2456) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2458 = cat(_T_2457, _T_2453) @[Cat.scala 29:58] - node _T_2459 = cat(_T_2458, _T_2449) @[Cat.scala 29:58] - node _T_2460 = cat(_T_2459, _T_2445) @[Cat.scala 29:58] - node _T_2461 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2465 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2469 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2473 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] - node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] - node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - buf_age[0] <= _T_2422 @[el2_lsu_bus_buffer.scala 430:13] - buf_age[1] <= _T_2441 @[el2_lsu_bus_buffer.scala 430:13] - buf_age[2] <= _T_2460 @[el2_lsu_bus_buffer.scala 430:13] - buf_age[3] <= _T_2479 @[el2_lsu_bus_buffer.scala 430:13] - node _T_2480 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2481 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2482 = eq(_T_2481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2483 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2485 = mux(_T_2480, UInt<1>("h00"), _T_2484) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2486 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2487 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2488 = eq(_T_2487, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2489 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2490 = and(_T_2488, _T_2489) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2491 = mux(_T_2486, UInt<1>("h00"), _T_2490) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2492 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2493 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2494 = eq(_T_2493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2495 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2496 = and(_T_2494, _T_2495) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2497 = mux(_T_2492, UInt<1>("h00"), _T_2496) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2498 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2499 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2500 = eq(_T_2499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2501 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2502 = and(_T_2500, _T_2501) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2503 = mux(_T_2498, UInt<1>("h00"), _T_2502) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2504 = cat(_T_2503, _T_2497) @[Cat.scala 29:58] - node _T_2505 = cat(_T_2504, _T_2491) @[Cat.scala 29:58] - node _T_2506 = cat(_T_2505, _T_2485) @[Cat.scala 29:58] - node _T_2507 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2508 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2510 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2511 = and(_T_2509, _T_2510) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2512 = mux(_T_2507, UInt<1>("h00"), _T_2511) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2513 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2514 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2515 = eq(_T_2514, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2516 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2518 = mux(_T_2513, UInt<1>("h00"), _T_2517) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2519 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2520 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2521 = eq(_T_2520, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2522 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2524 = mux(_T_2519, UInt<1>("h00"), _T_2523) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2525 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2526 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2527 = eq(_T_2526, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2528 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2530 = mux(_T_2525, UInt<1>("h00"), _T_2529) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2531 = cat(_T_2530, _T_2524) @[Cat.scala 29:58] - node _T_2532 = cat(_T_2531, _T_2518) @[Cat.scala 29:58] - node _T_2533 = cat(_T_2532, _T_2512) @[Cat.scala 29:58] - node _T_2534 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2535 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2536 = eq(_T_2535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2537 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2539 = mux(_T_2534, UInt<1>("h00"), _T_2538) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2540 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2541 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2542 = eq(_T_2541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2543 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2545 = mux(_T_2540, UInt<1>("h00"), _T_2544) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2546 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2547 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2548 = eq(_T_2547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2549 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2551 = mux(_T_2546, UInt<1>("h00"), _T_2550) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2552 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2553 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2555 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2556 = and(_T_2554, _T_2555) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2557 = mux(_T_2552, UInt<1>("h00"), _T_2556) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2558 = cat(_T_2557, _T_2551) @[Cat.scala 29:58] - node _T_2559 = cat(_T_2558, _T_2545) @[Cat.scala 29:58] - node _T_2560 = cat(_T_2559, _T_2539) @[Cat.scala 29:58] - node _T_2561 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2562 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2564 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2566 = mux(_T_2561, UInt<1>("h00"), _T_2565) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2567 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2568 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2570 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2571 = and(_T_2569, _T_2570) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2572 = mux(_T_2567, UInt<1>("h00"), _T_2571) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2573 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2574 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2576 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2577 = and(_T_2575, _T_2576) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2578 = mux(_T_2573, UInt<1>("h00"), _T_2577) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2579 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2580 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2581 = eq(_T_2580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2582 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2583 = and(_T_2581, _T_2582) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2584 = mux(_T_2579, UInt<1>("h00"), _T_2583) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2585 = cat(_T_2584, _T_2578) @[Cat.scala 29:58] - node _T_2586 = cat(_T_2585, _T_2572) @[Cat.scala 29:58] - node _T_2587 = cat(_T_2586, _T_2566) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2506 @[el2_lsu_bus_buffer.scala 431:21] - buf_age_younger[1] <= _T_2533 @[el2_lsu_bus_buffer.scala 431:21] - buf_age_younger[2] <= _T_2560 @[el2_lsu_bus_buffer.scala 431:21] - buf_age_younger[3] <= _T_2587 @[el2_lsu_bus_buffer.scala 431:21] - node _T_2588 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2589 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2590 = and(_T_2588, _T_2589) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2591 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2592 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2593 = and(_T_2591, _T_2592) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2594 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2595 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2596 = and(_T_2594, _T_2595) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2597 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2598 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2599 = and(_T_2597, _T_2598) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2600 = cat(_T_2599, _T_2596) @[Cat.scala 29:58] - node _T_2601 = cat(_T_2600, _T_2593) @[Cat.scala 29:58] - node _T_2602 = cat(_T_2601, _T_2590) @[Cat.scala 29:58] - node _T_2603 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2604 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2605 = and(_T_2603, _T_2604) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2606 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2607 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2608 = and(_T_2606, _T_2607) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2609 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2610 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2611 = and(_T_2609, _T_2610) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2612 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2613 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2614 = and(_T_2612, _T_2613) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2615 = cat(_T_2614, _T_2611) @[Cat.scala 29:58] - node _T_2616 = cat(_T_2615, _T_2608) @[Cat.scala 29:58] - node _T_2617 = cat(_T_2616, _T_2605) @[Cat.scala 29:58] - node _T_2618 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2619 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2620 = and(_T_2618, _T_2619) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2621 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2622 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2623 = and(_T_2621, _T_2622) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2624 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2625 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2626 = and(_T_2624, _T_2625) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2627 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2628 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2629 = and(_T_2627, _T_2628) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2630 = cat(_T_2629, _T_2626) @[Cat.scala 29:58] - node _T_2631 = cat(_T_2630, _T_2623) @[Cat.scala 29:58] - node _T_2632 = cat(_T_2631, _T_2620) @[Cat.scala 29:58] - node _T_2633 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2634 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2635 = and(_T_2633, _T_2634) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2636 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2637 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2638 = and(_T_2636, _T_2637) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2639 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2640 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2641 = and(_T_2639, _T_2640) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2642 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2643 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2645 = cat(_T_2644, _T_2641) @[Cat.scala 29:58] - node _T_2646 = cat(_T_2645, _T_2638) @[Cat.scala 29:58] - node _T_2647 = cat(_T_2646, _T_2635) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2602 @[el2_lsu_bus_buffer.scala 432:21] - buf_rsp_pickage[1] <= _T_2617 @[el2_lsu_bus_buffer.scala 432:21] - buf_rsp_pickage[2] <= _T_2632 @[el2_lsu_bus_buffer.scala 432:21] - buf_rsp_pickage[3] <= _T_2647 @[el2_lsu_bus_buffer.scala 432:21] - node _T_2648 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2649 = and(_T_2648, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2650 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2651 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2652 = or(_T_2650, _T_2651) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2654 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2655 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2657 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2658 = and(_T_2656, _T_2657) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2659 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2660 = and(_T_2658, _T_2659) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2661 = or(_T_2653, _T_2660) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2662 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2663 = and(_T_2662, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2664 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2666 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2667 = and(_T_2665, _T_2666) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2668 = or(_T_2661, _T_2667) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2669 = and(_T_2649, _T_2668) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2670 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2671 = and(_T_2670, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2672 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2673 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2674 = or(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2676 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2677 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2679 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2681 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2683 = or(_T_2675, _T_2682) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2684 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2685 = and(_T_2684, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2686 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2688 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2690 = or(_T_2683, _T_2689) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2691 = and(_T_2671, _T_2690) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2692 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2693 = and(_T_2692, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2694 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2695 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2696 = or(_T_2694, _T_2695) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2697 = eq(_T_2696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2698 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2699 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2701 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2703 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2705 = or(_T_2697, _T_2704) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2706 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2707 = and(_T_2706, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2708 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2710 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2711 = and(_T_2709, _T_2710) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2712 = or(_T_2705, _T_2711) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2713 = and(_T_2693, _T_2712) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2714 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2715 = and(_T_2714, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2716 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2717 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2718 = or(_T_2716, _T_2717) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2720 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2725 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2727 = or(_T_2719, _T_2726) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2728 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2729 = and(_T_2728, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2730 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2732 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2733 = and(_T_2731, _T_2732) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2734 = or(_T_2727, _T_2733) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2735 = and(_T_2715, _T_2734) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2736 = cat(_T_2735, _T_2713) @[Cat.scala 29:58] - node _T_2737 = cat(_T_2736, _T_2691) @[Cat.scala 29:58] - node _T_2738 = cat(_T_2737, _T_2669) @[Cat.scala 29:58] - node _T_2739 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2740 = and(_T_2739, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2741 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2742 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2743 = or(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2745 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2748 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2750 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2751 = and(_T_2749, _T_2750) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2752 = or(_T_2744, _T_2751) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2753 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2754 = and(_T_2753, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2755 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2757 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2759 = or(_T_2752, _T_2758) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2760 = and(_T_2740, _T_2759) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2762 = and(_T_2761, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2763 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2764 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2765 = or(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2766 = eq(_T_2765, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2767 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2770 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2772 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2774 = or(_T_2766, _T_2773) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2775 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2776 = and(_T_2775, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2777 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2779 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2781 = or(_T_2774, _T_2780) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2782 = and(_T_2762, _T_2781) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2783 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2784 = and(_T_2783, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2785 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2786 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2787 = or(_T_2785, _T_2786) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2788 = eq(_T_2787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2789 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2790 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2792 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2794 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2796 = or(_T_2788, _T_2795) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2797 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2798 = and(_T_2797, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2799 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2801 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2803 = or(_T_2796, _T_2802) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2804 = and(_T_2784, _T_2803) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2805 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2806 = and(_T_2805, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2807 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2808 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2809 = or(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2810 = eq(_T_2809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2811 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2812 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2816 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2817 = and(_T_2815, _T_2816) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2818 = or(_T_2810, _T_2817) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2819 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2820 = and(_T_2819, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2821 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2823 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2825 = or(_T_2818, _T_2824) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2826 = and(_T_2806, _T_2825) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2827 = cat(_T_2826, _T_2804) @[Cat.scala 29:58] - node _T_2828 = cat(_T_2827, _T_2782) @[Cat.scala 29:58] - node _T_2829 = cat(_T_2828, _T_2760) @[Cat.scala 29:58] - node _T_2830 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2831 = and(_T_2830, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2832 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2833 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2839 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2841 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2846 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2852 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2853 = and(_T_2852, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2854 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2855 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2863 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2868 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2870 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2875 = and(_T_2874, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2876 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2877 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2885 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2890 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2892 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2897 = and(_T_2896, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2898 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2899 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2900 = or(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2901 = eq(_T_2900, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2902 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2903 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2905 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2907 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2908 = and(_T_2906, _T_2907) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2909 = or(_T_2901, _T_2908) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2910 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2911 = and(_T_2910, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2912 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2914 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2916 = or(_T_2909, _T_2915) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2917 = and(_T_2897, _T_2916) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2918 = cat(_T_2917, _T_2895) @[Cat.scala 29:58] - node _T_2919 = cat(_T_2918, _T_2873) @[Cat.scala 29:58] - node _T_2920 = cat(_T_2919, _T_2851) @[Cat.scala 29:58] - node _T_2921 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2922 = and(_T_2921, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2923 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2924 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2930 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2932 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2937 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2939 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2944 = and(_T_2943, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2945 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2946 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2952 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2954 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2959 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2965 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2966 = and(_T_2965, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2967 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2968 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2974 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2976 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2981 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2983 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2988 = and(_T_2987, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2989 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2990 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2991 = or(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2992 = eq(_T_2991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2993 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2994 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2996 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2998 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2999 = and(_T_2997, _T_2998) @[el2_lsu_bus_buffer.scala 436:92] - node _T_3000 = or(_T_2992, _T_2999) @[el2_lsu_bus_buffer.scala 435:61] - node _T_3001 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_3002 = and(_T_3001, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_3003 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 437:54] - node _T_3005 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 437:73] - node _T_3007 = or(_T_3000, _T_3006) @[el2_lsu_bus_buffer.scala 436:112] - node _T_3008 = and(_T_2988, _T_3007) @[el2_lsu_bus_buffer.scala 434:114] - node _T_3009 = cat(_T_3008, _T_2986) @[Cat.scala 29:58] - node _T_3010 = cat(_T_3009, _T_2964) @[Cat.scala 29:58] - node _T_3011 = cat(_T_3010, _T_2942) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2738 @[el2_lsu_bus_buffer.scala 434:20] - buf_rspage_set[1] <= _T_2829 @[el2_lsu_bus_buffer.scala 434:20] - buf_rspage_set[2] <= _T_2920 @[el2_lsu_bus_buffer.scala 434:20] - buf_rspage_set[3] <= _T_3011 @[el2_lsu_bus_buffer.scala 434:20] - node _T_3012 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3013 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3014 = or(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3015 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3016 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3017 = or(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3018 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3019 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3020 = or(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3021 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3022 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3023 = or(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3024 = cat(_T_3023, _T_3020) @[Cat.scala 29:58] - node _T_3025 = cat(_T_3024, _T_3017) @[Cat.scala 29:58] - node _T_3026 = cat(_T_3025, _T_3014) @[Cat.scala 29:58] - node _T_3027 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3028 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3029 = or(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3030 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3031 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3032 = or(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3033 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3034 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3035 = or(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3036 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3037 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3039 = cat(_T_3038, _T_3035) @[Cat.scala 29:58] - node _T_3040 = cat(_T_3039, _T_3032) @[Cat.scala 29:58] - node _T_3041 = cat(_T_3040, _T_3029) @[Cat.scala 29:58] - node _T_3042 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3043 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3044 = or(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3045 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3046 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3048 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3049 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3050 = or(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3051 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3052 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3053 = or(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3054 = cat(_T_3053, _T_3050) @[Cat.scala 29:58] - node _T_3055 = cat(_T_3054, _T_3047) @[Cat.scala 29:58] - node _T_3056 = cat(_T_3055, _T_3044) @[Cat.scala 29:58] - node _T_3057 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3058 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3059 = or(_T_3057, _T_3058) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3060 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3061 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3062 = or(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3063 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3064 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3065 = or(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3066 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3067 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3069 = cat(_T_3068, _T_3065) @[Cat.scala 29:58] - node _T_3070 = cat(_T_3069, _T_3062) @[Cat.scala 29:58] - node _T_3071 = cat(_T_3070, _T_3059) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3026 @[el2_lsu_bus_buffer.scala 438:19] - buf_rspage_in[1] <= _T_3041 @[el2_lsu_bus_buffer.scala 438:19] - buf_rspage_in[2] <= _T_3056 @[el2_lsu_bus_buffer.scala 438:19] - buf_rspage_in[3] <= _T_3071 @[el2_lsu_bus_buffer.scala 438:19] - node _T_3072 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3073 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3074 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3075 = or(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3076 = eq(_T_3075, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3077 = and(_T_3072, _T_3076) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3078 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3079 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3080 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3081 = or(_T_3079, _T_3080) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3083 = and(_T_3078, _T_3082) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3084 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3085 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3087 = or(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3088 = eq(_T_3087, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3089 = and(_T_3084, _T_3088) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3090 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3091 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3092 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3093 = or(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3094 = eq(_T_3093, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3095 = and(_T_3090, _T_3094) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3096 = cat(_T_3095, _T_3089) @[Cat.scala 29:58] - node _T_3097 = cat(_T_3096, _T_3083) @[Cat.scala 29:58] - node _T_3098 = cat(_T_3097, _T_3077) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3100 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3101 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3102 = or(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3103 = eq(_T_3102, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3104 = and(_T_3099, _T_3103) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3105 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3107 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3108 = or(_T_3106, _T_3107) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3109 = eq(_T_3108, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3110 = and(_T_3105, _T_3109) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3111 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3112 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3113 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3114 = or(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3115 = eq(_T_3114, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3116 = and(_T_3111, _T_3115) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3117 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3118 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3119 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3120 = or(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3121 = eq(_T_3120, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3122 = and(_T_3117, _T_3121) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3123 = cat(_T_3122, _T_3116) @[Cat.scala 29:58] - node _T_3124 = cat(_T_3123, _T_3110) @[Cat.scala 29:58] - node _T_3125 = cat(_T_3124, _T_3104) @[Cat.scala 29:58] - node _T_3126 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3127 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3131 = and(_T_3126, _T_3130) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3132 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3133 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3134 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3137 = and(_T_3132, _T_3136) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3138 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3139 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3140 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3141 = or(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3142 = eq(_T_3141, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3143 = and(_T_3138, _T_3142) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3144 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3145 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3146 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3147 = or(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3148 = eq(_T_3147, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3149 = and(_T_3144, _T_3148) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3150 = cat(_T_3149, _T_3143) @[Cat.scala 29:58] - node _T_3151 = cat(_T_3150, _T_3137) @[Cat.scala 29:58] - node _T_3152 = cat(_T_3151, _T_3131) @[Cat.scala 29:58] - node _T_3153 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3154 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3155 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3157 = eq(_T_3156, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3158 = and(_T_3153, _T_3157) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3159 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3160 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3161 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3164 = and(_T_3159, _T_3163) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3165 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3166 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3167 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3169 = eq(_T_3168, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3170 = and(_T_3165, _T_3169) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3171 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3172 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3173 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3175 = eq(_T_3174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3176 = and(_T_3171, _T_3175) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3177 = cat(_T_3176, _T_3170) @[Cat.scala 29:58] - node _T_3178 = cat(_T_3177, _T_3164) @[Cat.scala 29:58] - node _T_3179 = cat(_T_3178, _T_3158) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3098 @[el2_lsu_bus_buffer.scala 439:16] - buf_rspage[1] <= _T_3125 @[el2_lsu_bus_buffer.scala 439:16] - buf_rspage[2] <= _T_3152 @[el2_lsu_bus_buffer.scala 439:16] - buf_rspage[3] <= _T_3179 @[el2_lsu_bus_buffer.scala 439:16] - node _T_3180 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:77] - node _T_3181 = and(ibuf_drain_vld, _T_3180) @[el2_lsu_bus_buffer.scala 444:65] - node _T_3182 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:77] - node _T_3183 = and(ibuf_drain_vld, _T_3182) @[el2_lsu_bus_buffer.scala 444:65] - node _T_3184 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:77] - node _T_3185 = and(ibuf_drain_vld, _T_3184) @[el2_lsu_bus_buffer.scala 444:65] - node _T_3186 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:77] - node _T_3187 = and(ibuf_drain_vld, _T_3186) @[el2_lsu_bus_buffer.scala 444:65] - node _T_3188 = cat(_T_3187, _T_3185) @[Cat.scala 29:58] - node _T_3189 = cat(_T_3188, _T_3183) @[Cat.scala 29:58] - node _T_3190 = cat(_T_3189, _T_3181) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3190 @[el2_lsu_bus_buffer.scala 444:23] - node _T_3191 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:66] - node _T_3192 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3193 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] - node _T_3194 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:134] - node _T_3195 = and(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 445:123] - node _T_3196 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] - node _T_3197 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] - node _T_3198 = mux(_T_3195, _T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 445:96] - node _T_3199 = mux(_T_3191, _T_3192, _T_3198) @[el2_lsu_bus_buffer.scala 445:48] - node _T_3200 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:66] - node _T_3201 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3202 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] - node _T_3203 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:134] - node _T_3204 = and(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 445:123] - node _T_3205 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] - node _T_3206 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] - node _T_3207 = mux(_T_3204, _T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 445:96] - node _T_3208 = mux(_T_3200, _T_3201, _T_3207) @[el2_lsu_bus_buffer.scala 445:48] - node _T_3209 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:66] - node _T_3210 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3211 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] - node _T_3212 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:134] - node _T_3213 = and(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 445:123] - node _T_3214 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] - node _T_3215 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] - node _T_3216 = mux(_T_3213, _T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 445:96] - node _T_3217 = mux(_T_3209, _T_3210, _T_3216) @[el2_lsu_bus_buffer.scala 445:48] - node _T_3218 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:66] - node _T_3219 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3220 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] - node _T_3221 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:134] - node _T_3222 = and(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 445:123] - node _T_3223 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] - node _T_3224 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] - node _T_3225 = mux(_T_3222, _T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 445:96] - node _T_3226 = mux(_T_3218, _T_3219, _T_3225) @[el2_lsu_bus_buffer.scala 445:48] - buf_byteen_in[0] <= _T_3199 @[el2_lsu_bus_buffer.scala 445:19] - buf_byteen_in[1] <= _T_3208 @[el2_lsu_bus_buffer.scala 445:19] - buf_byteen_in[2] <= _T_3217 @[el2_lsu_bus_buffer.scala 445:19] - buf_byteen_in[3] <= _T_3226 @[el2_lsu_bus_buffer.scala 445:19] - node _T_3227 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:64] - node _T_3228 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] - node _T_3229 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:121] - node _T_3230 = and(_T_3228, _T_3229) @[el2_lsu_bus_buffer.scala 446:110] - node _T_3231 = mux(_T_3230, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] - node _T_3232 = mux(_T_3227, ibuf_addr, _T_3231) @[el2_lsu_bus_buffer.scala 446:46] - node _T_3233 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:64] - node _T_3234 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] - node _T_3235 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:121] - node _T_3236 = and(_T_3234, _T_3235) @[el2_lsu_bus_buffer.scala 446:110] - node _T_3237 = mux(_T_3236, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] - node _T_3238 = mux(_T_3233, ibuf_addr, _T_3237) @[el2_lsu_bus_buffer.scala 446:46] - node _T_3239 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] - node _T_3240 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] - node _T_3241 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:121] - node _T_3242 = and(_T_3240, _T_3241) @[el2_lsu_bus_buffer.scala 446:110] - node _T_3243 = mux(_T_3242, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] - node _T_3244 = mux(_T_3239, ibuf_addr, _T_3243) @[el2_lsu_bus_buffer.scala 446:46] - node _T_3245 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:64] - node _T_3246 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] - node _T_3247 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:121] - node _T_3248 = and(_T_3246, _T_3247) @[el2_lsu_bus_buffer.scala 446:110] - node _T_3249 = mux(_T_3248, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] - node _T_3250 = mux(_T_3245, ibuf_addr, _T_3249) @[el2_lsu_bus_buffer.scala 446:46] - buf_addr_in[0] <= _T_3232 @[el2_lsu_bus_buffer.scala 446:17] - buf_addr_in[1] <= _T_3238 @[el2_lsu_bus_buffer.scala 446:17] - buf_addr_in[2] <= _T_3244 @[el2_lsu_bus_buffer.scala 446:17] - buf_addr_in[3] <= _T_3250 @[el2_lsu_bus_buffer.scala 446:17] - node _T_3251 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3252 = mux(_T_3251, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] - node _T_3253 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3254 = mux(_T_3253, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] - node _T_3255 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3256 = mux(_T_3255, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] - node _T_3257 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3258 = mux(_T_3257, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] - node _T_3259 = cat(_T_3258, _T_3256) @[Cat.scala 29:58] - node _T_3260 = cat(_T_3259, _T_3254) @[Cat.scala 29:58] - node _T_3261 = cat(_T_3260, _T_3252) @[Cat.scala 29:58] - buf_dual_in <= _T_3261 @[el2_lsu_bus_buffer.scala 447:17] - node _T_3262 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3263 = mux(_T_3262, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3264 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3265 = mux(_T_3264, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3267 = mux(_T_3266, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3268 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3269 = mux(_T_3268, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3270 = cat(_T_3269, _T_3267) @[Cat.scala 29:58] - node _T_3271 = cat(_T_3270, _T_3265) @[Cat.scala 29:58] - node _T_3272 = cat(_T_3271, _T_3263) @[Cat.scala 29:58] - buf_samedw_in <= _T_3272 @[el2_lsu_bus_buffer.scala 448:19] - node _T_3273 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:68] - node _T_3274 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] - node _T_3275 = mux(_T_3273, _T_3274, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] - node _T_3276 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:68] - node _T_3277 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] - node _T_3278 = mux(_T_3276, _T_3277, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] - node _T_3279 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:68] - node _T_3280 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] - node _T_3281 = mux(_T_3279, _T_3280, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] - node _T_3282 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:68] - node _T_3283 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] - node _T_3284 = mux(_T_3282, _T_3283, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] - node _T_3285 = cat(_T_3284, _T_3281) @[Cat.scala 29:58] - node _T_3286 = cat(_T_3285, _T_3278) @[Cat.scala 29:58] - node _T_3287 = cat(_T_3286, _T_3275) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3287 @[el2_lsu_bus_buffer.scala 449:20] - node _T_3288 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3289 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3290 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:120] - node _T_3291 = and(_T_3289, _T_3290) @[el2_lsu_bus_buffer.scala 450:109] - node _T_3292 = mux(_T_3288, ibuf_dual, _T_3291) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3293 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3294 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3295 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:120] - node _T_3296 = and(_T_3294, _T_3295) @[el2_lsu_bus_buffer.scala 450:109] - node _T_3297 = mux(_T_3293, ibuf_dual, _T_3296) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3298 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3299 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3300 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:120] - node _T_3301 = and(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 450:109] - node _T_3302 = mux(_T_3298, ibuf_dual, _T_3301) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3303 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3304 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3305 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:120] - node _T_3306 = and(_T_3304, _T_3305) @[el2_lsu_bus_buffer.scala 450:109] - node _T_3307 = mux(_T_3303, ibuf_dual, _T_3306) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3308 = cat(_T_3307, _T_3302) @[Cat.scala 29:58] - node _T_3309 = cat(_T_3308, _T_3297) @[Cat.scala 29:58] - node _T_3310 = cat(_T_3309, _T_3292) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3310 @[el2_lsu_bus_buffer.scala 450:19] - node _T_3311 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3312 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] - node _T_3313 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:127] - node _T_3314 = and(_T_3312, _T_3313) @[el2_lsu_bus_buffer.scala 451:116] - node _T_3315 = mux(_T_3314, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] - node _T_3316 = mux(_T_3311, ibuf_dualtag, _T_3315) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3317 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3318 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] - node _T_3319 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:127] - node _T_3320 = and(_T_3318, _T_3319) @[el2_lsu_bus_buffer.scala 451:116] - node _T_3321 = mux(_T_3320, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] - node _T_3322 = mux(_T_3317, ibuf_dualtag, _T_3321) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3324 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] - node _T_3325 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:127] - node _T_3326 = and(_T_3324, _T_3325) @[el2_lsu_bus_buffer.scala 451:116] - node _T_3327 = mux(_T_3326, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] - node _T_3328 = mux(_T_3323, ibuf_dualtag, _T_3327) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3329 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3330 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] - node _T_3331 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:127] - node _T_3332 = and(_T_3330, _T_3331) @[el2_lsu_bus_buffer.scala 451:116] - node _T_3333 = mux(_T_3332, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] - node _T_3334 = mux(_T_3329, ibuf_dualtag, _T_3333) @[el2_lsu_bus_buffer.scala 451:49] - buf_dualtag_in[0] <= _T_3316 @[el2_lsu_bus_buffer.scala 451:20] - buf_dualtag_in[1] <= _T_3322 @[el2_lsu_bus_buffer.scala 451:20] - buf_dualtag_in[2] <= _T_3328 @[el2_lsu_bus_buffer.scala 451:20] - buf_dualtag_in[3] <= _T_3334 @[el2_lsu_bus_buffer.scala 451:20] - node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:71] - node _T_3336 = mux(_T_3335, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] - node _T_3337 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:71] - node _T_3338 = mux(_T_3337, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] - node _T_3339 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:71] - node _T_3340 = mux(_T_3339, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] - node _T_3341 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:71] - node _T_3342 = mux(_T_3341, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] - node _T_3343 = cat(_T_3342, _T_3340) @[Cat.scala 29:58] - node _T_3344 = cat(_T_3343, _T_3338) @[Cat.scala 29:58] - node _T_3345 = cat(_T_3344, _T_3336) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3345 @[el2_lsu_bus_buffer.scala 452:23] - node _T_3346 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3347 = mux(_T_3346, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3349 = mux(_T_3348, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3350 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3351 = mux(_T_3350, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3352 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3353 = mux(_T_3352, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3354 = cat(_T_3353, _T_3351) @[Cat.scala 29:58] - node _T_3355 = cat(_T_3354, _T_3349) @[Cat.scala 29:58] - node _T_3356 = cat(_T_3355, _T_3347) @[Cat.scala 29:58] - buf_unsign_in <= _T_3356 @[el2_lsu_bus_buffer.scala 453:19] - node _T_3357 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:62] - node _T_3358 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3359 = mux(_T_3357, ibuf_sz, _T_3358) @[el2_lsu_bus_buffer.scala 454:44] - node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:62] - node _T_3361 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3362 = mux(_T_3360, ibuf_sz, _T_3361) @[el2_lsu_bus_buffer.scala 454:44] - node _T_3363 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:62] - node _T_3364 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3365 = mux(_T_3363, ibuf_sz, _T_3364) @[el2_lsu_bus_buffer.scala 454:44] - node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:62] - node _T_3367 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3368 = mux(_T_3366, ibuf_sz, _T_3367) @[el2_lsu_bus_buffer.scala 454:44] - buf_sz_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 454:15] - buf_sz_in[1] <= _T_3362 @[el2_lsu_bus_buffer.scala 454:15] - buf_sz_in[2] <= _T_3365 @[el2_lsu_bus_buffer.scala 454:15] - buf_sz_in[3] <= _T_3368 @[el2_lsu_bus_buffer.scala 454:15] - node _T_3369 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:66] - node _T_3370 = mux(_T_3369, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] - node _T_3371 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:66] - node _T_3372 = mux(_T_3371, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] - node _T_3373 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:66] - node _T_3374 = mux(_T_3373, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] - node _T_3375 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:66] - node _T_3376 = mux(_T_3375, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] - node _T_3377 = cat(_T_3376, _T_3374) @[Cat.scala 29:58] - node _T_3378 = cat(_T_3377, _T_3372) @[Cat.scala 29:58] - node _T_3379 = cat(_T_3378, _T_3370) @[Cat.scala 29:58] - buf_write_in <= _T_3379 @[el2_lsu_bus_buffer.scala 455:18] - node _T_3380 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3380 : @[Conditional.scala 40:58] - node _T_3381 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] - node _T_3382 = mux(_T_3381, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] - buf_nxtstate[0] <= _T_3382 @[el2_lsu_bus_buffer.scala 460:25] - node _T_3383 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] - node _T_3384 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3385 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] - node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 461:95] - node _T_3387 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] - node _T_3388 = and(_T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 461:112] - node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] - node _T_3390 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] - node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 461:161] - node _T_3392 = or(_T_3388, _T_3391) @[el2_lsu_bus_buffer.scala 461:132] - node _T_3393 = and(_T_3383, _T_3392) @[el2_lsu_bus_buffer.scala 461:63] - node _T_3394 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] - node _T_3395 = and(ibuf_drain_vld, _T_3394) @[el2_lsu_bus_buffer.scala 461:201] - node _T_3396 = or(_T_3393, _T_3395) @[el2_lsu_bus_buffer.scala 461:183] - buf_state_en[0] <= _T_3396 @[el2_lsu_bus_buffer.scala 461:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 462:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 463:24] - node _T_3397 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] - node _T_3398 = and(ibuf_drain_vld, _T_3397) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3399 = bits(_T_3398, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] - node _T_3400 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] - node _T_3401 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] - node _T_3402 = mux(_T_3399, _T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 464:30] - buf_data_in[0] <= _T_3402 @[el2_lsu_bus_buffer.scala 464:24] + node _T_1912 = cat(_T_1911, _T_1891) @[Cat.scala 29:58] + CmdPtr0Dec <= _T_1912 @[el2_lsu_bus_buffer.scala 403:14] + node _T_1913 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 404:59] + node _T_1914 = and(buf_age[0], _T_1913) @[el2_lsu_bus_buffer.scala 404:56] + node _T_1915 = orr(_T_1914) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1916 = eq(_T_1915, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1917 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 404:91] + node _T_1918 = eq(_T_1917, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:80] + node _T_1919 = and(_T_1916, _T_1918) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1920 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:110] + node _T_1921 = and(_T_1919, _T_1920) @[el2_lsu_bus_buffer.scala 404:95] + node _T_1922 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:122] + node _T_1923 = and(_T_1921, _T_1922) @[el2_lsu_bus_buffer.scala 404:120] + node _T_1924 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 404:59] + node _T_1925 = and(buf_age[1], _T_1924) @[el2_lsu_bus_buffer.scala 404:56] + node _T_1926 = orr(_T_1925) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1928 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 404:91] + node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:80] + node _T_1930 = and(_T_1927, _T_1929) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1931 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:110] + node _T_1932 = and(_T_1930, _T_1931) @[el2_lsu_bus_buffer.scala 404:95] + node _T_1933 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:122] + node _T_1934 = and(_T_1932, _T_1933) @[el2_lsu_bus_buffer.scala 404:120] + node _T_1935 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 404:59] + node _T_1936 = and(buf_age[2], _T_1935) @[el2_lsu_bus_buffer.scala 404:56] + node _T_1937 = orr(_T_1936) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1938 = eq(_T_1937, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1939 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 404:91] + node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:80] + node _T_1941 = and(_T_1938, _T_1940) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1942 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:110] + node _T_1943 = and(_T_1941, _T_1942) @[el2_lsu_bus_buffer.scala 404:95] + node _T_1944 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:122] + node _T_1945 = and(_T_1943, _T_1944) @[el2_lsu_bus_buffer.scala 404:120] + node _T_1946 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 404:59] + node _T_1947 = and(buf_age[3], _T_1946) @[el2_lsu_bus_buffer.scala 404:56] + node _T_1948 = orr(_T_1947) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1949 = eq(_T_1948, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1950 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 404:91] + node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:80] + node _T_1952 = and(_T_1949, _T_1951) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1953 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:110] + node _T_1954 = and(_T_1952, _T_1953) @[el2_lsu_bus_buffer.scala 404:95] + node _T_1955 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:122] + node _T_1956 = and(_T_1954, _T_1955) @[el2_lsu_bus_buffer.scala 404:120] + node _T_1957 = cat(_T_1956, _T_1945) @[Cat.scala 29:58] + node _T_1958 = cat(_T_1957, _T_1934) @[Cat.scala 29:58] + node _T_1959 = cat(_T_1958, _T_1923) @[Cat.scala 29:58] + CmdPtr1Dec <= _T_1959 @[el2_lsu_bus_buffer.scala 404:14] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 405:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 406:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 406:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 406:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 406:19] + wire RspPtrDec : UInt<8> + RspPtrDec <= UInt<1>("h00") + node _T_1960 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 408:62] + node _T_1961 = eq(_T_1960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:41] + node _T_1962 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:82] + node _T_1963 = and(_T_1961, _T_1962) @[el2_lsu_bus_buffer.scala 408:67] + node _T_1964 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 408:62] + node _T_1965 = eq(_T_1964, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:41] + node _T_1966 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:82] + node _T_1967 = and(_T_1965, _T_1966) @[el2_lsu_bus_buffer.scala 408:67] + node _T_1968 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 408:62] + node _T_1969 = eq(_T_1968, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:41] + node _T_1970 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:82] + node _T_1971 = and(_T_1969, _T_1970) @[el2_lsu_bus_buffer.scala 408:67] + node _T_1972 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 408:62] + node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:41] + node _T_1974 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:82] + node _T_1975 = and(_T_1973, _T_1974) @[el2_lsu_bus_buffer.scala 408:67] + node _T_1976 = cat(_T_1975, _T_1971) @[Cat.scala 29:58] + node _T_1977 = cat(_T_1976, _T_1967) @[Cat.scala 29:58] + node _T_1978 = cat(_T_1977, _T_1963) @[Cat.scala 29:58] + RspPtrDec <= _T_1978 @[el2_lsu_bus_buffer.scala 408:13] + node _T_1979 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 409:31] + found_cmdptr0 <= _T_1979 @[el2_lsu_bus_buffer.scala 409:17] + node _T_1980 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 410:31] + found_cmdptr1 <= _T_1980 @[el2_lsu_bus_buffer.scala 410:17] + node _T_1981 = bits(CmdPtr0Dec, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] + node _T_1982 = bits(CmdPtr0Dec, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] + node _T_1983 = or(_T_1981, _T_1982) @[el2_lsu_bus_buffer.scala 412:42] + node _T_1984 = bits(CmdPtr0Dec, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] + node _T_1985 = or(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 412:48] + node _T_1986 = bits(CmdPtr0Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] + node _T_1987 = or(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 412:54] + node _T_1988 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] + node _T_1989 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] + node _T_1990 = or(_T_1988, _T_1989) @[el2_lsu_bus_buffer.scala 412:67] + node _T_1991 = bits(CmdPtr0Dec, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] + node _T_1992 = or(_T_1990, _T_1991) @[el2_lsu_bus_buffer.scala 412:73] + node _T_1993 = bits(CmdPtr0Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] + node _T_1994 = or(_T_1992, _T_1993) @[el2_lsu_bus_buffer.scala 412:79] + node _T_1995 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] + node _T_1996 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] + node _T_1997 = or(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 412:92] + node _T_1998 = bits(CmdPtr0Dec, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] + node _T_1999 = or(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 412:98] + node _T_2000 = bits(CmdPtr0Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] + node _T_2001 = or(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 412:104] + node _T_2002 = cat(_T_1987, _T_1994) @[Cat.scala 29:58] + node CmdPtr0 = cat(_T_2002, _T_2001) @[Cat.scala 29:58] + io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 415:11] + node _T_2003 = bits(CmdPtr1Dec, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] + node _T_2004 = bits(CmdPtr1Dec, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] + node _T_2005 = or(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 412:42] + node _T_2006 = bits(CmdPtr1Dec, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] + node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 412:48] + node _T_2008 = bits(CmdPtr1Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] + node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 412:54] + node _T_2010 = bits(CmdPtr1Dec, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] + node _T_2011 = bits(CmdPtr1Dec, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] + node _T_2012 = or(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 412:67] + node _T_2013 = bits(CmdPtr1Dec, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] + node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 412:73] + node _T_2015 = bits(CmdPtr1Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] + node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 412:79] + node _T_2017 = bits(CmdPtr1Dec, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] + node _T_2018 = bits(CmdPtr1Dec, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] + node _T_2019 = or(_T_2017, _T_2018) @[el2_lsu_bus_buffer.scala 412:92] + node _T_2020 = bits(CmdPtr1Dec, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] + node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 412:98] + node _T_2022 = bits(CmdPtr1Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] + node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 412:104] + node _T_2024 = cat(_T_2009, _T_2016) @[Cat.scala 29:58] + node CmdPtr1 = cat(_T_2024, _T_2023) @[Cat.scala 29:58] + node _T_2025 = bits(RspPtrDec, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] + node _T_2026 = bits(RspPtrDec, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] + node _T_2027 = or(_T_2025, _T_2026) @[el2_lsu_bus_buffer.scala 412:42] + node _T_2028 = bits(RspPtrDec, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] + node _T_2029 = or(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 412:48] + node _T_2030 = bits(RspPtrDec, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] + node _T_2031 = or(_T_2029, _T_2030) @[el2_lsu_bus_buffer.scala 412:54] + node _T_2032 = bits(RspPtrDec, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] + node _T_2033 = bits(RspPtrDec, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] + node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 412:67] + node _T_2035 = bits(RspPtrDec, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] + node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 412:73] + node _T_2037 = bits(RspPtrDec, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] + node _T_2038 = or(_T_2036, _T_2037) @[el2_lsu_bus_buffer.scala 412:79] + node _T_2039 = bits(RspPtrDec, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] + node _T_2040 = bits(RspPtrDec, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] + node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 412:92] + node _T_2042 = bits(RspPtrDec, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 412:98] + node _T_2044 = bits(RspPtrDec, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] + node _T_2045 = or(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 412:104] + node _T_2046 = cat(_T_2031, _T_2038) @[Cat.scala 29:58] + node RspPtr = cat(_T_2046, _T_2045) @[Cat.scala 29:58] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 418:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 420:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 422:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 424:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:14] + node _T_2047 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2048 = and(_T_2047, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2049 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2050 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2051 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2053 = or(_T_2049, _T_2052) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2054 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2055 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2056 = and(_T_2054, _T_2055) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2057 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2059 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2060 = and(_T_2058, _T_2059) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2061 = or(_T_2053, _T_2060) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2062 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2063 = and(_T_2062, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2064 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2065 = and(_T_2063, _T_2064) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2066 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2067 = and(_T_2065, _T_2066) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2068 = or(_T_2061, _T_2067) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2069 = and(_T_2048, _T_2068) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2070 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2071 = or(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2072 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2073 = and(_T_2072, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2074 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2075 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2076 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2077 = and(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2078 = or(_T_2074, _T_2077) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2079 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2080 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2081 = and(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2082 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2084 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2085 = and(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2086 = or(_T_2078, _T_2085) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2087 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2088 = and(_T_2087, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2089 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2090 = and(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2091 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2092 = and(_T_2090, _T_2091) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2093 = or(_T_2086, _T_2092) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2094 = and(_T_2073, _T_2093) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2095 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2096 = or(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2097 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2098 = and(_T_2097, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2099 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2100 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2101 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2102 = and(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2103 = or(_T_2099, _T_2102) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2104 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2105 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2106 = and(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2107 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2109 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2110 = and(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2111 = or(_T_2103, _T_2110) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2112 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2113 = and(_T_2112, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2114 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2115 = and(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2116 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2117 = and(_T_2115, _T_2116) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2118 = or(_T_2111, _T_2117) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2119 = and(_T_2098, _T_2118) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2120 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2121 = or(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2122 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2123 = and(_T_2122, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2124 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2125 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2126 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2127 = and(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2128 = or(_T_2124, _T_2127) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2129 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2130 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2131 = and(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2132 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2134 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2135 = and(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2136 = or(_T_2128, _T_2135) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2137 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2138 = and(_T_2137, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2139 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2140 = and(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2141 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2142 = and(_T_2140, _T_2141) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2143 = or(_T_2136, _T_2142) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2144 = and(_T_2123, _T_2143) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2145 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2146 = or(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2147 = cat(_T_2146, _T_2121) @[Cat.scala 29:58] + node _T_2148 = cat(_T_2147, _T_2096) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2148, _T_2071) @[Cat.scala 29:58] + node _T_2149 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2150 = and(_T_2149, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2151 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2152 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2153 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2155 = or(_T_2151, _T_2154) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2159 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2161 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2162 = and(_T_2160, _T_2161) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2163 = or(_T_2155, _T_2162) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2165 = and(_T_2164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2166 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2167 = and(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2168 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2169 = and(_T_2167, _T_2168) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2170 = or(_T_2163, _T_2169) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2171 = and(_T_2150, _T_2170) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2172 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2173 = or(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2174 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2175 = and(_T_2174, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2176 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2177 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2178 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2180 = or(_T_2176, _T_2179) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2181 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2182 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2184 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2186 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2187 = and(_T_2185, _T_2186) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2188 = or(_T_2180, _T_2187) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2189 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2190 = and(_T_2189, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2191 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2192 = and(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2193 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2194 = and(_T_2192, _T_2193) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2195 = or(_T_2188, _T_2194) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2196 = and(_T_2175, _T_2195) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2197 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2198 = or(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2199 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2200 = and(_T_2199, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2201 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2202 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2203 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2205 = or(_T_2201, _T_2204) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2206 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2207 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2209 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2211 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2212 = and(_T_2210, _T_2211) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2213 = or(_T_2205, _T_2212) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2214 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2215 = and(_T_2214, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2216 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2217 = and(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2218 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2219 = and(_T_2217, _T_2218) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2220 = or(_T_2213, _T_2219) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2221 = and(_T_2200, _T_2220) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2222 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2223 = or(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2224 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2225 = and(_T_2224, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2226 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2227 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2228 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2230 = or(_T_2226, _T_2229) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2231 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2232 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2234 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2236 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2237 = and(_T_2235, _T_2236) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2238 = or(_T_2230, _T_2237) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2239 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2240 = and(_T_2239, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2241 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2242 = and(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2243 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2244 = and(_T_2242, _T_2243) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2245 = or(_T_2238, _T_2244) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2246 = and(_T_2225, _T_2245) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2247 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2248 = or(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2249 = cat(_T_2248, _T_2223) @[Cat.scala 29:58] + node _T_2250 = cat(_T_2249, _T_2198) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2250, _T_2173) @[Cat.scala 29:58] + node _T_2251 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2252 = and(_T_2251, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2253 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2254 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2255 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2257 = or(_T_2253, _T_2256) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2258 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2259 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2261 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2263 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2264 = and(_T_2262, _T_2263) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2265 = or(_T_2257, _T_2264) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2266 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2267 = and(_T_2266, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2268 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2269 = and(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2270 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2271 = and(_T_2269, _T_2270) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2272 = or(_T_2265, _T_2271) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2273 = and(_T_2252, _T_2272) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2274 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2275 = or(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2277 = and(_T_2276, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2278 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2279 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2280 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2282 = or(_T_2278, _T_2281) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2283 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2284 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2286 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2288 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2289 = and(_T_2287, _T_2288) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2290 = or(_T_2282, _T_2289) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2291 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2292 = and(_T_2291, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2293 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2294 = and(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2295 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2296 = and(_T_2294, _T_2295) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2297 = or(_T_2290, _T_2296) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2298 = and(_T_2277, _T_2297) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2299 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2300 = or(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2301 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2302 = and(_T_2301, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2303 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2304 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2305 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2307 = or(_T_2303, _T_2306) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2308 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2309 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2311 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2313 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2314 = and(_T_2312, _T_2313) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2315 = or(_T_2307, _T_2314) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2316 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2317 = and(_T_2316, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2318 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2319 = and(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2320 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2321 = and(_T_2319, _T_2320) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2322 = or(_T_2315, _T_2321) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2323 = and(_T_2302, _T_2322) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2324 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2325 = or(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2326 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2327 = and(_T_2326, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2328 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2329 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2330 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2332 = or(_T_2328, _T_2331) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2333 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2334 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2336 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2338 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2339 = and(_T_2337, _T_2338) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2340 = or(_T_2332, _T_2339) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2341 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2342 = and(_T_2341, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2343 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2344 = and(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2345 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2346 = and(_T_2344, _T_2345) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2347 = or(_T_2340, _T_2346) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2348 = and(_T_2327, _T_2347) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2349 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2350 = or(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2351 = cat(_T_2350, _T_2325) @[Cat.scala 29:58] + node _T_2352 = cat(_T_2351, _T_2300) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2352, _T_2275) @[Cat.scala 29:58] + node _T_2353 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2354 = and(_T_2353, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2355 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2356 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2357 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2359 = or(_T_2355, _T_2358) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2360 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2361 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2363 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2365 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2366 = and(_T_2364, _T_2365) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2367 = or(_T_2359, _T_2366) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2368 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2369 = and(_T_2368, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2370 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2371 = and(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2372 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2373 = and(_T_2371, _T_2372) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2374 = or(_T_2367, _T_2373) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2375 = and(_T_2354, _T_2374) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2376 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2377 = or(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2378 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2379 = and(_T_2378, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2380 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2381 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2382 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2384 = or(_T_2380, _T_2383) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2385 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2386 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2388 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2390 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2391 = and(_T_2389, _T_2390) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2392 = or(_T_2384, _T_2391) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2393 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2394 = and(_T_2393, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2395 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2396 = and(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2397 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2398 = and(_T_2396, _T_2397) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2399 = or(_T_2392, _T_2398) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2400 = and(_T_2379, _T_2399) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2401 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2402 = or(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2403 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2404 = and(_T_2403, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2405 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2406 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2407 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2409 = or(_T_2405, _T_2408) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2410 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2411 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2413 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2415 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2416 = and(_T_2414, _T_2415) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2417 = or(_T_2409, _T_2416) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2418 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2419 = and(_T_2418, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2420 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2421 = and(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2422 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2423 = and(_T_2421, _T_2422) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2424 = or(_T_2417, _T_2423) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2425 = and(_T_2404, _T_2424) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2426 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2427 = or(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2428 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2429 = and(_T_2428, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2430 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2431 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2432 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2434 = or(_T_2430, _T_2433) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2435 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2436 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2438 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2440 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2441 = and(_T_2439, _T_2440) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2442 = or(_T_2434, _T_2441) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2443 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2444 = and(_T_2443, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2445 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2446 = and(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2447 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2448 = and(_T_2446, _T_2447) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2449 = or(_T_2442, _T_2448) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2450 = and(_T_2429, _T_2449) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2451 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2452 = or(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2453 = cat(_T_2452, _T_2427) @[Cat.scala 29:58] + node _T_2454 = cat(_T_2453, _T_2402) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2454, _T_2377) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 433:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:12] + node _T_2455 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2456 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2457 = and(_T_2456, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2458 = and(_T_2455, _T_2457) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2459 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2460 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2461 = and(_T_2460, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2462 = and(_T_2459, _T_2461) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2463 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2464 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2465 = and(_T_2464, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2466 = and(_T_2463, _T_2465) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2467 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2468 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2469 = and(_T_2468, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2470 = and(_T_2467, _T_2469) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2471 = cat(_T_2470, _T_2466) @[Cat.scala 29:58] + node _T_2472 = cat(_T_2471, _T_2462) @[Cat.scala 29:58] + node _T_2473 = cat(_T_2472, _T_2458) @[Cat.scala 29:58] + node _T_2474 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2475 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2476 = and(_T_2475, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2477 = and(_T_2474, _T_2476) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2478 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2479 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2480 = and(_T_2479, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2481 = and(_T_2478, _T_2480) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2482 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2483 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2485 = and(_T_2482, _T_2484) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2486 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2487 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2488 = and(_T_2487, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2489 = and(_T_2486, _T_2488) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2490 = cat(_T_2489, _T_2485) @[Cat.scala 29:58] + node _T_2491 = cat(_T_2490, _T_2481) @[Cat.scala 29:58] + node _T_2492 = cat(_T_2491, _T_2477) @[Cat.scala 29:58] + node _T_2493 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2494 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2495 = and(_T_2494, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2496 = and(_T_2493, _T_2495) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2497 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2498 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2499 = and(_T_2498, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2500 = and(_T_2497, _T_2499) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2501 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2502 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2503 = and(_T_2502, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2504 = and(_T_2501, _T_2503) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2505 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2506 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2507 = and(_T_2506, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2508 = and(_T_2505, _T_2507) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2509 = cat(_T_2508, _T_2504) @[Cat.scala 29:58] + node _T_2510 = cat(_T_2509, _T_2500) @[Cat.scala 29:58] + node _T_2511 = cat(_T_2510, _T_2496) @[Cat.scala 29:58] + node _T_2512 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2513 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2514 = and(_T_2513, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2515 = and(_T_2512, _T_2514) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2516 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2517 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2518 = and(_T_2517, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2519 = and(_T_2516, _T_2518) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2520 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2521 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2523 = and(_T_2520, _T_2522) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2524 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2525 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2526 = and(_T_2525, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2527 = and(_T_2524, _T_2526) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2528 = cat(_T_2527, _T_2523) @[Cat.scala 29:58] + node _T_2529 = cat(_T_2528, _T_2519) @[Cat.scala 29:58] + node _T_2530 = cat(_T_2529, _T_2515) @[Cat.scala 29:58] + buf_age[0] <= _T_2473 @[el2_lsu_bus_buffer.scala 435:13] + buf_age[1] <= _T_2492 @[el2_lsu_bus_buffer.scala 435:13] + buf_age[2] <= _T_2511 @[el2_lsu_bus_buffer.scala 435:13] + buf_age[3] <= _T_2530 @[el2_lsu_bus_buffer.scala 435:13] + node _T_2531 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2532 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2533 = eq(_T_2532, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2534 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2536 = mux(_T_2531, UInt<1>("h00"), _T_2535) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2538 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2540 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2543 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2544 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2546 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2550 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2552 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2555 = cat(_T_2554, _T_2548) @[Cat.scala 29:58] + node _T_2556 = cat(_T_2555, _T_2542) @[Cat.scala 29:58] + node _T_2557 = cat(_T_2556, _T_2536) @[Cat.scala 29:58] + node _T_2558 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2559 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2560 = eq(_T_2559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2561 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2562 = and(_T_2560, _T_2561) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2563 = mux(_T_2558, UInt<1>("h00"), _T_2562) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2565 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2567 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2570 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2571 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2573 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2577 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2579 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2582 = cat(_T_2581, _T_2575) @[Cat.scala 29:58] + node _T_2583 = cat(_T_2582, _T_2569) @[Cat.scala 29:58] + node _T_2584 = cat(_T_2583, _T_2563) @[Cat.scala 29:58] + node _T_2585 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2586 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2588 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2589 = and(_T_2587, _T_2588) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2590 = mux(_T_2585, UInt<1>("h00"), _T_2589) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2592 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2594 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2597 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2598 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2600 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2604 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2606 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2609 = cat(_T_2608, _T_2602) @[Cat.scala 29:58] + node _T_2610 = cat(_T_2609, _T_2596) @[Cat.scala 29:58] + node _T_2611 = cat(_T_2610, _T_2590) @[Cat.scala 29:58] + node _T_2612 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2613 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2614 = eq(_T_2613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2615 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2616 = and(_T_2614, _T_2615) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2617 = mux(_T_2612, UInt<1>("h00"), _T_2616) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2619 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2621 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2624 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2625 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2627 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2631 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2633 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2636 = cat(_T_2635, _T_2629) @[Cat.scala 29:58] + node _T_2637 = cat(_T_2636, _T_2623) @[Cat.scala 29:58] + node _T_2638 = cat(_T_2637, _T_2617) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2557 @[el2_lsu_bus_buffer.scala 436:21] + buf_age_younger[1] <= _T_2584 @[el2_lsu_bus_buffer.scala 436:21] + buf_age_younger[2] <= _T_2611 @[el2_lsu_bus_buffer.scala 436:21] + buf_age_younger[3] <= _T_2638 @[el2_lsu_bus_buffer.scala 436:21] + node _T_2639 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2640 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2641 = and(_T_2639, _T_2640) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2642 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2643 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2645 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2646 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2648 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2649 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2651 = cat(_T_2650, _T_2647) @[Cat.scala 29:58] + node _T_2652 = cat(_T_2651, _T_2644) @[Cat.scala 29:58] + node _T_2653 = cat(_T_2652, _T_2641) @[Cat.scala 29:58] + node _T_2654 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2655 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2657 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2658 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2660 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2661 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2663 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2664 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2666 = cat(_T_2665, _T_2662) @[Cat.scala 29:58] + node _T_2667 = cat(_T_2666, _T_2659) @[Cat.scala 29:58] + node _T_2668 = cat(_T_2667, _T_2656) @[Cat.scala 29:58] + node _T_2669 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2670 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2672 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2673 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2675 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2676 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2678 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2679 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2681 = cat(_T_2680, _T_2677) @[Cat.scala 29:58] + node _T_2682 = cat(_T_2681, _T_2674) @[Cat.scala 29:58] + node _T_2683 = cat(_T_2682, _T_2671) @[Cat.scala 29:58] + node _T_2684 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2685 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2687 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2688 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2690 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2691 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2693 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2694 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2696 = cat(_T_2695, _T_2692) @[Cat.scala 29:58] + node _T_2697 = cat(_T_2696, _T_2689) @[Cat.scala 29:58] + node _T_2698 = cat(_T_2697, _T_2686) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2653 @[el2_lsu_bus_buffer.scala 437:21] + buf_rsp_pickage[1] <= _T_2668 @[el2_lsu_bus_buffer.scala 437:21] + buf_rsp_pickage[2] <= _T_2683 @[el2_lsu_bus_buffer.scala 437:21] + buf_rsp_pickage[3] <= _T_2698 @[el2_lsu_bus_buffer.scala 437:21] + node _T_2699 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2700 = and(_T_2699, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2701 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2702 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2703 = or(_T_2701, _T_2702) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2704 = eq(_T_2703, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2705 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2706 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2708 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2710 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2711 = and(_T_2709, _T_2710) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2712 = or(_T_2704, _T_2711) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2713 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2714 = and(_T_2713, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2715 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2716 = and(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2717 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2718 = and(_T_2716, _T_2717) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2719 = or(_T_2712, _T_2718) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2720 = and(_T_2700, _T_2719) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2721 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2722 = and(_T_2721, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2723 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2724 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2725 = or(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2726 = eq(_T_2725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2727 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2728 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2729 = and(_T_2727, _T_2728) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2730 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2732 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2733 = and(_T_2731, _T_2732) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2734 = or(_T_2726, _T_2733) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2735 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2736 = and(_T_2735, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2737 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2738 = and(_T_2736, _T_2737) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2739 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2741 = or(_T_2734, _T_2740) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2742 = and(_T_2722, _T_2741) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2743 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2744 = and(_T_2743, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2745 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2746 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2747 = or(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2748 = eq(_T_2747, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2749 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2750 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2751 = and(_T_2749, _T_2750) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2752 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2754 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2755 = and(_T_2753, _T_2754) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2756 = or(_T_2748, _T_2755) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2757 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2758 = and(_T_2757, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2759 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2760 = and(_T_2758, _T_2759) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2761 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2763 = or(_T_2756, _T_2762) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2764 = and(_T_2744, _T_2763) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2765 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2766 = and(_T_2765, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2767 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2768 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2769 = or(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2770 = eq(_T_2769, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2771 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2772 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2774 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2775 = and(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2776 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2778 = or(_T_2770, _T_2777) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2779 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2780 = and(_T_2779, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2781 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2782 = and(_T_2780, _T_2781) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2783 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2784 = and(_T_2782, _T_2783) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2785 = or(_T_2778, _T_2784) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2786 = and(_T_2766, _T_2785) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2787 = cat(_T_2786, _T_2764) @[Cat.scala 29:58] + node _T_2788 = cat(_T_2787, _T_2742) @[Cat.scala 29:58] + node _T_2789 = cat(_T_2788, _T_2720) @[Cat.scala 29:58] + node _T_2790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2791 = and(_T_2790, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2792 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2793 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2794 = or(_T_2792, _T_2793) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2795 = eq(_T_2794, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2796 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2797 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2799 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2801 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2803 = or(_T_2795, _T_2802) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2804 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2805 = and(_T_2804, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2806 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2808 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2809 = and(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2810 = or(_T_2803, _T_2809) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2811 = and(_T_2791, _T_2810) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2812 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2813 = and(_T_2812, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2814 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2815 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2816 = or(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2817 = eq(_T_2816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2818 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2819 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2820 = and(_T_2818, _T_2819) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2821 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2823 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2825 = or(_T_2817, _T_2824) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2826 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2827 = and(_T_2826, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2828 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2829 = and(_T_2827, _T_2828) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2830 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2831 = and(_T_2829, _T_2830) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2832 = or(_T_2825, _T_2831) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2833 = and(_T_2813, _T_2832) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2834 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2835 = and(_T_2834, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2836 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2837 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2838 = or(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2839 = eq(_T_2838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2840 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2841 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2843 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2844 = and(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2845 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2846 = and(_T_2844, _T_2845) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2847 = or(_T_2839, _T_2846) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2848 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2849 = and(_T_2848, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2851 = and(_T_2849, _T_2850) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2852 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2853 = and(_T_2851, _T_2852) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2854 = or(_T_2847, _T_2853) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2855 = and(_T_2835, _T_2854) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2856 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2857 = and(_T_2856, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2858 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2859 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2860 = or(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2861 = eq(_T_2860, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2862 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2863 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2865 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2866 = and(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2867 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2868 = and(_T_2866, _T_2867) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2869 = or(_T_2861, _T_2868) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2870 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2871 = and(_T_2870, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2872 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2873 = and(_T_2871, _T_2872) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2874 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2875 = and(_T_2873, _T_2874) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2876 = or(_T_2869, _T_2875) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2877 = and(_T_2857, _T_2876) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2878 = cat(_T_2877, _T_2855) @[Cat.scala 29:58] + node _T_2879 = cat(_T_2878, _T_2833) @[Cat.scala 29:58] + node _T_2880 = cat(_T_2879, _T_2811) @[Cat.scala 29:58] + node _T_2881 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2882 = and(_T_2881, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2883 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2884 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2885 = or(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2886 = eq(_T_2885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2887 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2888 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2890 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2892 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2894 = or(_T_2886, _T_2893) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2895 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2896 = and(_T_2895, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2897 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2898 = and(_T_2896, _T_2897) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2899 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2900 = and(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2901 = or(_T_2894, _T_2900) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2902 = and(_T_2882, _T_2901) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2903 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2904 = and(_T_2903, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2905 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2906 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2907 = or(_T_2905, _T_2906) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2908 = eq(_T_2907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2909 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2910 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2911 = and(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2912 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2914 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2916 = or(_T_2908, _T_2915) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2917 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2918 = and(_T_2917, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2919 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2920 = and(_T_2918, _T_2919) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2921 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2922 = and(_T_2920, _T_2921) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2923 = or(_T_2916, _T_2922) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2924 = and(_T_2904, _T_2923) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2925 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2926 = and(_T_2925, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2927 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2928 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2929 = or(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2930 = eq(_T_2929, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2931 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2932 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2934 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2935 = and(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2936 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2937 = and(_T_2935, _T_2936) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2938 = or(_T_2930, _T_2937) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2939 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2940 = and(_T_2939, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2941 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2942 = and(_T_2940, _T_2941) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2943 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2944 = and(_T_2942, _T_2943) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2945 = or(_T_2938, _T_2944) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2946 = and(_T_2926, _T_2945) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2947 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2948 = and(_T_2947, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2949 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2950 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2951 = or(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2952 = eq(_T_2951, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2953 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2954 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2956 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2957 = and(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2958 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2959 = and(_T_2957, _T_2958) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2960 = or(_T_2952, _T_2959) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2961 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2962 = and(_T_2961, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2963 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2964 = and(_T_2962, _T_2963) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2965 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2966 = and(_T_2964, _T_2965) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2967 = or(_T_2960, _T_2966) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2968 = and(_T_2948, _T_2967) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2969 = cat(_T_2968, _T_2946) @[Cat.scala 29:58] + node _T_2970 = cat(_T_2969, _T_2924) @[Cat.scala 29:58] + node _T_2971 = cat(_T_2970, _T_2902) @[Cat.scala 29:58] + node _T_2972 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2973 = and(_T_2972, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2974 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2975 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2976 = or(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2977 = eq(_T_2976, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2978 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2979 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2981 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2983 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2985 = or(_T_2977, _T_2984) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2986 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2987 = and(_T_2986, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2988 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2989 = and(_T_2987, _T_2988) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2990 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2991 = and(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2992 = or(_T_2985, _T_2991) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2993 = and(_T_2973, _T_2992) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2994 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2995 = and(_T_2994, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2996 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2997 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2998 = or(_T_2996, _T_2997) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2999 = eq(_T_2998, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_3000 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_3001 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_3002 = and(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 441:43] + node _T_3003 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 441:73] + node _T_3005 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 441:92] + node _T_3007 = or(_T_2999, _T_3006) @[el2_lsu_bus_buffer.scala 440:61] + node _T_3008 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_3009 = and(_T_3008, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_3010 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_3011 = and(_T_3009, _T_3010) @[el2_lsu_bus_buffer.scala 442:54] + node _T_3012 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3013 = and(_T_3011, _T_3012) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3014 = or(_T_3007, _T_3013) @[el2_lsu_bus_buffer.scala 441:112] + node _T_3015 = and(_T_2995, _T_3014) @[el2_lsu_bus_buffer.scala 439:114] + node _T_3016 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3017 = and(_T_3016, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_3018 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_3019 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_3020 = or(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 440:34] + node _T_3021 = eq(_T_3020, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_3022 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_3023 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_3024 = and(_T_3022, _T_3023) @[el2_lsu_bus_buffer.scala 441:43] + node _T_3025 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_3026 = and(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 441:73] + node _T_3027 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_3028 = and(_T_3026, _T_3027) @[el2_lsu_bus_buffer.scala 441:92] + node _T_3029 = or(_T_3021, _T_3028) @[el2_lsu_bus_buffer.scala 440:61] + node _T_3030 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_3031 = and(_T_3030, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_3032 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_3033 = and(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 442:54] + node _T_3034 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3035 = and(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3036 = or(_T_3029, _T_3035) @[el2_lsu_bus_buffer.scala 441:112] + node _T_3037 = and(_T_3017, _T_3036) @[el2_lsu_bus_buffer.scala 439:114] + node _T_3038 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3039 = and(_T_3038, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_3040 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_3041 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_3042 = or(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 440:34] + node _T_3043 = eq(_T_3042, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_3044 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_3045 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_3046 = and(_T_3044, _T_3045) @[el2_lsu_bus_buffer.scala 441:43] + node _T_3047 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_3048 = and(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 441:73] + node _T_3049 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_3050 = and(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 441:92] + node _T_3051 = or(_T_3043, _T_3050) @[el2_lsu_bus_buffer.scala 440:61] + node _T_3052 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_3053 = and(_T_3052, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_3054 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_3055 = and(_T_3053, _T_3054) @[el2_lsu_bus_buffer.scala 442:54] + node _T_3056 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3057 = and(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3058 = or(_T_3051, _T_3057) @[el2_lsu_bus_buffer.scala 441:112] + node _T_3059 = and(_T_3039, _T_3058) @[el2_lsu_bus_buffer.scala 439:114] + node _T_3060 = cat(_T_3059, _T_3037) @[Cat.scala 29:58] + node _T_3061 = cat(_T_3060, _T_3015) @[Cat.scala 29:58] + node _T_3062 = cat(_T_3061, _T_2993) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2789 @[el2_lsu_bus_buffer.scala 439:20] + buf_rspage_set[1] <= _T_2880 @[el2_lsu_bus_buffer.scala 439:20] + buf_rspage_set[2] <= _T_2971 @[el2_lsu_bus_buffer.scala 439:20] + buf_rspage_set[3] <= _T_3062 @[el2_lsu_bus_buffer.scala 439:20] + node _T_3063 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3064 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3065 = or(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3066 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3067 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3069 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3070 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3072 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3073 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3075 = cat(_T_3074, _T_3071) @[Cat.scala 29:58] + node _T_3076 = cat(_T_3075, _T_3068) @[Cat.scala 29:58] + node _T_3077 = cat(_T_3076, _T_3065) @[Cat.scala 29:58] + node _T_3078 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3079 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3081 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3082 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3083 = or(_T_3081, _T_3082) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3084 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3085 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3087 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3088 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3090 = cat(_T_3089, _T_3086) @[Cat.scala 29:58] + node _T_3091 = cat(_T_3090, _T_3083) @[Cat.scala 29:58] + node _T_3092 = cat(_T_3091, _T_3080) @[Cat.scala 29:58] + node _T_3093 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3094 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3096 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3097 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3098 = or(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3099 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3100 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3102 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3103 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3105 = cat(_T_3104, _T_3101) @[Cat.scala 29:58] + node _T_3106 = cat(_T_3105, _T_3098) @[Cat.scala 29:58] + node _T_3107 = cat(_T_3106, _T_3095) @[Cat.scala 29:58] + node _T_3108 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3109 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3111 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3112 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3113 = or(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3114 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3115 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3117 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3118 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3120 = cat(_T_3119, _T_3116) @[Cat.scala 29:58] + node _T_3121 = cat(_T_3120, _T_3113) @[Cat.scala 29:58] + node _T_3122 = cat(_T_3121, _T_3110) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3077 @[el2_lsu_bus_buffer.scala 443:19] + buf_rspage_in[1] <= _T_3092 @[el2_lsu_bus_buffer.scala 443:19] + buf_rspage_in[2] <= _T_3107 @[el2_lsu_bus_buffer.scala 443:19] + buf_rspage_in[3] <= _T_3122 @[el2_lsu_bus_buffer.scala 443:19] + node _T_3123 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3124 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3125 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3126 = or(_T_3124, _T_3125) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3127 = eq(_T_3126, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3128 = and(_T_3123, _T_3127) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3129 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3130 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3131 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3135 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3136 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3137 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3141 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3142 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3143 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3147 = cat(_T_3146, _T_3140) @[Cat.scala 29:58] + node _T_3148 = cat(_T_3147, _T_3134) @[Cat.scala 29:58] + node _T_3149 = cat(_T_3148, _T_3128) @[Cat.scala 29:58] + node _T_3150 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3151 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3152 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3153 = or(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3154 = eq(_T_3153, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3155 = and(_T_3150, _T_3154) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3156 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3157 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3158 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3162 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3163 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3164 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3168 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3169 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3170 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3174 = cat(_T_3173, _T_3167) @[Cat.scala 29:58] + node _T_3175 = cat(_T_3174, _T_3161) @[Cat.scala 29:58] + node _T_3176 = cat(_T_3175, _T_3155) @[Cat.scala 29:58] + node _T_3177 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3178 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3179 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3181 = eq(_T_3180, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3182 = and(_T_3177, _T_3181) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3183 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3184 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3185 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3189 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3190 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3191 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3195 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3196 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3197 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3201 = cat(_T_3200, _T_3194) @[Cat.scala 29:58] + node _T_3202 = cat(_T_3201, _T_3188) @[Cat.scala 29:58] + node _T_3203 = cat(_T_3202, _T_3182) @[Cat.scala 29:58] + node _T_3204 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3205 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3206 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3208 = eq(_T_3207, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3209 = and(_T_3204, _T_3208) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3210 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3211 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3212 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3216 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3217 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3218 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3222 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3223 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3224 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3228 = cat(_T_3227, _T_3221) @[Cat.scala 29:58] + node _T_3229 = cat(_T_3228, _T_3215) @[Cat.scala 29:58] + node _T_3230 = cat(_T_3229, _T_3209) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3149 @[el2_lsu_bus_buffer.scala 444:16] + buf_rspage[1] <= _T_3176 @[el2_lsu_bus_buffer.scala 444:16] + buf_rspage[2] <= _T_3203 @[el2_lsu_bus_buffer.scala 444:16] + buf_rspage[3] <= _T_3230 @[el2_lsu_bus_buffer.scala 444:16] + node _T_3231 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:77] + node _T_3232 = and(ibuf_drain_vld, _T_3231) @[el2_lsu_bus_buffer.scala 449:65] + node _T_3233 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:77] + node _T_3234 = and(ibuf_drain_vld, _T_3233) @[el2_lsu_bus_buffer.scala 449:65] + node _T_3235 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:77] + node _T_3236 = and(ibuf_drain_vld, _T_3235) @[el2_lsu_bus_buffer.scala 449:65] + node _T_3237 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:77] + node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 449:65] + node _T_3239 = cat(_T_3238, _T_3236) @[Cat.scala 29:58] + node _T_3240 = cat(_T_3239, _T_3234) @[Cat.scala 29:58] + node _T_3241 = cat(_T_3240, _T_3232) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3241 @[el2_lsu_bus_buffer.scala 449:23] + node _T_3242 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:66] + node _T_3243 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 450:86] + node _T_3244 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:106] + node _T_3245 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:134] + node _T_3246 = and(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 450:123] + node _T_3247 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:159] + node _T_3248 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:182] + node _T_3249 = mux(_T_3246, _T_3247, _T_3248) @[el2_lsu_bus_buffer.scala 450:96] + node _T_3250 = mux(_T_3242, _T_3243, _T_3249) @[el2_lsu_bus_buffer.scala 450:48] + node _T_3251 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:66] + node _T_3252 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 450:86] + node _T_3253 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:106] + node _T_3254 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:134] + node _T_3255 = and(_T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 450:123] + node _T_3256 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:159] + node _T_3257 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:182] + node _T_3258 = mux(_T_3255, _T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 450:96] + node _T_3259 = mux(_T_3251, _T_3252, _T_3258) @[el2_lsu_bus_buffer.scala 450:48] + node _T_3260 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:66] + node _T_3261 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 450:86] + node _T_3262 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:106] + node _T_3263 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:134] + node _T_3264 = and(_T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 450:123] + node _T_3265 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:159] + node _T_3266 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:182] + node _T_3267 = mux(_T_3264, _T_3265, _T_3266) @[el2_lsu_bus_buffer.scala 450:96] + node _T_3268 = mux(_T_3260, _T_3261, _T_3267) @[el2_lsu_bus_buffer.scala 450:48] + node _T_3269 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:66] + node _T_3270 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 450:86] + node _T_3271 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:106] + node _T_3272 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:134] + node _T_3273 = and(_T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 450:123] + node _T_3274 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:159] + node _T_3275 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:182] + node _T_3276 = mux(_T_3273, _T_3274, _T_3275) @[el2_lsu_bus_buffer.scala 450:96] + node _T_3277 = mux(_T_3269, _T_3270, _T_3276) @[el2_lsu_bus_buffer.scala 450:48] + buf_byteen_in[0] <= _T_3250 @[el2_lsu_bus_buffer.scala 450:19] + buf_byteen_in[1] <= _T_3259 @[el2_lsu_bus_buffer.scala 450:19] + buf_byteen_in[2] <= _T_3268 @[el2_lsu_bus_buffer.scala 450:19] + buf_byteen_in[3] <= _T_3277 @[el2_lsu_bus_buffer.scala 450:19] + node _T_3278 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:64] + node _T_3279 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:93] + node _T_3280 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:121] + node _T_3281 = and(_T_3279, _T_3280) @[el2_lsu_bus_buffer.scala 451:110] + node _T_3282 = mux(_T_3281, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 451:83] + node _T_3283 = mux(_T_3278, ibuf_addr, _T_3282) @[el2_lsu_bus_buffer.scala 451:46] + node _T_3284 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:64] + node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:93] + node _T_3286 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:121] + node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 451:110] + node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 451:83] + node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 451:46] + node _T_3290 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:64] + node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:93] + node _T_3292 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:121] + node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 451:110] + node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 451:83] + node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 451:46] + node _T_3296 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:64] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:93] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:121] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 451:110] + node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 451:83] + node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 451:46] + buf_addr_in[0] <= _T_3283 @[el2_lsu_bus_buffer.scala 451:17] + buf_addr_in[1] <= _T_3289 @[el2_lsu_bus_buffer.scala 451:17] + buf_addr_in[2] <= _T_3295 @[el2_lsu_bus_buffer.scala 451:17] + buf_addr_in[3] <= _T_3301 @[el2_lsu_bus_buffer.scala 451:17] + node _T_3302 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3303 = mux(_T_3302, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:47] + node _T_3304 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3305 = mux(_T_3304, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:47] + node _T_3306 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3307 = mux(_T_3306, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:47] + node _T_3308 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:47] + node _T_3310 = cat(_T_3309, _T_3307) @[Cat.scala 29:58] + node _T_3311 = cat(_T_3310, _T_3305) @[Cat.scala 29:58] + node _T_3312 = cat(_T_3311, _T_3303) @[Cat.scala 29:58] + buf_dual_in <= _T_3312 @[el2_lsu_bus_buffer.scala 452:17] + node _T_3313 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3314 = mux(_T_3313, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3315 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3316 = mux(_T_3315, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3317 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3318 = mux(_T_3317, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3319 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3321 = cat(_T_3320, _T_3318) @[Cat.scala 29:58] + node _T_3322 = cat(_T_3321, _T_3316) @[Cat.scala 29:58] + node _T_3323 = cat(_T_3322, _T_3314) @[Cat.scala 29:58] + buf_samedw_in <= _T_3323 @[el2_lsu_bus_buffer.scala 453:19] + node _T_3324 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:68] + node _T_3325 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3326 = mux(_T_3324, _T_3325, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 454:50] + node _T_3327 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:68] + node _T_3328 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3329 = mux(_T_3327, _T_3328, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 454:50] + node _T_3330 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:68] + node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 454:50] + node _T_3333 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:68] + node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 454:50] + node _T_3336 = cat(_T_3335, _T_3332) @[Cat.scala 29:58] + node _T_3337 = cat(_T_3336, _T_3329) @[Cat.scala 29:58] + node _T_3338 = cat(_T_3337, _T_3326) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3338 @[el2_lsu_bus_buffer.scala 454:20] + node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:67] + node _T_3340 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:92] + node _T_3341 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 455:120] + node _T_3342 = and(_T_3340, _T_3341) @[el2_lsu_bus_buffer.scala 455:109] + node _T_3343 = mux(_T_3339, ibuf_dual, _T_3342) @[el2_lsu_bus_buffer.scala 455:49] + node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:67] + node _T_3345 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:92] + node _T_3346 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 455:120] + node _T_3347 = and(_T_3345, _T_3346) @[el2_lsu_bus_buffer.scala 455:109] + node _T_3348 = mux(_T_3344, ibuf_dual, _T_3347) @[el2_lsu_bus_buffer.scala 455:49] + node _T_3349 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:67] + node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:92] + node _T_3351 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 455:120] + node _T_3352 = and(_T_3350, _T_3351) @[el2_lsu_bus_buffer.scala 455:109] + node _T_3353 = mux(_T_3349, ibuf_dual, _T_3352) @[el2_lsu_bus_buffer.scala 455:49] + node _T_3354 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:67] + node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:92] + node _T_3356 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 455:120] + node _T_3357 = and(_T_3355, _T_3356) @[el2_lsu_bus_buffer.scala 455:109] + node _T_3358 = mux(_T_3354, ibuf_dual, _T_3357) @[el2_lsu_bus_buffer.scala 455:49] + node _T_3359 = cat(_T_3358, _T_3353) @[Cat.scala 29:58] + node _T_3360 = cat(_T_3359, _T_3348) @[Cat.scala 29:58] + node _T_3361 = cat(_T_3360, _T_3343) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3361 @[el2_lsu_bus_buffer.scala 455:19] + node _T_3362 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3363 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:99] + node _T_3364 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3365 = and(_T_3363, _T_3364) @[el2_lsu_bus_buffer.scala 456:116] + node _T_3366 = mux(_T_3365, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 456:89] + node _T_3367 = mux(_T_3362, ibuf_dualtag, _T_3366) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3368 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:99] + node _T_3370 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 456:116] + node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 456:89] + node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3374 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:99] + node _T_3376 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 456:116] + node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 456:89] + node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3380 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:99] + node _T_3382 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 456:116] + node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 456:89] + node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 456:49] + buf_dualtag_in[0] <= _T_3367 @[el2_lsu_bus_buffer.scala 456:20] + buf_dualtag_in[1] <= _T_3373 @[el2_lsu_bus_buffer.scala 456:20] + buf_dualtag_in[2] <= _T_3379 @[el2_lsu_bus_buffer.scala 456:20] + buf_dualtag_in[3] <= _T_3385 @[el2_lsu_bus_buffer.scala 456:20] + node _T_3386 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:71] + node _T_3387 = mux(_T_3386, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_3388 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:71] + node _T_3389 = mux(_T_3388, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_3390 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:71] + node _T_3391 = mux(_T_3390, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_3392 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:71] + node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_3394 = cat(_T_3393, _T_3391) @[Cat.scala 29:58] + node _T_3395 = cat(_T_3394, _T_3389) @[Cat.scala 29:58] + node _T_3396 = cat(_T_3395, _T_3387) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3396 @[el2_lsu_bus_buffer.scala 457:23] + node _T_3397 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3398 = mux(_T_3397, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3399 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3400 = mux(_T_3399, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3401 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3402 = mux(_T_3401, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3403 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3405 = cat(_T_3404, _T_3402) @[Cat.scala 29:58] + node _T_3406 = cat(_T_3405, _T_3400) @[Cat.scala 29:58] + node _T_3407 = cat(_T_3406, _T_3398) @[Cat.scala 29:58] + buf_unsign_in <= _T_3407 @[el2_lsu_bus_buffer.scala 458:19] + node _T_3408 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:62] + node _T_3409 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3410 = mux(_T_3408, ibuf_sz, _T_3409) @[el2_lsu_bus_buffer.scala 459:44] + node _T_3411 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:62] + node _T_3412 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3413 = mux(_T_3411, ibuf_sz, _T_3412) @[el2_lsu_bus_buffer.scala 459:44] + node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:62] + node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 459:44] + node _T_3417 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:62] + node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 459:44] + buf_sz_in[0] <= _T_3410 @[el2_lsu_bus_buffer.scala 459:15] + buf_sz_in[1] <= _T_3413 @[el2_lsu_bus_buffer.scala 459:15] + buf_sz_in[2] <= _T_3416 @[el2_lsu_bus_buffer.scala 459:15] + buf_sz_in[3] <= _T_3419 @[el2_lsu_bus_buffer.scala 459:15] + node _T_3420 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:66] + node _T_3421 = mux(_T_3420, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 460:48] + node _T_3422 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:66] + node _T_3423 = mux(_T_3422, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 460:48] + node _T_3424 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:66] + node _T_3425 = mux(_T_3424, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 460:48] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:66] + node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 460:48] + node _T_3428 = cat(_T_3427, _T_3425) @[Cat.scala 29:58] + node _T_3429 = cat(_T_3428, _T_3423) @[Cat.scala 29:58] + node _T_3430 = cat(_T_3429, _T_3421) @[Cat.scala 29:58] + buf_write_in <= _T_3430 @[el2_lsu_bus_buffer.scala 460:18] + node _T_3431 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3431 : @[Conditional.scala 40:58] + node _T_3432 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 465:56] + node _T_3433 = mux(_T_3432, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 465:31] + buf_nxtstate[0] <= _T_3433 @[el2_lsu_bus_buffer.scala 465:25] + node _T_3434 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 466:45] + node _T_3435 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:77] + node _T_3436 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:97] + node _T_3437 = and(_T_3435, _T_3436) @[el2_lsu_bus_buffer.scala 466:95] + node _T_3438 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 466:117] + node _T_3439 = and(_T_3437, _T_3438) @[el2_lsu_bus_buffer.scala 466:112] + node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:144] + node _T_3441 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 466:166] + node _T_3442 = and(_T_3440, _T_3441) @[el2_lsu_bus_buffer.scala 466:161] + node _T_3443 = or(_T_3439, _T_3442) @[el2_lsu_bus_buffer.scala 466:132] + node _T_3444 = and(_T_3434, _T_3443) @[el2_lsu_bus_buffer.scala 466:63] + node _T_3445 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 466:206] + node _T_3446 = and(ibuf_drain_vld, _T_3445) @[el2_lsu_bus_buffer.scala 466:201] + node _T_3447 = or(_T_3444, _T_3446) @[el2_lsu_bus_buffer.scala 466:183] + buf_state_en[0] <= _T_3447 @[el2_lsu_bus_buffer.scala 466:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 467:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 468:24] + node _T_3448 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:52] + node _T_3449 = and(ibuf_drain_vld, _T_3448) @[el2_lsu_bus_buffer.scala 469:47] + node _T_3450 = bits(_T_3449, 0, 0) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3451 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3452 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3453 = mux(_T_3450, _T_3451, _T_3452) @[el2_lsu_bus_buffer.scala 469:30] + buf_data_in[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 469:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3403 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3403 : @[Conditional.scala 39:67] - node _T_3404 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] - node _T_3405 = mux(_T_3404, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] - buf_nxtstate[0] <= _T_3405 @[el2_lsu_bus_buffer.scala 467:25] - node _T_3406 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] - buf_state_en[0] <= _T_3406 @[el2_lsu_bus_buffer.scala 468:25] + node _T_3454 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3454 : @[Conditional.scala 39:67] + node _T_3455 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 472:60] + node _T_3456 = mux(_T_3455, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:31] + buf_nxtstate[0] <= _T_3456 @[el2_lsu_bus_buffer.scala 472:25] + node _T_3457 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:46] + buf_state_en[0] <= _T_3457 @[el2_lsu_bus_buffer.scala 473:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3407 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3407 : @[Conditional.scala 39:67] - node _T_3408 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] - node _T_3409 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] - node _T_3410 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] - node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3412 = mux(_T_3411, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] - node _T_3413 = mux(_T_3408, UInt<3>("h00"), _T_3412) @[el2_lsu_bus_buffer.scala 471:31] - buf_nxtstate[0] <= _T_3413 @[el2_lsu_bus_buffer.scala 471:25] - node _T_3414 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3415 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:104] - node _T_3416 = and(obuf_merge, _T_3415) @[el2_lsu_bus_buffer.scala 472:91] - node _T_3417 = or(_T_3414, _T_3416) @[el2_lsu_bus_buffer.scala 472:77] - node _T_3418 = and(_T_3417, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] - node _T_3419 = and(_T_3418, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] - buf_cmd_state_bus_en[0] <= _T_3419 @[el2_lsu_bus_buffer.scala 472:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 473:29] - node _T_3420 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] - node _T_3421 = or(_T_3420, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] - buf_state_en[0] <= _T_3421 @[el2_lsu_bus_buffer.scala 474:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] - node _T_3422 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 476:56] - node _T_3423 = eq(_T_3422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] - node _T_3424 = and(buf_state_en[0], _T_3423) @[el2_lsu_bus_buffer.scala 476:44] - node _T_3425 = and(_T_3424, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3426 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] - node _T_3427 = and(_T_3425, _T_3426) @[el2_lsu_bus_buffer.scala 476:74] - buf_ldfwd_en[0] <= _T_3427 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3428 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] - buf_ldfwdtag_in[0] <= _T_3428 @[el2_lsu_bus_buffer.scala 477:28] - node _T_3429 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] - node _T_3430 = and(_T_3429, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] - node _T_3431 = and(_T_3430, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] - buf_data_en[0] <= _T_3431 @[el2_lsu_bus_buffer.scala 478:24] - node _T_3432 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3433 = and(_T_3432, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] - node _T_3434 = and(_T_3433, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] - buf_error_en[0] <= _T_3434 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3435 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] - node _T_3436 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] - node _T_3437 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3438 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] - node _T_3439 = mux(_T_3436, _T_3437, _T_3438) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3440 = mux(buf_error_en[0], _T_3435, _T_3439) @[el2_lsu_bus_buffer.scala 480:30] - buf_data_in[0] <= _T_3440 @[el2_lsu_bus_buffer.scala 480:24] + node _T_3458 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3458 : @[Conditional.scala 39:67] + node _T_3459 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3460 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:89] + node _T_3461 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 476:124] + node _T_3462 = and(_T_3460, _T_3461) @[el2_lsu_bus_buffer.scala 476:104] + node _T_3463 = mux(_T_3462, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 476:75] + node _T_3464 = mux(_T_3459, UInt<3>("h00"), _T_3463) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[0] <= _T_3464 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3465 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3466 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3467 = and(obuf_merge, _T_3466) @[el2_lsu_bus_buffer.scala 477:91] + node _T_3468 = or(_T_3465, _T_3467) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3469 = and(_T_3468, obuf_valid) @[el2_lsu_bus_buffer.scala 477:135] + node _T_3470 = and(_T_3469, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 477:148] + buf_cmd_state_bus_en[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 477:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 478:29] + node _T_3471 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:49] + node _T_3472 = or(_T_3471, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 479:70] + buf_state_en[0] <= _T_3472 @[el2_lsu_bus_buffer.scala 479:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 480:25] + node _T_3473 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 481:56] + node _T_3474 = eq(_T_3473, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3475 = and(buf_state_en[0], _T_3474) @[el2_lsu_bus_buffer.scala 481:44] + node _T_3476 = and(_T_3475, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3477 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:76] + node _T_3478 = and(_T_3476, _T_3477) @[el2_lsu_bus_buffer.scala 481:74] + buf_ldfwd_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3479 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 482:46] + buf_ldfwdtag_in[0] <= _T_3479 @[el2_lsu_bus_buffer.scala 482:28] + node _T_3480 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3481 = and(_T_3480, obuf_nosend) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3482 = and(_T_3481, bus_rsp_read) @[el2_lsu_bus_buffer.scala 483:81] + buf_data_en[0] <= _T_3482 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3483 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:48] + node _T_3484 = and(_T_3483, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3485 = and(_T_3484, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 484:82] + buf_error_en[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3486 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:61] + node _T_3487 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 485:85] + node _T_3488 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 485:103] + node _T_3489 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:126] + node _T_3490 = mux(_T_3487, _T_3488, _T_3489) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3491 = mux(buf_error_en[0], _T_3486, _T_3490) @[el2_lsu_bus_buffer.scala 485:30] + buf_data_in[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 485:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3441 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3441 : @[Conditional.scala 39:67] - node _T_3442 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3443 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] - node _T_3444 = eq(_T_3443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3445 = and(_T_3442, _T_3444) @[el2_lsu_bus_buffer.scala 483:71] - node _T_3446 = or(io.dec_tlu_force_halt, _T_3445) @[el2_lsu_bus_buffer.scala 483:55] - node _T_3447 = bits(_T_3446, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] - node _T_3448 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] - node _T_3449 = and(buf_dual[0], _T_3448) @[el2_lsu_bus_buffer.scala 484:28] - node _T_3450 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:57] - node _T_3451 = eq(_T_3450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] - node _T_3452 = and(_T_3449, _T_3451) @[el2_lsu_bus_buffer.scala 484:45] - node _T_3453 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] - node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 484:61] - node _T_3455 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 485:27] - node _T_3456 = or(_T_3455, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] - node _T_3457 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] - node _T_3458 = and(buf_dual[0], _T_3457) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3459 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 485:97] - node _T_3460 = eq(_T_3459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] - node _T_3461 = and(_T_3458, _T_3460) @[el2_lsu_bus_buffer.scala 485:85] - node _T_3462 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3463 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3464 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3465 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3466 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3467 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3468 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3469 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3470 = mux(_T_3462, _T_3463, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3471 = mux(_T_3464, _T_3465, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3472 = mux(_T_3466, _T_3467, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3473 = mux(_T_3468, _T_3469, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3474 = or(_T_3470, _T_3471) @[Mux.scala 27:72] - node _T_3475 = or(_T_3474, _T_3472) @[Mux.scala 27:72] - node _T_3476 = or(_T_3475, _T_3473) @[Mux.scala 27:72] - wire _T_3477 : UInt<1> @[Mux.scala 27:72] - _T_3477 <= _T_3476 @[Mux.scala 27:72] - node _T_3478 = and(_T_3461, _T_3477) @[el2_lsu_bus_buffer.scala 485:101] - node _T_3479 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] - node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 485:138] - node _T_3481 = and(_T_3480, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] - node _T_3482 = or(_T_3456, _T_3481) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3483 = mux(_T_3482, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] - node _T_3484 = mux(_T_3454, UInt<3>("h04"), _T_3483) @[el2_lsu_bus_buffer.scala 484:14] - node _T_3485 = mux(_T_3447, UInt<3>("h00"), _T_3484) @[el2_lsu_bus_buffer.scala 483:31] - buf_nxtstate[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 483:25] - node _T_3486 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3487 = and(bus_rsp_write, _T_3486) @[el2_lsu_bus_buffer.scala 486:52] - node _T_3488 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 487:46] - node _T_3489 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 488:23] - node _T_3490 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 488:47] - node _T_3491 = and(_T_3489, _T_3490) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3492 = or(_T_3488, _T_3491) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3493 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 489:26] - node _T_3494 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 489:54] - node _T_3495 = not(_T_3494) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3496 = and(_T_3493, _T_3495) @[el2_lsu_bus_buffer.scala 489:42] - node _T_3497 = and(_T_3496, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 489:58] - node _T_3498 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 489:94] - node _T_3499 = and(_T_3497, _T_3498) @[el2_lsu_bus_buffer.scala 489:74] - node _T_3500 = or(_T_3492, _T_3499) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3501 = and(bus_rsp_read, _T_3500) @[el2_lsu_bus_buffer.scala 487:25] - node _T_3502 = or(_T_3487, _T_3501) @[el2_lsu_bus_buffer.scala 486:105] - buf_resp_state_bus_en[0] <= _T_3502 @[el2_lsu_bus_buffer.scala 486:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 490:29] - node _T_3503 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3504 = or(_T_3503, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[0] <= _T_3504 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3505 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3506 = and(_T_3505, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] - buf_data_en[0] <= _T_3506 @[el2_lsu_bus_buffer.scala 492:24] - node _T_3507 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_3508 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 493:111] - node _T_3509 = and(bus_rsp_read_error, _T_3508) @[el2_lsu_bus_buffer.scala 493:91] - node _T_3510 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:42] - node _T_3511 = and(bus_rsp_read_error, _T_3510) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3512 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 494:66] - node _T_3513 = and(_T_3511, _T_3512) @[el2_lsu_bus_buffer.scala 494:46] - node _T_3514 = or(_T_3509, _T_3513) @[el2_lsu_bus_buffer.scala 493:143] - node _T_3515 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] - node _T_3516 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 495:74] - node _T_3517 = and(_T_3515, _T_3516) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3518 = or(_T_3514, _T_3517) @[el2_lsu_bus_buffer.scala 494:88] - node _T_3519 = and(_T_3507, _T_3518) @[el2_lsu_bus_buffer.scala 493:68] - buf_error_en[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3520 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] - node _T_3521 = and(buf_state_en[0], _T_3520) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3522 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] - node _T_3523 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] - node _T_3524 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] - node _T_3525 = mux(_T_3522, _T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 496:72] - node _T_3526 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] - node _T_3527 = mux(_T_3521, _T_3525, _T_3526) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[0] <= _T_3527 @[el2_lsu_bus_buffer.scala 496:24] + node _T_3492 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3492 : @[Conditional.scala 39:67] + node _T_3493 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3494 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3495 = eq(_T_3494, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3496 = and(_T_3493, _T_3495) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3497 = or(io.dec_tlu_force_halt, _T_3496) @[el2_lsu_bus_buffer.scala 488:55] + node _T_3498 = bits(_T_3497, 0, 0) @[el2_lsu_bus_buffer.scala 488:125] + node _T_3499 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:30] + node _T_3500 = and(buf_dual[0], _T_3499) @[el2_lsu_bus_buffer.scala 489:28] + node _T_3501 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 489:57] + node _T_3502 = eq(_T_3501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3503 = and(_T_3500, _T_3502) @[el2_lsu_bus_buffer.scala 489:45] + node _T_3504 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 489:90] + node _T_3505 = and(_T_3503, _T_3504) @[el2_lsu_bus_buffer.scala 489:61] + node _T_3506 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 490:27] + node _T_3507 = or(_T_3506, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:31] + node _T_3508 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:70] + node _T_3509 = and(buf_dual[0], _T_3508) @[el2_lsu_bus_buffer.scala 490:68] + node _T_3510 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 490:97] + node _T_3511 = eq(_T_3510, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:87] + node _T_3512 = and(_T_3509, _T_3511) @[el2_lsu_bus_buffer.scala 490:85] + node _T_3513 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3514 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3515 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3516 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3517 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3518 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3519 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3520 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3521 = mux(_T_3513, _T_3514, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3522 = mux(_T_3515, _T_3516, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3523 = mux(_T_3517, _T_3518, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3524 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3525 = or(_T_3521, _T_3522) @[Mux.scala 27:72] + node _T_3526 = or(_T_3525, _T_3523) @[Mux.scala 27:72] + node _T_3527 = or(_T_3526, _T_3524) @[Mux.scala 27:72] + wire _T_3528 : UInt<1> @[Mux.scala 27:72] + _T_3528 <= _T_3527 @[Mux.scala 27:72] + node _T_3529 = and(_T_3512, _T_3528) @[el2_lsu_bus_buffer.scala 490:101] + node _T_3530 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:167] + node _T_3531 = and(_T_3529, _T_3530) @[el2_lsu_bus_buffer.scala 490:138] + node _T_3532 = and(_T_3531, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:187] + node _T_3533 = or(_T_3507, _T_3532) @[el2_lsu_bus_buffer.scala 490:53] + node _T_3534 = mux(_T_3533, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 490:16] + node _T_3535 = mux(_T_3505, UInt<3>("h04"), _T_3534) @[el2_lsu_bus_buffer.scala 489:14] + node _T_3536 = mux(_T_3498, UInt<3>("h00"), _T_3535) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[0] <= _T_3536 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3537 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_3538 = and(bus_rsp_write, _T_3537) @[el2_lsu_bus_buffer.scala 491:52] + node _T_3539 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:46] + node _T_3540 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 493:23] + node _T_3541 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 493:47] + node _T_3542 = and(_T_3540, _T_3541) @[el2_lsu_bus_buffer.scala 493:27] + node _T_3543 = or(_T_3539, _T_3542) @[el2_lsu_bus_buffer.scala 492:77] + node _T_3544 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 494:26] + node _T_3545 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 494:54] + node _T_3546 = not(_T_3545) @[el2_lsu_bus_buffer.scala 494:44] + node _T_3547 = and(_T_3544, _T_3546) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3548 = and(_T_3547, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 494:58] + node _T_3549 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 494:94] + node _T_3550 = and(_T_3548, _T_3549) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3551 = or(_T_3543, _T_3550) @[el2_lsu_bus_buffer.scala 493:71] + node _T_3552 = and(bus_rsp_read, _T_3551) @[el2_lsu_bus_buffer.scala 492:25] + node _T_3553 = or(_T_3538, _T_3552) @[el2_lsu_bus_buffer.scala 491:105] + buf_resp_state_bus_en[0] <= _T_3553 @[el2_lsu_bus_buffer.scala 491:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 495:29] + node _T_3554 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3555 = or(_T_3554, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 496:70] + buf_state_en[0] <= _T_3555 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3556 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3557 = and(_T_3556, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:62] + buf_data_en[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 497:24] + node _T_3558 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3559 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 498:111] + node _T_3560 = and(bus_rsp_read_error, _T_3559) @[el2_lsu_bus_buffer.scala 498:91] + node _T_3561 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 499:42] + node _T_3562 = and(bus_rsp_read_error, _T_3561) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3563 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3564 = and(_T_3562, _T_3563) @[el2_lsu_bus_buffer.scala 499:46] + node _T_3565 = or(_T_3560, _T_3564) @[el2_lsu_bus_buffer.scala 498:143] + node _T_3566 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 500:32] + node _T_3567 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 500:74] + node _T_3568 = and(_T_3566, _T_3567) @[el2_lsu_bus_buffer.scala 500:53] + node _T_3569 = or(_T_3565, _T_3568) @[el2_lsu_bus_buffer.scala 499:88] + node _T_3570 = and(_T_3558, _T_3569) @[el2_lsu_bus_buffer.scala 498:68] + buf_error_en[0] <= _T_3570 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3571 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:50] + node _T_3572 = and(buf_state_en[0], _T_3571) @[el2_lsu_bus_buffer.scala 501:48] + node _T_3573 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 501:84] + node _T_3574 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 501:102] + node _T_3575 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:125] + node _T_3576 = mux(_T_3573, _T_3574, _T_3575) @[el2_lsu_bus_buffer.scala 501:72] + node _T_3577 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:148] + node _T_3578 = mux(_T_3572, _T_3576, _T_3577) @[el2_lsu_bus_buffer.scala 501:30] + buf_data_in[0] <= _T_3578 @[el2_lsu_bus_buffer.scala 501:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3528 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3528 : @[Conditional.scala 39:67] - node _T_3529 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_3530 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 499:86] - node _T_3531 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3532 = bits(_T_3531, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3533 = or(_T_3530, _T_3532) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3534 = or(_T_3533, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] - node _T_3535 = mux(_T_3534, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] - node _T_3536 = mux(_T_3529, UInt<3>("h00"), _T_3535) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[0] <= _T_3536 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3537 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3538 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3539 = bits(_T_3538, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3540 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 501:58] - node _T_3541 = and(_T_3539, _T_3540) @[el2_lsu_bus_buffer.scala 501:38] - node _T_3542 = or(_T_3537, _T_3541) @[el2_lsu_bus_buffer.scala 500:95] - node _T_3543 = and(bus_rsp_read, _T_3542) @[el2_lsu_bus_buffer.scala 500:45] - buf_state_bus_en[0] <= _T_3543 @[el2_lsu_bus_buffer.scala 500:29] - node _T_3544 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] - node _T_3545 = or(_T_3544, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] - buf_state_en[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3579 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3579 : @[Conditional.scala 39:67] + node _T_3580 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3581 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 504:86] + node _T_3582 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3583 = bits(_T_3582, 0, 0) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3584 = or(_T_3581, _T_3583) @[el2_lsu_bus_buffer.scala 504:90] + node _T_3585 = or(_T_3584, any_done_wait_state) @[el2_lsu_bus_buffer.scala 504:118] + node _T_3586 = mux(_T_3585, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_3587 = mux(_T_3580, UInt<3>("h00"), _T_3586) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[0] <= _T_3587 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3588 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 505:66] + node _T_3589 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3590 = bits(_T_3589, 0, 0) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3591 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_3592 = and(_T_3590, _T_3591) @[el2_lsu_bus_buffer.scala 506:38] + node _T_3593 = or(_T_3588, _T_3592) @[el2_lsu_bus_buffer.scala 505:95] + node _T_3594 = and(bus_rsp_read, _T_3593) @[el2_lsu_bus_buffer.scala 505:45] + buf_state_bus_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 505:29] + node _T_3595 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_3596 = or(_T_3595, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[0] <= _T_3596 @[el2_lsu_bus_buffer.scala 507:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3546 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3546 : @[Conditional.scala 39:67] - node _T_3547 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3548 = mux(_T_3547, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[0] <= _T_3548 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3549 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 506:37] - node _T_3550 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] - node _T_3551 = and(buf_dual[0], _T_3550) @[el2_lsu_bus_buffer.scala 506:80] - node _T_3552 = or(_T_3549, _T_3551) @[el2_lsu_bus_buffer.scala 506:65] - node _T_3553 = or(_T_3552, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] - buf_state_en[0] <= _T_3553 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3597 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3597 : @[Conditional.scala 39:67] + node _T_3598 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3599 = mux(_T_3598, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[0] <= _T_3599 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3600 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 511:37] + node _T_3601 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 511:98] + node _T_3602 = and(buf_dual[0], _T_3601) @[el2_lsu_bus_buffer.scala 511:80] + node _T_3603 = or(_T_3600, _T_3602) @[el2_lsu_bus_buffer.scala 511:65] + node _T_3604 = or(_T_3603, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:112] + buf_state_en[0] <= _T_3604 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3554 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3554 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 513:25] + node _T_3605 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3605 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 514:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 515:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 518:25] skip @[Conditional.scala 39:67] - node _T_3555 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] - reg _T_3556 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3555 : @[Reg.scala 28:19] - _T_3556 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3606 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:108] + reg _T_3607 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3606 : @[Reg.scala 28:19] + _T_3607 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 516:18] - reg _T_3557 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] - _T_3557 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 517:60] - buf_ageQ[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 517:17] - reg _T_3558 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] - _T_3558 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 518:63] - buf_rspageQ[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3559 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] - reg _T_3560 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3559 : @[Reg.scala 28:19] - _T_3560 <= buf_dualtag_in[0] @[Reg.scala 28:23] + buf_state[0] <= _T_3607 @[el2_lsu_bus_buffer.scala 521:18] + reg _T_3608 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 522:60] + _T_3608 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 522:60] + buf_ageQ[0] <= _T_3608 @[el2_lsu_bus_buffer.scala 522:17] + reg _T_3609 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:63] + _T_3609 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 523:63] + buf_rspageQ[0] <= _T_3609 @[el2_lsu_bus_buffer.scala 523:20] + node _T_3610 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 524:109] + reg _T_3611 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3610 : @[Reg.scala 28:19] + _T_3611 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3560 @[el2_lsu_bus_buffer.scala 519:20] - node _T_3561 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:74] - node _T_3562 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] - reg _T_3563 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3562 : @[Reg.scala 28:19] - _T_3563 <= _T_3561 @[Reg.scala 28:23] + buf_dualtag[0] <= _T_3611 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3612 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 525:74] + node _T_3613 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:107] + reg _T_3614 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3613 : @[Reg.scala 28:19] + _T_3614 <= _T_3612 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 520:17] - node _T_3564 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:78] - node _T_3565 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] - reg _T_3566 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3565 : @[Reg.scala 28:19] - _T_3566 <= _T_3564 @[Reg.scala 28:23] + buf_dual[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 525:17] + node _T_3615 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 526:78] + node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + reg _T_3617 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3616 : @[Reg.scala 28:19] + _T_3617 <= _T_3615 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 521:19] - node _T_3567 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 522:80] - node _T_3568 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] - reg _T_3569 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3568 : @[Reg.scala 28:19] - _T_3569 <= _T_3567 @[Reg.scala 28:23] + buf_samedw[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 526:19] + node _T_3618 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:80] + node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:113] + reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3619 : @[Reg.scala 28:19] + _T_3620 <= _T_3618 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3570 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 523:78] - node _T_3571 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] - reg _T_3572 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3571 : @[Reg.scala 28:19] - _T_3572 <= _T_3570 @[Reg.scala 28:23] + buf_nomerge[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 527:20] + node _T_3621 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:78] + node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:111] + reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3622 : @[Reg.scala 28:19] + _T_3623 <= _T_3621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 523:19] - node _T_3573 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3573 : @[Conditional.scala 40:58] - node _T_3574 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] - node _T_3575 = mux(_T_3574, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] - buf_nxtstate[1] <= _T_3575 @[el2_lsu_bus_buffer.scala 460:25] - node _T_3576 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] - node _T_3577 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3578 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] - node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 461:95] - node _T_3580 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] - node _T_3581 = and(_T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 461:112] - node _T_3582 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] - node _T_3583 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] - node _T_3584 = and(_T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 461:161] - node _T_3585 = or(_T_3581, _T_3584) @[el2_lsu_bus_buffer.scala 461:132] - node _T_3586 = and(_T_3576, _T_3585) @[el2_lsu_bus_buffer.scala 461:63] - node _T_3587 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] - node _T_3588 = and(ibuf_drain_vld, _T_3587) @[el2_lsu_bus_buffer.scala 461:201] - node _T_3589 = or(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 461:183] - buf_state_en[1] <= _T_3589 @[el2_lsu_bus_buffer.scala 461:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 462:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 463:24] - node _T_3590 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] - node _T_3591 = and(ibuf_drain_vld, _T_3590) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3592 = bits(_T_3591, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] - node _T_3593 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] - node _T_3594 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] - node _T_3595 = mux(_T_3592, _T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 464:30] - buf_data_in[1] <= _T_3595 @[el2_lsu_bus_buffer.scala 464:24] + buf_dualhi[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 528:19] + node _T_3624 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3624 : @[Conditional.scala 40:58] + node _T_3625 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 465:56] + node _T_3626 = mux(_T_3625, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 465:31] + buf_nxtstate[1] <= _T_3626 @[el2_lsu_bus_buffer.scala 465:25] + node _T_3627 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 466:45] + node _T_3628 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:77] + node _T_3629 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:97] + node _T_3630 = and(_T_3628, _T_3629) @[el2_lsu_bus_buffer.scala 466:95] + node _T_3631 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 466:117] + node _T_3632 = and(_T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 466:112] + node _T_3633 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:144] + node _T_3634 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 466:166] + node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 466:161] + node _T_3636 = or(_T_3632, _T_3635) @[el2_lsu_bus_buffer.scala 466:132] + node _T_3637 = and(_T_3627, _T_3636) @[el2_lsu_bus_buffer.scala 466:63] + node _T_3638 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 466:206] + node _T_3639 = and(ibuf_drain_vld, _T_3638) @[el2_lsu_bus_buffer.scala 466:201] + node _T_3640 = or(_T_3637, _T_3639) @[el2_lsu_bus_buffer.scala 466:183] + buf_state_en[1] <= _T_3640 @[el2_lsu_bus_buffer.scala 466:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 467:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 468:24] + node _T_3641 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:52] + node _T_3642 = and(ibuf_drain_vld, _T_3641) @[el2_lsu_bus_buffer.scala 469:47] + node _T_3643 = bits(_T_3642, 0, 0) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3644 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3645 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3646 = mux(_T_3643, _T_3644, _T_3645) @[el2_lsu_bus_buffer.scala 469:30] + buf_data_in[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 469:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3596 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3596 : @[Conditional.scala 39:67] - node _T_3597 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] - node _T_3598 = mux(_T_3597, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] - buf_nxtstate[1] <= _T_3598 @[el2_lsu_bus_buffer.scala 467:25] - node _T_3599 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] - buf_state_en[1] <= _T_3599 @[el2_lsu_bus_buffer.scala 468:25] + node _T_3647 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3647 : @[Conditional.scala 39:67] + node _T_3648 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 472:60] + node _T_3649 = mux(_T_3648, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:31] + buf_nxtstate[1] <= _T_3649 @[el2_lsu_bus_buffer.scala 472:25] + node _T_3650 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:46] + buf_state_en[1] <= _T_3650 @[el2_lsu_bus_buffer.scala 473:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3600 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3600 : @[Conditional.scala 39:67] - node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] - node _T_3602 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] - node _T_3603 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] - node _T_3604 = and(_T_3602, _T_3603) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3605 = mux(_T_3604, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] - node _T_3606 = mux(_T_3601, UInt<3>("h00"), _T_3605) @[el2_lsu_bus_buffer.scala 471:31] - buf_nxtstate[1] <= _T_3606 @[el2_lsu_bus_buffer.scala 471:25] - node _T_3607 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3608 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 472:104] - node _T_3609 = and(obuf_merge, _T_3608) @[el2_lsu_bus_buffer.scala 472:91] - node _T_3610 = or(_T_3607, _T_3609) @[el2_lsu_bus_buffer.scala 472:77] - node _T_3611 = and(_T_3610, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] - node _T_3612 = and(_T_3611, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] - buf_cmd_state_bus_en[1] <= _T_3612 @[el2_lsu_bus_buffer.scala 472:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 473:29] - node _T_3613 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] - node _T_3614 = or(_T_3613, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] - buf_state_en[1] <= _T_3614 @[el2_lsu_bus_buffer.scala 474:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] - node _T_3615 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 476:56] - node _T_3616 = eq(_T_3615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] - node _T_3617 = and(buf_state_en[1], _T_3616) @[el2_lsu_bus_buffer.scala 476:44] - node _T_3618 = and(_T_3617, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] - node _T_3620 = and(_T_3618, _T_3619) @[el2_lsu_bus_buffer.scala 476:74] - buf_ldfwd_en[1] <= _T_3620 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3621 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] - buf_ldfwdtag_in[1] <= _T_3621 @[el2_lsu_bus_buffer.scala 477:28] - node _T_3622 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] - node _T_3623 = and(_T_3622, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] - node _T_3624 = and(_T_3623, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] - buf_data_en[1] <= _T_3624 @[el2_lsu_bus_buffer.scala 478:24] - node _T_3625 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3626 = and(_T_3625, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] - node _T_3627 = and(_T_3626, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] - buf_error_en[1] <= _T_3627 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3628 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] - node _T_3629 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] - node _T_3630 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3631 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] - node _T_3632 = mux(_T_3629, _T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3633 = mux(buf_error_en[1], _T_3628, _T_3632) @[el2_lsu_bus_buffer.scala 480:30] - buf_data_in[1] <= _T_3633 @[el2_lsu_bus_buffer.scala 480:24] + node _T_3651 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3651 : @[Conditional.scala 39:67] + node _T_3652 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3653 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:89] + node _T_3654 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 476:124] + node _T_3655 = and(_T_3653, _T_3654) @[el2_lsu_bus_buffer.scala 476:104] + node _T_3656 = mux(_T_3655, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 476:75] + node _T_3657 = mux(_T_3652, UInt<3>("h00"), _T_3656) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[1] <= _T_3657 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3658 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3659 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3660 = and(obuf_merge, _T_3659) @[el2_lsu_bus_buffer.scala 477:91] + node _T_3661 = or(_T_3658, _T_3660) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3662 = and(_T_3661, obuf_valid) @[el2_lsu_bus_buffer.scala 477:135] + node _T_3663 = and(_T_3662, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 477:148] + buf_cmd_state_bus_en[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 477:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 478:29] + node _T_3664 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:49] + node _T_3665 = or(_T_3664, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 479:70] + buf_state_en[1] <= _T_3665 @[el2_lsu_bus_buffer.scala 479:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 480:25] + node _T_3666 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 481:56] + node _T_3667 = eq(_T_3666, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3668 = and(buf_state_en[1], _T_3667) @[el2_lsu_bus_buffer.scala 481:44] + node _T_3669 = and(_T_3668, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3670 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:76] + node _T_3671 = and(_T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 481:74] + buf_ldfwd_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3672 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 482:46] + buf_ldfwdtag_in[1] <= _T_3672 @[el2_lsu_bus_buffer.scala 482:28] + node _T_3673 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3674 = and(_T_3673, obuf_nosend) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3675 = and(_T_3674, bus_rsp_read) @[el2_lsu_bus_buffer.scala 483:81] + buf_data_en[1] <= _T_3675 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3676 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:48] + node _T_3677 = and(_T_3676, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3678 = and(_T_3677, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 484:82] + buf_error_en[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3679 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:61] + node _T_3680 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 485:85] + node _T_3681 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 485:103] + node _T_3682 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:126] + node _T_3683 = mux(_T_3680, _T_3681, _T_3682) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3684 = mux(buf_error_en[1], _T_3679, _T_3683) @[el2_lsu_bus_buffer.scala 485:30] + buf_data_in[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 485:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3634 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3634 : @[Conditional.scala 39:67] - node _T_3635 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3636 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] - node _T_3637 = eq(_T_3636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3638 = and(_T_3635, _T_3637) @[el2_lsu_bus_buffer.scala 483:71] - node _T_3639 = or(io.dec_tlu_force_halt, _T_3638) @[el2_lsu_bus_buffer.scala 483:55] - node _T_3640 = bits(_T_3639, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] - node _T_3641 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] - node _T_3642 = and(buf_dual[1], _T_3641) @[el2_lsu_bus_buffer.scala 484:28] - node _T_3643 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:57] - node _T_3644 = eq(_T_3643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] - node _T_3645 = and(_T_3642, _T_3644) @[el2_lsu_bus_buffer.scala 484:45] - node _T_3646 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] - node _T_3647 = and(_T_3645, _T_3646) @[el2_lsu_bus_buffer.scala 484:61] - node _T_3648 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 485:27] - node _T_3649 = or(_T_3648, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] - node _T_3650 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] - node _T_3651 = and(buf_dual[1], _T_3650) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3652 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 485:97] - node _T_3653 = eq(_T_3652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] - node _T_3654 = and(_T_3651, _T_3653) @[el2_lsu_bus_buffer.scala 485:85] - node _T_3655 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3656 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3657 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3658 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3659 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3660 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3661 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3662 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3663 = mux(_T_3655, _T_3656, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3664 = mux(_T_3657, _T_3658, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3665 = mux(_T_3659, _T_3660, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3666 = mux(_T_3661, _T_3662, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3667 = or(_T_3663, _T_3664) @[Mux.scala 27:72] - node _T_3668 = or(_T_3667, _T_3665) @[Mux.scala 27:72] - node _T_3669 = or(_T_3668, _T_3666) @[Mux.scala 27:72] - wire _T_3670 : UInt<1> @[Mux.scala 27:72] - _T_3670 <= _T_3669 @[Mux.scala 27:72] - node _T_3671 = and(_T_3654, _T_3670) @[el2_lsu_bus_buffer.scala 485:101] - node _T_3672 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] - node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 485:138] - node _T_3674 = and(_T_3673, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] - node _T_3675 = or(_T_3649, _T_3674) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] - node _T_3677 = mux(_T_3647, UInt<3>("h04"), _T_3676) @[el2_lsu_bus_buffer.scala 484:14] - node _T_3678 = mux(_T_3640, UInt<3>("h00"), _T_3677) @[el2_lsu_bus_buffer.scala 483:31] - buf_nxtstate[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 483:25] - node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3680 = and(bus_rsp_write, _T_3679) @[el2_lsu_bus_buffer.scala 486:52] - node _T_3681 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 487:46] - node _T_3682 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 488:23] - node _T_3683 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 488:47] - node _T_3684 = and(_T_3682, _T_3683) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3685 = or(_T_3681, _T_3684) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3686 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 489:26] - node _T_3687 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 489:54] - node _T_3688 = not(_T_3687) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 489:42] - node _T_3690 = and(_T_3689, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 489:58] - node _T_3691 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 489:94] - node _T_3692 = and(_T_3690, _T_3691) @[el2_lsu_bus_buffer.scala 489:74] - node _T_3693 = or(_T_3685, _T_3692) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3694 = and(bus_rsp_read, _T_3693) @[el2_lsu_bus_buffer.scala 487:25] - node _T_3695 = or(_T_3680, _T_3694) @[el2_lsu_bus_buffer.scala 486:105] - buf_resp_state_bus_en[1] <= _T_3695 @[el2_lsu_bus_buffer.scala 486:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 490:29] - node _T_3696 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[1] <= _T_3697 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3698 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3699 = and(_T_3698, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] - buf_data_en[1] <= _T_3699 @[el2_lsu_bus_buffer.scala 492:24] - node _T_3700 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_3701 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:111] - node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 493:91] - node _T_3703 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:42] - node _T_3704 = and(bus_rsp_read_error, _T_3703) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3705 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 494:66] - node _T_3706 = and(_T_3704, _T_3705) @[el2_lsu_bus_buffer.scala 494:46] - node _T_3707 = or(_T_3702, _T_3706) @[el2_lsu_bus_buffer.scala 493:143] - node _T_3708 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] - node _T_3709 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:74] - node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3711 = or(_T_3707, _T_3710) @[el2_lsu_bus_buffer.scala 494:88] - node _T_3712 = and(_T_3700, _T_3711) @[el2_lsu_bus_buffer.scala 493:68] - buf_error_en[1] <= _T_3712 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3713 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] - node _T_3714 = and(buf_state_en[1], _T_3713) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3715 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] - node _T_3716 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] - node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] - node _T_3718 = mux(_T_3715, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 496:72] - node _T_3719 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] - node _T_3720 = mux(_T_3714, _T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[1] <= _T_3720 @[el2_lsu_bus_buffer.scala 496:24] + node _T_3685 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3685 : @[Conditional.scala 39:67] + node _T_3686 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3687 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3688 = eq(_T_3687, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3690 = or(io.dec_tlu_force_halt, _T_3689) @[el2_lsu_bus_buffer.scala 488:55] + node _T_3691 = bits(_T_3690, 0, 0) @[el2_lsu_bus_buffer.scala 488:125] + node _T_3692 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:30] + node _T_3693 = and(buf_dual[1], _T_3692) @[el2_lsu_bus_buffer.scala 489:28] + node _T_3694 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 489:57] + node _T_3695 = eq(_T_3694, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3696 = and(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 489:45] + node _T_3697 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 489:90] + node _T_3698 = and(_T_3696, _T_3697) @[el2_lsu_bus_buffer.scala 489:61] + node _T_3699 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 490:27] + node _T_3700 = or(_T_3699, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:31] + node _T_3701 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:70] + node _T_3702 = and(buf_dual[1], _T_3701) @[el2_lsu_bus_buffer.scala 490:68] + node _T_3703 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 490:97] + node _T_3704 = eq(_T_3703, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:87] + node _T_3705 = and(_T_3702, _T_3704) @[el2_lsu_bus_buffer.scala 490:85] + node _T_3706 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3707 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3708 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3709 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3710 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3711 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3712 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3713 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3714 = mux(_T_3706, _T_3707, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3715 = mux(_T_3708, _T_3709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3716 = mux(_T_3710, _T_3711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3717 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3718 = or(_T_3714, _T_3715) @[Mux.scala 27:72] + node _T_3719 = or(_T_3718, _T_3716) @[Mux.scala 27:72] + node _T_3720 = or(_T_3719, _T_3717) @[Mux.scala 27:72] + wire _T_3721 : UInt<1> @[Mux.scala 27:72] + _T_3721 <= _T_3720 @[Mux.scala 27:72] + node _T_3722 = and(_T_3705, _T_3721) @[el2_lsu_bus_buffer.scala 490:101] + node _T_3723 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:167] + node _T_3724 = and(_T_3722, _T_3723) @[el2_lsu_bus_buffer.scala 490:138] + node _T_3725 = and(_T_3724, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:187] + node _T_3726 = or(_T_3700, _T_3725) @[el2_lsu_bus_buffer.scala 490:53] + node _T_3727 = mux(_T_3726, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 490:16] + node _T_3728 = mux(_T_3698, UInt<3>("h04"), _T_3727) @[el2_lsu_bus_buffer.scala 489:14] + node _T_3729 = mux(_T_3691, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[1] <= _T_3729 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3730 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_3731 = and(bus_rsp_write, _T_3730) @[el2_lsu_bus_buffer.scala 491:52] + node _T_3732 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:46] + node _T_3733 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 493:23] + node _T_3734 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 493:47] + node _T_3735 = and(_T_3733, _T_3734) @[el2_lsu_bus_buffer.scala 493:27] + node _T_3736 = or(_T_3732, _T_3735) @[el2_lsu_bus_buffer.scala 492:77] + node _T_3737 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 494:26] + node _T_3738 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 494:54] + node _T_3739 = not(_T_3738) @[el2_lsu_bus_buffer.scala 494:44] + node _T_3740 = and(_T_3737, _T_3739) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3741 = and(_T_3740, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 494:58] + node _T_3742 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 494:94] + node _T_3743 = and(_T_3741, _T_3742) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3744 = or(_T_3736, _T_3743) @[el2_lsu_bus_buffer.scala 493:71] + node _T_3745 = and(bus_rsp_read, _T_3744) @[el2_lsu_bus_buffer.scala 492:25] + node _T_3746 = or(_T_3731, _T_3745) @[el2_lsu_bus_buffer.scala 491:105] + buf_resp_state_bus_en[1] <= _T_3746 @[el2_lsu_bus_buffer.scala 491:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 495:29] + node _T_3747 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3748 = or(_T_3747, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 496:70] + buf_state_en[1] <= _T_3748 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3749 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3750 = and(_T_3749, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:62] + buf_data_en[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 497:24] + node _T_3751 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3752 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 498:111] + node _T_3753 = and(bus_rsp_read_error, _T_3752) @[el2_lsu_bus_buffer.scala 498:91] + node _T_3754 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 499:42] + node _T_3755 = and(bus_rsp_read_error, _T_3754) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3756 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3757 = and(_T_3755, _T_3756) @[el2_lsu_bus_buffer.scala 499:46] + node _T_3758 = or(_T_3753, _T_3757) @[el2_lsu_bus_buffer.scala 498:143] + node _T_3759 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 500:32] + node _T_3760 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 500:74] + node _T_3761 = and(_T_3759, _T_3760) @[el2_lsu_bus_buffer.scala 500:53] + node _T_3762 = or(_T_3758, _T_3761) @[el2_lsu_bus_buffer.scala 499:88] + node _T_3763 = and(_T_3751, _T_3762) @[el2_lsu_bus_buffer.scala 498:68] + buf_error_en[1] <= _T_3763 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3764 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:50] + node _T_3765 = and(buf_state_en[1], _T_3764) @[el2_lsu_bus_buffer.scala 501:48] + node _T_3766 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 501:84] + node _T_3767 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 501:102] + node _T_3768 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:125] + node _T_3769 = mux(_T_3766, _T_3767, _T_3768) @[el2_lsu_bus_buffer.scala 501:72] + node _T_3770 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:148] + node _T_3771 = mux(_T_3765, _T_3769, _T_3770) @[el2_lsu_bus_buffer.scala 501:30] + buf_data_in[1] <= _T_3771 @[el2_lsu_bus_buffer.scala 501:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3721 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3721 : @[Conditional.scala 39:67] - node _T_3722 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_3723 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 499:86] - node _T_3724 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3725 = bits(_T_3724, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3726 = or(_T_3723, _T_3725) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3727 = or(_T_3726, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] - node _T_3728 = mux(_T_3727, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] - node _T_3729 = mux(_T_3722, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[1] <= _T_3729 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3730 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3731 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3732 = bits(_T_3731, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3733 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 501:58] - node _T_3734 = and(_T_3732, _T_3733) @[el2_lsu_bus_buffer.scala 501:38] - node _T_3735 = or(_T_3730, _T_3734) @[el2_lsu_bus_buffer.scala 500:95] - node _T_3736 = and(bus_rsp_read, _T_3735) @[el2_lsu_bus_buffer.scala 500:45] - buf_state_bus_en[1] <= _T_3736 @[el2_lsu_bus_buffer.scala 500:29] - node _T_3737 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] - node _T_3738 = or(_T_3737, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] - buf_state_en[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3772 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3772 : @[Conditional.scala 39:67] + node _T_3773 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3774 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 504:86] + node _T_3775 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3776 = bits(_T_3775, 0, 0) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3777 = or(_T_3774, _T_3776) @[el2_lsu_bus_buffer.scala 504:90] + node _T_3778 = or(_T_3777, any_done_wait_state) @[el2_lsu_bus_buffer.scala 504:118] + node _T_3779 = mux(_T_3778, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_3780 = mux(_T_3773, UInt<3>("h00"), _T_3779) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[1] <= _T_3780 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3781 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 505:66] + node _T_3782 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3783 = bits(_T_3782, 0, 0) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3784 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_3785 = and(_T_3783, _T_3784) @[el2_lsu_bus_buffer.scala 506:38] + node _T_3786 = or(_T_3781, _T_3785) @[el2_lsu_bus_buffer.scala 505:95] + node _T_3787 = and(bus_rsp_read, _T_3786) @[el2_lsu_bus_buffer.scala 505:45] + buf_state_bus_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 505:29] + node _T_3788 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_3789 = or(_T_3788, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[1] <= _T_3789 @[el2_lsu_bus_buffer.scala 507:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3739 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3739 : @[Conditional.scala 39:67] - node _T_3740 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3741 = mux(_T_3740, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[1] <= _T_3741 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3742 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 506:37] - node _T_3743 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] - node _T_3744 = and(buf_dual[1], _T_3743) @[el2_lsu_bus_buffer.scala 506:80] - node _T_3745 = or(_T_3742, _T_3744) @[el2_lsu_bus_buffer.scala 506:65] - node _T_3746 = or(_T_3745, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] - buf_state_en[1] <= _T_3746 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3790 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3790 : @[Conditional.scala 39:67] + node _T_3791 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3792 = mux(_T_3791, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[1] <= _T_3792 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3793 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 511:37] + node _T_3794 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 511:98] + node _T_3795 = and(buf_dual[1], _T_3794) @[el2_lsu_bus_buffer.scala 511:80] + node _T_3796 = or(_T_3793, _T_3795) @[el2_lsu_bus_buffer.scala 511:65] + node _T_3797 = or(_T_3796, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:112] + buf_state_en[1] <= _T_3797 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3747 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3747 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 513:25] + node _T_3798 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3798 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 514:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 515:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 518:25] skip @[Conditional.scala 39:67] - node _T_3748 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] - reg _T_3749 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3748 : @[Reg.scala 28:19] - _T_3749 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3799 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:108] + reg _T_3800 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3799 : @[Reg.scala 28:19] + _T_3800 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 516:18] - reg _T_3750 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] - _T_3750 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 517:60] - buf_ageQ[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 517:17] - reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] - _T_3751 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 518:63] - buf_rspageQ[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3752 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] - reg _T_3753 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3752 : @[Reg.scala 28:19] - _T_3753 <= buf_dualtag_in[1] @[Reg.scala 28:23] + buf_state[1] <= _T_3800 @[el2_lsu_bus_buffer.scala 521:18] + reg _T_3801 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 522:60] + _T_3801 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 522:60] + buf_ageQ[1] <= _T_3801 @[el2_lsu_bus_buffer.scala 522:17] + reg _T_3802 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:63] + _T_3802 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 523:63] + buf_rspageQ[1] <= _T_3802 @[el2_lsu_bus_buffer.scala 523:20] + node _T_3803 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 524:109] + reg _T_3804 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3803 : @[Reg.scala 28:19] + _T_3804 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3753 @[el2_lsu_bus_buffer.scala 519:20] - node _T_3754 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:74] - node _T_3755 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] - reg _T_3756 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3755 : @[Reg.scala 28:19] - _T_3756 <= _T_3754 @[Reg.scala 28:23] + buf_dualtag[1] <= _T_3804 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3805 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 525:74] + node _T_3806 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:107] + reg _T_3807 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3806 : @[Reg.scala 28:19] + _T_3807 <= _T_3805 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 520:17] - node _T_3757 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:78] - node _T_3758 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] - reg _T_3759 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3758 : @[Reg.scala 28:19] - _T_3759 <= _T_3757 @[Reg.scala 28:23] + buf_dual[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 525:17] + node _T_3808 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 526:78] + node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + reg _T_3810 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3809 : @[Reg.scala 28:19] + _T_3810 <= _T_3808 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 521:19] - node _T_3760 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 522:80] - node _T_3761 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] - reg _T_3762 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3761 : @[Reg.scala 28:19] - _T_3762 <= _T_3760 @[Reg.scala 28:23] + buf_samedw[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 526:19] + node _T_3811 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:80] + node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:113] + reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3812 : @[Reg.scala 28:19] + _T_3813 <= _T_3811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3763 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 523:78] - node _T_3764 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] - reg _T_3765 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3764 : @[Reg.scala 28:19] - _T_3765 <= _T_3763 @[Reg.scala 28:23] + buf_nomerge[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 527:20] + node _T_3814 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:78] + node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:111] + reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3815 : @[Reg.scala 28:19] + _T_3816 <= _T_3814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 523:19] - node _T_3766 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3766 : @[Conditional.scala 40:58] - node _T_3767 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] - node _T_3768 = mux(_T_3767, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] - buf_nxtstate[2] <= _T_3768 @[el2_lsu_bus_buffer.scala 460:25] - node _T_3769 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] - node _T_3770 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3771 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] - node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 461:95] - node _T_3773 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] - node _T_3774 = and(_T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 461:112] - node _T_3775 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] - node _T_3776 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] - node _T_3777 = and(_T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 461:161] - node _T_3778 = or(_T_3774, _T_3777) @[el2_lsu_bus_buffer.scala 461:132] - node _T_3779 = and(_T_3769, _T_3778) @[el2_lsu_bus_buffer.scala 461:63] - node _T_3780 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] - node _T_3781 = and(ibuf_drain_vld, _T_3780) @[el2_lsu_bus_buffer.scala 461:201] - node _T_3782 = or(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 461:183] - buf_state_en[2] <= _T_3782 @[el2_lsu_bus_buffer.scala 461:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 462:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 463:24] - node _T_3783 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] - node _T_3784 = and(ibuf_drain_vld, _T_3783) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3785 = bits(_T_3784, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] - node _T_3786 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] - node _T_3787 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] - node _T_3788 = mux(_T_3785, _T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 464:30] - buf_data_in[2] <= _T_3788 @[el2_lsu_bus_buffer.scala 464:24] + buf_dualhi[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 528:19] + node _T_3817 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3817 : @[Conditional.scala 40:58] + node _T_3818 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 465:56] + node _T_3819 = mux(_T_3818, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 465:31] + buf_nxtstate[2] <= _T_3819 @[el2_lsu_bus_buffer.scala 465:25] + node _T_3820 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 466:45] + node _T_3821 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:77] + node _T_3822 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:97] + node _T_3823 = and(_T_3821, _T_3822) @[el2_lsu_bus_buffer.scala 466:95] + node _T_3824 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 466:117] + node _T_3825 = and(_T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 466:112] + node _T_3826 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:144] + node _T_3827 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 466:166] + node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 466:161] + node _T_3829 = or(_T_3825, _T_3828) @[el2_lsu_bus_buffer.scala 466:132] + node _T_3830 = and(_T_3820, _T_3829) @[el2_lsu_bus_buffer.scala 466:63] + node _T_3831 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 466:206] + node _T_3832 = and(ibuf_drain_vld, _T_3831) @[el2_lsu_bus_buffer.scala 466:201] + node _T_3833 = or(_T_3830, _T_3832) @[el2_lsu_bus_buffer.scala 466:183] + buf_state_en[2] <= _T_3833 @[el2_lsu_bus_buffer.scala 466:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 467:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 468:24] + node _T_3834 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:52] + node _T_3835 = and(ibuf_drain_vld, _T_3834) @[el2_lsu_bus_buffer.scala 469:47] + node _T_3836 = bits(_T_3835, 0, 0) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3837 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3838 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3839 = mux(_T_3836, _T_3837, _T_3838) @[el2_lsu_bus_buffer.scala 469:30] + buf_data_in[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 469:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3789 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3789 : @[Conditional.scala 39:67] - node _T_3790 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] - node _T_3791 = mux(_T_3790, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] - buf_nxtstate[2] <= _T_3791 @[el2_lsu_bus_buffer.scala 467:25] - node _T_3792 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] - buf_state_en[2] <= _T_3792 @[el2_lsu_bus_buffer.scala 468:25] + node _T_3840 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3840 : @[Conditional.scala 39:67] + node _T_3841 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 472:60] + node _T_3842 = mux(_T_3841, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:31] + buf_nxtstate[2] <= _T_3842 @[el2_lsu_bus_buffer.scala 472:25] + node _T_3843 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:46] + buf_state_en[2] <= _T_3843 @[el2_lsu_bus_buffer.scala 473:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3793 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3793 : @[Conditional.scala 39:67] - node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] - node _T_3795 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] - node _T_3796 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] - node _T_3797 = and(_T_3795, _T_3796) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3798 = mux(_T_3797, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] - node _T_3799 = mux(_T_3794, UInt<3>("h00"), _T_3798) @[el2_lsu_bus_buffer.scala 471:31] - buf_nxtstate[2] <= _T_3799 @[el2_lsu_bus_buffer.scala 471:25] - node _T_3800 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3801 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:104] - node _T_3802 = and(obuf_merge, _T_3801) @[el2_lsu_bus_buffer.scala 472:91] - node _T_3803 = or(_T_3800, _T_3802) @[el2_lsu_bus_buffer.scala 472:77] - node _T_3804 = and(_T_3803, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] - node _T_3805 = and(_T_3804, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] - buf_cmd_state_bus_en[2] <= _T_3805 @[el2_lsu_bus_buffer.scala 472:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 473:29] - node _T_3806 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] - node _T_3807 = or(_T_3806, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] - buf_state_en[2] <= _T_3807 @[el2_lsu_bus_buffer.scala 474:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] - node _T_3808 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 476:56] - node _T_3809 = eq(_T_3808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] - node _T_3810 = and(buf_state_en[2], _T_3809) @[el2_lsu_bus_buffer.scala 476:44] - node _T_3811 = and(_T_3810, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3812 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] - node _T_3813 = and(_T_3811, _T_3812) @[el2_lsu_bus_buffer.scala 476:74] - buf_ldfwd_en[2] <= _T_3813 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3814 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] - buf_ldfwdtag_in[2] <= _T_3814 @[el2_lsu_bus_buffer.scala 477:28] - node _T_3815 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] - node _T_3816 = and(_T_3815, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] - node _T_3817 = and(_T_3816, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] - buf_data_en[2] <= _T_3817 @[el2_lsu_bus_buffer.scala 478:24] - node _T_3818 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3819 = and(_T_3818, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] - node _T_3820 = and(_T_3819, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] - buf_error_en[2] <= _T_3820 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3821 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] - node _T_3822 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] - node _T_3823 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3824 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] - node _T_3825 = mux(_T_3822, _T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3826 = mux(buf_error_en[2], _T_3821, _T_3825) @[el2_lsu_bus_buffer.scala 480:30] - buf_data_in[2] <= _T_3826 @[el2_lsu_bus_buffer.scala 480:24] + node _T_3844 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3844 : @[Conditional.scala 39:67] + node _T_3845 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3846 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:89] + node _T_3847 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 476:124] + node _T_3848 = and(_T_3846, _T_3847) @[el2_lsu_bus_buffer.scala 476:104] + node _T_3849 = mux(_T_3848, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 476:75] + node _T_3850 = mux(_T_3845, UInt<3>("h00"), _T_3849) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[2] <= _T_3850 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3851 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3852 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3853 = and(obuf_merge, _T_3852) @[el2_lsu_bus_buffer.scala 477:91] + node _T_3854 = or(_T_3851, _T_3853) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3855 = and(_T_3854, obuf_valid) @[el2_lsu_bus_buffer.scala 477:135] + node _T_3856 = and(_T_3855, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 477:148] + buf_cmd_state_bus_en[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 477:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 478:29] + node _T_3857 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:49] + node _T_3858 = or(_T_3857, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 479:70] + buf_state_en[2] <= _T_3858 @[el2_lsu_bus_buffer.scala 479:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 480:25] + node _T_3859 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 481:56] + node _T_3860 = eq(_T_3859, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3861 = and(buf_state_en[2], _T_3860) @[el2_lsu_bus_buffer.scala 481:44] + node _T_3862 = and(_T_3861, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3863 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:76] + node _T_3864 = and(_T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 481:74] + buf_ldfwd_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3865 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 482:46] + buf_ldfwdtag_in[2] <= _T_3865 @[el2_lsu_bus_buffer.scala 482:28] + node _T_3866 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3867 = and(_T_3866, obuf_nosend) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3868 = and(_T_3867, bus_rsp_read) @[el2_lsu_bus_buffer.scala 483:81] + buf_data_en[2] <= _T_3868 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3869 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:48] + node _T_3870 = and(_T_3869, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3871 = and(_T_3870, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 484:82] + buf_error_en[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3872 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:61] + node _T_3873 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 485:85] + node _T_3874 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 485:103] + node _T_3875 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:126] + node _T_3876 = mux(_T_3873, _T_3874, _T_3875) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3877 = mux(buf_error_en[2], _T_3872, _T_3876) @[el2_lsu_bus_buffer.scala 485:30] + buf_data_in[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 485:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3827 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3827 : @[Conditional.scala 39:67] - node _T_3828 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3829 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] - node _T_3830 = eq(_T_3829, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3831 = and(_T_3828, _T_3830) @[el2_lsu_bus_buffer.scala 483:71] - node _T_3832 = or(io.dec_tlu_force_halt, _T_3831) @[el2_lsu_bus_buffer.scala 483:55] - node _T_3833 = bits(_T_3832, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] - node _T_3834 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] - node _T_3835 = and(buf_dual[2], _T_3834) @[el2_lsu_bus_buffer.scala 484:28] - node _T_3836 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:57] - node _T_3837 = eq(_T_3836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] - node _T_3838 = and(_T_3835, _T_3837) @[el2_lsu_bus_buffer.scala 484:45] - node _T_3839 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] - node _T_3840 = and(_T_3838, _T_3839) @[el2_lsu_bus_buffer.scala 484:61] - node _T_3841 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 485:27] - node _T_3842 = or(_T_3841, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] - node _T_3843 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] - node _T_3844 = and(buf_dual[2], _T_3843) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3845 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 485:97] - node _T_3846 = eq(_T_3845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] - node _T_3847 = and(_T_3844, _T_3846) @[el2_lsu_bus_buffer.scala 485:85] - node _T_3848 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3849 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3850 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3852 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3853 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3854 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3855 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3856 = mux(_T_3848, _T_3849, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3857 = mux(_T_3850, _T_3851, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3858 = mux(_T_3852, _T_3853, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3859 = mux(_T_3854, _T_3855, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3860 = or(_T_3856, _T_3857) @[Mux.scala 27:72] - node _T_3861 = or(_T_3860, _T_3858) @[Mux.scala 27:72] - node _T_3862 = or(_T_3861, _T_3859) @[Mux.scala 27:72] - wire _T_3863 : UInt<1> @[Mux.scala 27:72] - _T_3863 <= _T_3862 @[Mux.scala 27:72] - node _T_3864 = and(_T_3847, _T_3863) @[el2_lsu_bus_buffer.scala 485:101] - node _T_3865 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] - node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 485:138] - node _T_3867 = and(_T_3866, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] - node _T_3868 = or(_T_3842, _T_3867) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3869 = mux(_T_3868, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] - node _T_3870 = mux(_T_3840, UInt<3>("h04"), _T_3869) @[el2_lsu_bus_buffer.scala 484:14] - node _T_3871 = mux(_T_3833, UInt<3>("h00"), _T_3870) @[el2_lsu_bus_buffer.scala 483:31] - buf_nxtstate[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 483:25] - node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3873 = and(bus_rsp_write, _T_3872) @[el2_lsu_bus_buffer.scala 486:52] - node _T_3874 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 487:46] - node _T_3875 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 488:23] - node _T_3876 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 488:47] - node _T_3877 = and(_T_3875, _T_3876) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3878 = or(_T_3874, _T_3877) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3879 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 489:26] - node _T_3880 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 489:54] - node _T_3881 = not(_T_3880) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 489:42] - node _T_3883 = and(_T_3882, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 489:58] - node _T_3884 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 489:94] - node _T_3885 = and(_T_3883, _T_3884) @[el2_lsu_bus_buffer.scala 489:74] - node _T_3886 = or(_T_3878, _T_3885) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3887 = and(bus_rsp_read, _T_3886) @[el2_lsu_bus_buffer.scala 487:25] - node _T_3888 = or(_T_3873, _T_3887) @[el2_lsu_bus_buffer.scala 486:105] - buf_resp_state_bus_en[2] <= _T_3888 @[el2_lsu_bus_buffer.scala 486:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 490:29] - node _T_3889 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[2] <= _T_3890 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3891 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3892 = and(_T_3891, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] - buf_data_en[2] <= _T_3892 @[el2_lsu_bus_buffer.scala 492:24] - node _T_3893 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_3894 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 493:111] - node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 493:91] - node _T_3896 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:42] - node _T_3897 = and(bus_rsp_read_error, _T_3896) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3898 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 494:66] - node _T_3899 = and(_T_3897, _T_3898) @[el2_lsu_bus_buffer.scala 494:46] - node _T_3900 = or(_T_3895, _T_3899) @[el2_lsu_bus_buffer.scala 493:143] - node _T_3901 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] - node _T_3902 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 495:74] - node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3904 = or(_T_3900, _T_3903) @[el2_lsu_bus_buffer.scala 494:88] - node _T_3905 = and(_T_3893, _T_3904) @[el2_lsu_bus_buffer.scala 493:68] - buf_error_en[2] <= _T_3905 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3906 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] - node _T_3907 = and(buf_state_en[2], _T_3906) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3908 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] - node _T_3909 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] - node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] - node _T_3911 = mux(_T_3908, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 496:72] - node _T_3912 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] - node _T_3913 = mux(_T_3907, _T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[2] <= _T_3913 @[el2_lsu_bus_buffer.scala 496:24] + node _T_3878 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3878 : @[Conditional.scala 39:67] + node _T_3879 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3880 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3881 = eq(_T_3880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3883 = or(io.dec_tlu_force_halt, _T_3882) @[el2_lsu_bus_buffer.scala 488:55] + node _T_3884 = bits(_T_3883, 0, 0) @[el2_lsu_bus_buffer.scala 488:125] + node _T_3885 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:30] + node _T_3886 = and(buf_dual[2], _T_3885) @[el2_lsu_bus_buffer.scala 489:28] + node _T_3887 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 489:57] + node _T_3888 = eq(_T_3887, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3889 = and(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 489:45] + node _T_3890 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 489:90] + node _T_3891 = and(_T_3889, _T_3890) @[el2_lsu_bus_buffer.scala 489:61] + node _T_3892 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 490:27] + node _T_3893 = or(_T_3892, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:31] + node _T_3894 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:70] + node _T_3895 = and(buf_dual[2], _T_3894) @[el2_lsu_bus_buffer.scala 490:68] + node _T_3896 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 490:97] + node _T_3897 = eq(_T_3896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:87] + node _T_3898 = and(_T_3895, _T_3897) @[el2_lsu_bus_buffer.scala 490:85] + node _T_3899 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3900 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3901 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3902 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3903 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3904 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3905 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3906 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3907 = mux(_T_3899, _T_3900, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3908 = mux(_T_3901, _T_3902, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3909 = mux(_T_3903, _T_3904, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3910 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3911 = or(_T_3907, _T_3908) @[Mux.scala 27:72] + node _T_3912 = or(_T_3911, _T_3909) @[Mux.scala 27:72] + node _T_3913 = or(_T_3912, _T_3910) @[Mux.scala 27:72] + wire _T_3914 : UInt<1> @[Mux.scala 27:72] + _T_3914 <= _T_3913 @[Mux.scala 27:72] + node _T_3915 = and(_T_3898, _T_3914) @[el2_lsu_bus_buffer.scala 490:101] + node _T_3916 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:167] + node _T_3917 = and(_T_3915, _T_3916) @[el2_lsu_bus_buffer.scala 490:138] + node _T_3918 = and(_T_3917, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:187] + node _T_3919 = or(_T_3893, _T_3918) @[el2_lsu_bus_buffer.scala 490:53] + node _T_3920 = mux(_T_3919, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 490:16] + node _T_3921 = mux(_T_3891, UInt<3>("h04"), _T_3920) @[el2_lsu_bus_buffer.scala 489:14] + node _T_3922 = mux(_T_3884, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[2] <= _T_3922 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3923 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_3924 = and(bus_rsp_write, _T_3923) @[el2_lsu_bus_buffer.scala 491:52] + node _T_3925 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:46] + node _T_3926 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 493:23] + node _T_3927 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 493:47] + node _T_3928 = and(_T_3926, _T_3927) @[el2_lsu_bus_buffer.scala 493:27] + node _T_3929 = or(_T_3925, _T_3928) @[el2_lsu_bus_buffer.scala 492:77] + node _T_3930 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 494:26] + node _T_3931 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 494:54] + node _T_3932 = not(_T_3931) @[el2_lsu_bus_buffer.scala 494:44] + node _T_3933 = and(_T_3930, _T_3932) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3934 = and(_T_3933, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 494:58] + node _T_3935 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 494:94] + node _T_3936 = and(_T_3934, _T_3935) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3937 = or(_T_3929, _T_3936) @[el2_lsu_bus_buffer.scala 493:71] + node _T_3938 = and(bus_rsp_read, _T_3937) @[el2_lsu_bus_buffer.scala 492:25] + node _T_3939 = or(_T_3924, _T_3938) @[el2_lsu_bus_buffer.scala 491:105] + buf_resp_state_bus_en[2] <= _T_3939 @[el2_lsu_bus_buffer.scala 491:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 495:29] + node _T_3940 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3941 = or(_T_3940, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 496:70] + buf_state_en[2] <= _T_3941 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3942 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3943 = and(_T_3942, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:62] + buf_data_en[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 497:24] + node _T_3944 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3945 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 498:111] + node _T_3946 = and(bus_rsp_read_error, _T_3945) @[el2_lsu_bus_buffer.scala 498:91] + node _T_3947 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 499:42] + node _T_3948 = and(bus_rsp_read_error, _T_3947) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3949 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3950 = and(_T_3948, _T_3949) @[el2_lsu_bus_buffer.scala 499:46] + node _T_3951 = or(_T_3946, _T_3950) @[el2_lsu_bus_buffer.scala 498:143] + node _T_3952 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 500:32] + node _T_3953 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:74] + node _T_3954 = and(_T_3952, _T_3953) @[el2_lsu_bus_buffer.scala 500:53] + node _T_3955 = or(_T_3951, _T_3954) @[el2_lsu_bus_buffer.scala 499:88] + node _T_3956 = and(_T_3944, _T_3955) @[el2_lsu_bus_buffer.scala 498:68] + buf_error_en[2] <= _T_3956 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3957 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:50] + node _T_3958 = and(buf_state_en[2], _T_3957) @[el2_lsu_bus_buffer.scala 501:48] + node _T_3959 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 501:84] + node _T_3960 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 501:102] + node _T_3961 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:125] + node _T_3962 = mux(_T_3959, _T_3960, _T_3961) @[el2_lsu_bus_buffer.scala 501:72] + node _T_3963 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:148] + node _T_3964 = mux(_T_3958, _T_3962, _T_3963) @[el2_lsu_bus_buffer.scala 501:30] + buf_data_in[2] <= _T_3964 @[el2_lsu_bus_buffer.scala 501:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3914 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3914 : @[Conditional.scala 39:67] - node _T_3915 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_3916 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 499:86] - node _T_3917 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3918 = bits(_T_3917, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3919 = or(_T_3916, _T_3918) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3920 = or(_T_3919, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] - node _T_3921 = mux(_T_3920, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] - node _T_3922 = mux(_T_3915, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[2] <= _T_3922 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3923 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3924 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3925 = bits(_T_3924, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3926 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 501:58] - node _T_3927 = and(_T_3925, _T_3926) @[el2_lsu_bus_buffer.scala 501:38] - node _T_3928 = or(_T_3923, _T_3927) @[el2_lsu_bus_buffer.scala 500:95] - node _T_3929 = and(bus_rsp_read, _T_3928) @[el2_lsu_bus_buffer.scala 500:45] - buf_state_bus_en[2] <= _T_3929 @[el2_lsu_bus_buffer.scala 500:29] - node _T_3930 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] - node _T_3931 = or(_T_3930, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] - buf_state_en[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3965 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3965 : @[Conditional.scala 39:67] + node _T_3966 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3967 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 504:86] + node _T_3968 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3969 = bits(_T_3968, 0, 0) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3970 = or(_T_3967, _T_3969) @[el2_lsu_bus_buffer.scala 504:90] + node _T_3971 = or(_T_3970, any_done_wait_state) @[el2_lsu_bus_buffer.scala 504:118] + node _T_3972 = mux(_T_3971, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_3973 = mux(_T_3966, UInt<3>("h00"), _T_3972) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[2] <= _T_3973 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3974 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 505:66] + node _T_3975 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3976 = bits(_T_3975, 0, 0) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3977 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_3978 = and(_T_3976, _T_3977) @[el2_lsu_bus_buffer.scala 506:38] + node _T_3979 = or(_T_3974, _T_3978) @[el2_lsu_bus_buffer.scala 505:95] + node _T_3980 = and(bus_rsp_read, _T_3979) @[el2_lsu_bus_buffer.scala 505:45] + buf_state_bus_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 505:29] + node _T_3981 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_3982 = or(_T_3981, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[2] <= _T_3982 @[el2_lsu_bus_buffer.scala 507:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3932 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3932 : @[Conditional.scala 39:67] - node _T_3933 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3934 = mux(_T_3933, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[2] <= _T_3934 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3935 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 506:37] - node _T_3936 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] - node _T_3937 = and(buf_dual[2], _T_3936) @[el2_lsu_bus_buffer.scala 506:80] - node _T_3938 = or(_T_3935, _T_3937) @[el2_lsu_bus_buffer.scala 506:65] - node _T_3939 = or(_T_3938, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] - buf_state_en[2] <= _T_3939 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3983 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3983 : @[Conditional.scala 39:67] + node _T_3984 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3985 = mux(_T_3984, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[2] <= _T_3985 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3986 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 511:37] + node _T_3987 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 511:98] + node _T_3988 = and(buf_dual[2], _T_3987) @[el2_lsu_bus_buffer.scala 511:80] + node _T_3989 = or(_T_3986, _T_3988) @[el2_lsu_bus_buffer.scala 511:65] + node _T_3990 = or(_T_3989, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:112] + buf_state_en[2] <= _T_3990 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3940 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3940 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 513:25] + node _T_3991 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3991 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 514:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 515:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 518:25] skip @[Conditional.scala 39:67] - node _T_3941 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] - reg _T_3942 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3941 : @[Reg.scala 28:19] - _T_3942 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_3992 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:108] + reg _T_3993 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3992 : @[Reg.scala 28:19] + _T_3993 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 516:18] - reg _T_3943 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] - _T_3943 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 517:60] - buf_ageQ[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 517:17] - reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] - _T_3944 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 518:63] - buf_rspageQ[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3945 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] - reg _T_3946 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3945 : @[Reg.scala 28:19] - _T_3946 <= buf_dualtag_in[2] @[Reg.scala 28:23] + buf_state[2] <= _T_3993 @[el2_lsu_bus_buffer.scala 521:18] + reg _T_3994 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 522:60] + _T_3994 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 522:60] + buf_ageQ[2] <= _T_3994 @[el2_lsu_bus_buffer.scala 522:17] + reg _T_3995 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:63] + _T_3995 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 523:63] + buf_rspageQ[2] <= _T_3995 @[el2_lsu_bus_buffer.scala 523:20] + node _T_3996 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 524:109] + reg _T_3997 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3996 : @[Reg.scala 28:19] + _T_3997 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_3946 @[el2_lsu_bus_buffer.scala 519:20] - node _T_3947 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:74] - node _T_3948 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] - reg _T_3949 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3948 : @[Reg.scala 28:19] - _T_3949 <= _T_3947 @[Reg.scala 28:23] + buf_dualtag[2] <= _T_3997 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3998 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 525:74] + node _T_3999 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:107] + reg _T_4000 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3999 : @[Reg.scala 28:19] + _T_4000 <= _T_3998 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 520:17] - node _T_3950 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:78] - node _T_3951 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] - reg _T_3952 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3951 : @[Reg.scala 28:19] - _T_3952 <= _T_3950 @[Reg.scala 28:23] + buf_dual[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 525:17] + node _T_4001 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 526:78] + node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + reg _T_4003 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4002 : @[Reg.scala 28:19] + _T_4003 <= _T_4001 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 521:19] - node _T_3953 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 522:80] - node _T_3954 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] - reg _T_3955 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3954 : @[Reg.scala 28:19] - _T_3955 <= _T_3953 @[Reg.scala 28:23] + buf_samedw[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 526:19] + node _T_4004 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:80] + node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:113] + reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4005 : @[Reg.scala 28:19] + _T_4006 <= _T_4004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3956 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 523:78] - node _T_3957 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] - reg _T_3958 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3957 : @[Reg.scala 28:19] - _T_3958 <= _T_3956 @[Reg.scala 28:23] + buf_nomerge[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4007 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:78] + node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:111] + reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4008 : @[Reg.scala 28:19] + _T_4009 <= _T_4007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 523:19] - node _T_3959 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_3959 : @[Conditional.scala 40:58] - node _T_3960 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] - node _T_3961 = mux(_T_3960, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] - buf_nxtstate[3] <= _T_3961 @[el2_lsu_bus_buffer.scala 460:25] - node _T_3962 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] - node _T_3963 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3964 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] - node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 461:95] - node _T_3966 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] - node _T_3967 = and(_T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 461:112] - node _T_3968 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] - node _T_3969 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] - node _T_3970 = and(_T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 461:161] - node _T_3971 = or(_T_3967, _T_3970) @[el2_lsu_bus_buffer.scala 461:132] - node _T_3972 = and(_T_3962, _T_3971) @[el2_lsu_bus_buffer.scala 461:63] - node _T_3973 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] - node _T_3974 = and(ibuf_drain_vld, _T_3973) @[el2_lsu_bus_buffer.scala 461:201] - node _T_3975 = or(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 461:183] - buf_state_en[3] <= _T_3975 @[el2_lsu_bus_buffer.scala 461:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 462:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 463:24] - node _T_3976 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] - node _T_3977 = and(ibuf_drain_vld, _T_3976) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3978 = bits(_T_3977, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] - node _T_3979 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] - node _T_3980 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] - node _T_3981 = mux(_T_3978, _T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 464:30] - buf_data_in[3] <= _T_3981 @[el2_lsu_bus_buffer.scala 464:24] + buf_dualhi[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 528:19] + node _T_4010 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4010 : @[Conditional.scala 40:58] + node _T_4011 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 465:56] + node _T_4012 = mux(_T_4011, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 465:31] + buf_nxtstate[3] <= _T_4012 @[el2_lsu_bus_buffer.scala 465:25] + node _T_4013 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 466:45] + node _T_4014 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:77] + node _T_4015 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:97] + node _T_4016 = and(_T_4014, _T_4015) @[el2_lsu_bus_buffer.scala 466:95] + node _T_4017 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 466:117] + node _T_4018 = and(_T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 466:112] + node _T_4019 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:144] + node _T_4020 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 466:166] + node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 466:161] + node _T_4022 = or(_T_4018, _T_4021) @[el2_lsu_bus_buffer.scala 466:132] + node _T_4023 = and(_T_4013, _T_4022) @[el2_lsu_bus_buffer.scala 466:63] + node _T_4024 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 466:206] + node _T_4025 = and(ibuf_drain_vld, _T_4024) @[el2_lsu_bus_buffer.scala 466:201] + node _T_4026 = or(_T_4023, _T_4025) @[el2_lsu_bus_buffer.scala 466:183] + buf_state_en[3] <= _T_4026 @[el2_lsu_bus_buffer.scala 466:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 467:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 468:24] + node _T_4027 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:52] + node _T_4028 = and(ibuf_drain_vld, _T_4027) @[el2_lsu_bus_buffer.scala 469:47] + node _T_4029 = bits(_T_4028, 0, 0) @[el2_lsu_bus_buffer.scala 469:73] + node _T_4030 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 469:90] + node _T_4031 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 469:114] + node _T_4032 = mux(_T_4029, _T_4030, _T_4031) @[el2_lsu_bus_buffer.scala 469:30] + buf_data_in[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 469:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3982 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_3982 : @[Conditional.scala 39:67] - node _T_3983 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] - node _T_3984 = mux(_T_3983, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] - buf_nxtstate[3] <= _T_3984 @[el2_lsu_bus_buffer.scala 467:25] - node _T_3985 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] - buf_state_en[3] <= _T_3985 @[el2_lsu_bus_buffer.scala 468:25] + node _T_4033 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4033 : @[Conditional.scala 39:67] + node _T_4034 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 472:60] + node _T_4035 = mux(_T_4034, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:31] + buf_nxtstate[3] <= _T_4035 @[el2_lsu_bus_buffer.scala 472:25] + node _T_4036 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:46] + buf_state_en[3] <= _T_4036 @[el2_lsu_bus_buffer.scala 473:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3986 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_3986 : @[Conditional.scala 39:67] - node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] - node _T_3988 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] - node _T_3989 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] - node _T_3990 = and(_T_3988, _T_3989) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3991 = mux(_T_3990, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] - node _T_3992 = mux(_T_3987, UInt<3>("h00"), _T_3991) @[el2_lsu_bus_buffer.scala 471:31] - buf_nxtstate[3] <= _T_3992 @[el2_lsu_bus_buffer.scala 471:25] - node _T_3993 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3994 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 472:104] - node _T_3995 = and(obuf_merge, _T_3994) @[el2_lsu_bus_buffer.scala 472:91] - node _T_3996 = or(_T_3993, _T_3995) @[el2_lsu_bus_buffer.scala 472:77] - node _T_3997 = and(_T_3996, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] - node _T_3998 = and(_T_3997, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] - buf_cmd_state_bus_en[3] <= _T_3998 @[el2_lsu_bus_buffer.scala 472:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 473:29] - node _T_3999 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] - node _T_4000 = or(_T_3999, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] - buf_state_en[3] <= _T_4000 @[el2_lsu_bus_buffer.scala 474:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] - node _T_4001 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 476:56] - node _T_4002 = eq(_T_4001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] - node _T_4003 = and(buf_state_en[3], _T_4002) @[el2_lsu_bus_buffer.scala 476:44] - node _T_4004 = and(_T_4003, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] - node _T_4005 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] - node _T_4006 = and(_T_4004, _T_4005) @[el2_lsu_bus_buffer.scala 476:74] - buf_ldfwd_en[3] <= _T_4006 @[el2_lsu_bus_buffer.scala 476:25] - node _T_4007 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] - buf_ldfwdtag_in[3] <= _T_4007 @[el2_lsu_bus_buffer.scala 477:28] - node _T_4008 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] - node _T_4009 = and(_T_4008, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] - node _T_4010 = and(_T_4009, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] - buf_data_en[3] <= _T_4010 @[el2_lsu_bus_buffer.scala 478:24] - node _T_4011 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] - node _T_4012 = and(_T_4011, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] - node _T_4013 = and(_T_4012, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] - buf_error_en[3] <= _T_4013 @[el2_lsu_bus_buffer.scala 479:25] - node _T_4014 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] - node _T_4015 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] - node _T_4016 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] - node _T_4017 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] - node _T_4018 = mux(_T_4015, _T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 480:73] - node _T_4019 = mux(buf_error_en[3], _T_4014, _T_4018) @[el2_lsu_bus_buffer.scala 480:30] - buf_data_in[3] <= _T_4019 @[el2_lsu_bus_buffer.scala 480:24] + node _T_4037 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4037 : @[Conditional.scala 39:67] + node _T_4038 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_4039 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:89] + node _T_4040 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 476:124] + node _T_4041 = and(_T_4039, _T_4040) @[el2_lsu_bus_buffer.scala 476:104] + node _T_4042 = mux(_T_4041, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 476:75] + node _T_4043 = mux(_T_4038, UInt<3>("h00"), _T_4042) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[3] <= _T_4043 @[el2_lsu_bus_buffer.scala 476:25] + node _T_4044 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_4045 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:104] + node _T_4046 = and(obuf_merge, _T_4045) @[el2_lsu_bus_buffer.scala 477:91] + node _T_4047 = or(_T_4044, _T_4046) @[el2_lsu_bus_buffer.scala 477:77] + node _T_4048 = and(_T_4047, obuf_valid) @[el2_lsu_bus_buffer.scala 477:135] + node _T_4049 = and(_T_4048, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 477:148] + buf_cmd_state_bus_en[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 477:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 478:29] + node _T_4050 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:49] + node _T_4051 = or(_T_4050, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 479:70] + buf_state_en[3] <= _T_4051 @[el2_lsu_bus_buffer.scala 479:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 480:25] + node _T_4052 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 481:56] + node _T_4053 = eq(_T_4052, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:46] + node _T_4054 = and(buf_state_en[3], _T_4053) @[el2_lsu_bus_buffer.scala 481:44] + node _T_4055 = and(_T_4054, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:60] + node _T_4056 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:76] + node _T_4057 = and(_T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 481:74] + buf_ldfwd_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 481:25] + node _T_4058 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 482:46] + buf_ldfwdtag_in[3] <= _T_4058 @[el2_lsu_bus_buffer.scala 482:28] + node _T_4059 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:47] + node _T_4060 = and(_T_4059, obuf_nosend) @[el2_lsu_bus_buffer.scala 483:67] + node _T_4061 = and(_T_4060, bus_rsp_read) @[el2_lsu_bus_buffer.scala 483:81] + buf_data_en[3] <= _T_4061 @[el2_lsu_bus_buffer.scala 483:24] + node _T_4062 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:48] + node _T_4063 = and(_T_4062, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:68] + node _T_4064 = and(_T_4063, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 484:82] + buf_error_en[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 484:25] + node _T_4065 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:61] + node _T_4066 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 485:85] + node _T_4067 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 485:103] + node _T_4068 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:126] + node _T_4069 = mux(_T_4066, _T_4067, _T_4068) @[el2_lsu_bus_buffer.scala 485:73] + node _T_4070 = mux(buf_error_en[3], _T_4065, _T_4069) @[el2_lsu_bus_buffer.scala 485:30] + buf_data_in[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 485:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4020 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4020 : @[Conditional.scala 39:67] - node _T_4021 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] - node _T_4022 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] - node _T_4023 = eq(_T_4022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] - node _T_4024 = and(_T_4021, _T_4023) @[el2_lsu_bus_buffer.scala 483:71] - node _T_4025 = or(io.dec_tlu_force_halt, _T_4024) @[el2_lsu_bus_buffer.scala 483:55] - node _T_4026 = bits(_T_4025, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] - node _T_4027 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] - node _T_4028 = and(buf_dual[3], _T_4027) @[el2_lsu_bus_buffer.scala 484:28] - node _T_4029 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:57] - node _T_4030 = eq(_T_4029, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] - node _T_4031 = and(_T_4028, _T_4030) @[el2_lsu_bus_buffer.scala 484:45] - node _T_4032 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] - node _T_4033 = and(_T_4031, _T_4032) @[el2_lsu_bus_buffer.scala 484:61] - node _T_4034 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 485:27] - node _T_4035 = or(_T_4034, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] - node _T_4036 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] - node _T_4037 = and(buf_dual[3], _T_4036) @[el2_lsu_bus_buffer.scala 485:68] - node _T_4038 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 485:97] - node _T_4039 = eq(_T_4038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] - node _T_4040 = and(_T_4037, _T_4039) @[el2_lsu_bus_buffer.scala 485:85] - node _T_4041 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4042 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4043 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4044 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4045 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4046 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4047 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4048 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4049 = mux(_T_4041, _T_4042, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4050 = mux(_T_4043, _T_4044, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4051 = mux(_T_4045, _T_4046, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4052 = mux(_T_4047, _T_4048, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4053 = or(_T_4049, _T_4050) @[Mux.scala 27:72] - node _T_4054 = or(_T_4053, _T_4051) @[Mux.scala 27:72] - node _T_4055 = or(_T_4054, _T_4052) @[Mux.scala 27:72] - wire _T_4056 : UInt<1> @[Mux.scala 27:72] - _T_4056 <= _T_4055 @[Mux.scala 27:72] - node _T_4057 = and(_T_4040, _T_4056) @[el2_lsu_bus_buffer.scala 485:101] - node _T_4058 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] - node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 485:138] - node _T_4060 = and(_T_4059, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] - node _T_4061 = or(_T_4035, _T_4060) @[el2_lsu_bus_buffer.scala 485:53] - node _T_4062 = mux(_T_4061, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] - node _T_4063 = mux(_T_4033, UInt<3>("h04"), _T_4062) @[el2_lsu_bus_buffer.scala 484:14] - node _T_4064 = mux(_T_4026, UInt<3>("h00"), _T_4063) @[el2_lsu_bus_buffer.scala 483:31] - buf_nxtstate[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 483:25] - node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_4066 = and(bus_rsp_write, _T_4065) @[el2_lsu_bus_buffer.scala 486:52] - node _T_4067 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 487:46] - node _T_4068 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 488:23] - node _T_4069 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 488:47] - node _T_4070 = and(_T_4068, _T_4069) @[el2_lsu_bus_buffer.scala 488:27] - node _T_4071 = or(_T_4067, _T_4070) @[el2_lsu_bus_buffer.scala 487:77] - node _T_4072 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 489:26] - node _T_4073 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 489:54] - node _T_4074 = not(_T_4073) @[el2_lsu_bus_buffer.scala 489:44] - node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 489:42] - node _T_4076 = and(_T_4075, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 489:58] - node _T_4077 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 489:94] - node _T_4078 = and(_T_4076, _T_4077) @[el2_lsu_bus_buffer.scala 489:74] - node _T_4079 = or(_T_4071, _T_4078) @[el2_lsu_bus_buffer.scala 488:71] - node _T_4080 = and(bus_rsp_read, _T_4079) @[el2_lsu_bus_buffer.scala 487:25] - node _T_4081 = or(_T_4066, _T_4080) @[el2_lsu_bus_buffer.scala 486:105] - buf_resp_state_bus_en[3] <= _T_4081 @[el2_lsu_bus_buffer.scala 486:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 490:29] - node _T_4082 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[3] <= _T_4083 @[el2_lsu_bus_buffer.scala 491:25] - node _T_4084 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] - node _T_4085 = and(_T_4084, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] - buf_data_en[3] <= _T_4085 @[el2_lsu_bus_buffer.scala 492:24] - node _T_4086 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_4087 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 493:111] - node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 493:91] - node _T_4089 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:42] - node _T_4090 = and(bus_rsp_read_error, _T_4089) @[el2_lsu_bus_buffer.scala 494:31] - node _T_4091 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 494:66] - node _T_4092 = and(_T_4090, _T_4091) @[el2_lsu_bus_buffer.scala 494:46] - node _T_4093 = or(_T_4088, _T_4092) @[el2_lsu_bus_buffer.scala 493:143] - node _T_4094 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] - node _T_4095 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 495:74] - node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 495:53] - node _T_4097 = or(_T_4093, _T_4096) @[el2_lsu_bus_buffer.scala 494:88] - node _T_4098 = and(_T_4086, _T_4097) @[el2_lsu_bus_buffer.scala 493:68] - buf_error_en[3] <= _T_4098 @[el2_lsu_bus_buffer.scala 493:25] - node _T_4099 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] - node _T_4100 = and(buf_state_en[3], _T_4099) @[el2_lsu_bus_buffer.scala 496:48] - node _T_4101 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] - node _T_4102 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] - node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] - node _T_4104 = mux(_T_4101, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 496:72] - node _T_4105 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] - node _T_4106 = mux(_T_4100, _T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[3] <= _T_4106 @[el2_lsu_bus_buffer.scala 496:24] + node _T_4071 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4071 : @[Conditional.scala 39:67] + node _T_4072 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 488:67] + node _T_4073 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 488:94] + node _T_4074 = eq(_T_4073, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:73] + node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 488:71] + node _T_4076 = or(io.dec_tlu_force_halt, _T_4075) @[el2_lsu_bus_buffer.scala 488:55] + node _T_4077 = bits(_T_4076, 0, 0) @[el2_lsu_bus_buffer.scala 488:125] + node _T_4078 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:30] + node _T_4079 = and(buf_dual[3], _T_4078) @[el2_lsu_bus_buffer.scala 489:28] + node _T_4080 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 489:57] + node _T_4081 = eq(_T_4080, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:47] + node _T_4082 = and(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 489:45] + node _T_4083 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 489:90] + node _T_4084 = and(_T_4082, _T_4083) @[el2_lsu_bus_buffer.scala 489:61] + node _T_4085 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 490:27] + node _T_4086 = or(_T_4085, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:31] + node _T_4087 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:70] + node _T_4088 = and(buf_dual[3], _T_4087) @[el2_lsu_bus_buffer.scala 490:68] + node _T_4089 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 490:97] + node _T_4090 = eq(_T_4089, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:87] + node _T_4091 = and(_T_4088, _T_4090) @[el2_lsu_bus_buffer.scala 490:85] + node _T_4092 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4093 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4094 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4095 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4096 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4097 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4098 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4099 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4100 = mux(_T_4092, _T_4093, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4101 = mux(_T_4094, _T_4095, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4102 = mux(_T_4096, _T_4097, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4103 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4104 = or(_T_4100, _T_4101) @[Mux.scala 27:72] + node _T_4105 = or(_T_4104, _T_4102) @[Mux.scala 27:72] + node _T_4106 = or(_T_4105, _T_4103) @[Mux.scala 27:72] + wire _T_4107 : UInt<1> @[Mux.scala 27:72] + _T_4107 <= _T_4106 @[Mux.scala 27:72] + node _T_4108 = and(_T_4091, _T_4107) @[el2_lsu_bus_buffer.scala 490:101] + node _T_4109 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:167] + node _T_4110 = and(_T_4108, _T_4109) @[el2_lsu_bus_buffer.scala 490:138] + node _T_4111 = and(_T_4110, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:187] + node _T_4112 = or(_T_4086, _T_4111) @[el2_lsu_bus_buffer.scala 490:53] + node _T_4113 = mux(_T_4112, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 490:16] + node _T_4114 = mux(_T_4084, UInt<3>("h04"), _T_4113) @[el2_lsu_bus_buffer.scala 489:14] + node _T_4115 = mux(_T_4077, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[3] <= _T_4115 @[el2_lsu_bus_buffer.scala 488:25] + node _T_4116 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_4117 = and(bus_rsp_write, _T_4116) @[el2_lsu_bus_buffer.scala 491:52] + node _T_4118 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:46] + node _T_4119 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 493:23] + node _T_4120 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 493:47] + node _T_4121 = and(_T_4119, _T_4120) @[el2_lsu_bus_buffer.scala 493:27] + node _T_4122 = or(_T_4118, _T_4121) @[el2_lsu_bus_buffer.scala 492:77] + node _T_4123 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 494:26] + node _T_4124 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 494:54] + node _T_4125 = not(_T_4124) @[el2_lsu_bus_buffer.scala 494:44] + node _T_4126 = and(_T_4123, _T_4125) @[el2_lsu_bus_buffer.scala 494:42] + node _T_4127 = and(_T_4126, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 494:58] + node _T_4128 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 494:94] + node _T_4129 = and(_T_4127, _T_4128) @[el2_lsu_bus_buffer.scala 494:74] + node _T_4130 = or(_T_4122, _T_4129) @[el2_lsu_bus_buffer.scala 493:71] + node _T_4131 = and(bus_rsp_read, _T_4130) @[el2_lsu_bus_buffer.scala 492:25] + node _T_4132 = or(_T_4117, _T_4131) @[el2_lsu_bus_buffer.scala 491:105] + buf_resp_state_bus_en[3] <= _T_4132 @[el2_lsu_bus_buffer.scala 491:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 495:29] + node _T_4133 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:49] + node _T_4134 = or(_T_4133, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 496:70] + buf_state_en[3] <= _T_4134 @[el2_lsu_bus_buffer.scala 496:25] + node _T_4135 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 497:47] + node _T_4136 = and(_T_4135, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:62] + buf_data_en[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 497:24] + node _T_4137 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:48] + node _T_4138 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 498:111] + node _T_4139 = and(bus_rsp_read_error, _T_4138) @[el2_lsu_bus_buffer.scala 498:91] + node _T_4140 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 499:42] + node _T_4141 = and(bus_rsp_read_error, _T_4140) @[el2_lsu_bus_buffer.scala 499:31] + node _T_4142 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_4143 = and(_T_4141, _T_4142) @[el2_lsu_bus_buffer.scala 499:46] + node _T_4144 = or(_T_4139, _T_4143) @[el2_lsu_bus_buffer.scala 498:143] + node _T_4145 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 500:32] + node _T_4146 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 500:74] + node _T_4147 = and(_T_4145, _T_4146) @[el2_lsu_bus_buffer.scala 500:53] + node _T_4148 = or(_T_4144, _T_4147) @[el2_lsu_bus_buffer.scala 499:88] + node _T_4149 = and(_T_4137, _T_4148) @[el2_lsu_bus_buffer.scala 498:68] + buf_error_en[3] <= _T_4149 @[el2_lsu_bus_buffer.scala 498:25] + node _T_4150 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:50] + node _T_4151 = and(buf_state_en[3], _T_4150) @[el2_lsu_bus_buffer.scala 501:48] + node _T_4152 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 501:84] + node _T_4153 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 501:102] + node _T_4154 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:125] + node _T_4155 = mux(_T_4152, _T_4153, _T_4154) @[el2_lsu_bus_buffer.scala 501:72] + node _T_4156 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:148] + node _T_4157 = mux(_T_4151, _T_4155, _T_4156) @[el2_lsu_bus_buffer.scala 501:30] + buf_data_in[3] <= _T_4157 @[el2_lsu_bus_buffer.scala 501:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4107 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4107 : @[Conditional.scala 39:67] - node _T_4108 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_4109 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 499:86] - node _T_4110 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:101] - node _T_4111 = bits(_T_4110, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] - node _T_4112 = or(_T_4109, _T_4111) @[el2_lsu_bus_buffer.scala 499:90] - node _T_4113 = or(_T_4112, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] - node _T_4114 = mux(_T_4113, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] - node _T_4115 = mux(_T_4108, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[3] <= _T_4115 @[el2_lsu_bus_buffer.scala 499:25] - node _T_4116 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_4117 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 501:21] - node _T_4118 = bits(_T_4117, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] - node _T_4119 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 501:58] - node _T_4120 = and(_T_4118, _T_4119) @[el2_lsu_bus_buffer.scala 501:38] - node _T_4121 = or(_T_4116, _T_4120) @[el2_lsu_bus_buffer.scala 500:95] - node _T_4122 = and(bus_rsp_read, _T_4121) @[el2_lsu_bus_buffer.scala 500:45] - buf_state_bus_en[3] <= _T_4122 @[el2_lsu_bus_buffer.scala 500:29] - node _T_4123 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] - node _T_4124 = or(_T_4123, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] - buf_state_en[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 502:25] + node _T_4158 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4158 : @[Conditional.scala 39:67] + node _T_4159 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_4160 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 504:86] + node _T_4161 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 504:101] + node _T_4162 = bits(_T_4161, 0, 0) @[el2_lsu_bus_buffer.scala 504:101] + node _T_4163 = or(_T_4160, _T_4162) @[el2_lsu_bus_buffer.scala 504:90] + node _T_4164 = or(_T_4163, any_done_wait_state) @[el2_lsu_bus_buffer.scala 504:118] + node _T_4165 = mux(_T_4164, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_4166 = mux(_T_4159, UInt<3>("h00"), _T_4165) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[3] <= _T_4166 @[el2_lsu_bus_buffer.scala 504:25] + node _T_4167 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 505:66] + node _T_4168 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 506:21] + node _T_4169 = bits(_T_4168, 0, 0) @[el2_lsu_bus_buffer.scala 506:21] + node _T_4170 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_4171 = and(_T_4169, _T_4170) @[el2_lsu_bus_buffer.scala 506:38] + node _T_4172 = or(_T_4167, _T_4171) @[el2_lsu_bus_buffer.scala 505:95] + node _T_4173 = and(bus_rsp_read, _T_4172) @[el2_lsu_bus_buffer.scala 505:45] + buf_state_bus_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 505:29] + node _T_4174 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_4175 = or(_T_4174, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[3] <= _T_4175 @[el2_lsu_bus_buffer.scala 507:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4125 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4125 : @[Conditional.scala 39:67] - node _T_4126 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_4127 = mux(_T_4126, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[3] <= _T_4127 @[el2_lsu_bus_buffer.scala 505:25] - node _T_4128 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 506:37] - node _T_4129 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] - node _T_4130 = and(buf_dual[3], _T_4129) @[el2_lsu_bus_buffer.scala 506:80] - node _T_4131 = or(_T_4128, _T_4130) @[el2_lsu_bus_buffer.scala 506:65] - node _T_4132 = or(_T_4131, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] - buf_state_en[3] <= _T_4132 @[el2_lsu_bus_buffer.scala 506:25] + node _T_4176 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4176 : @[Conditional.scala 39:67] + node _T_4177 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_4178 = mux(_T_4177, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[3] <= _T_4178 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4179 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 511:37] + node _T_4180 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 511:98] + node _T_4181 = and(buf_dual[3], _T_4180) @[el2_lsu_bus_buffer.scala 511:80] + node _T_4182 = or(_T_4179, _T_4181) @[el2_lsu_bus_buffer.scala 511:65] + node _T_4183 = or(_T_4182, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:112] + buf_state_en[3] <= _T_4183 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4133 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4133 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 513:25] + node _T_4184 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4184 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 514:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 515:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 518:25] skip @[Conditional.scala 39:67] - node _T_4134 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] - reg _T_4135 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4134 : @[Reg.scala 28:19] - _T_4135 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4185 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:108] + reg _T_4186 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4185 : @[Reg.scala 28:19] + _T_4186 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 516:18] - reg _T_4136 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] - _T_4136 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 517:60] - buf_ageQ[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 517:17] - reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] - _T_4137 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 518:63] - buf_rspageQ[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 518:20] - node _T_4138 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] - reg _T_4139 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4138 : @[Reg.scala 28:19] - _T_4139 <= buf_dualtag_in[3] @[Reg.scala 28:23] + buf_state[3] <= _T_4186 @[el2_lsu_bus_buffer.scala 521:18] + reg _T_4187 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 522:60] + _T_4187 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 522:60] + buf_ageQ[3] <= _T_4187 @[el2_lsu_bus_buffer.scala 522:17] + reg _T_4188 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:63] + _T_4188 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 523:63] + buf_rspageQ[3] <= _T_4188 @[el2_lsu_bus_buffer.scala 523:20] + node _T_4189 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 524:109] + reg _T_4190 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4189 : @[Reg.scala 28:19] + _T_4190 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4139 @[el2_lsu_bus_buffer.scala 519:20] - node _T_4140 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:74] - node _T_4141 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] - reg _T_4142 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4141 : @[Reg.scala 28:19] - _T_4142 <= _T_4140 @[Reg.scala 28:23] + buf_dualtag[3] <= _T_4190 @[el2_lsu_bus_buffer.scala 524:20] + node _T_4191 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 525:74] + node _T_4192 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:107] + reg _T_4193 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4192 : @[Reg.scala 28:19] + _T_4193 <= _T_4191 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 520:17] - node _T_4143 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:78] - node _T_4144 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] - reg _T_4145 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4144 : @[Reg.scala 28:19] - _T_4145 <= _T_4143 @[Reg.scala 28:23] + buf_dual[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 525:17] + node _T_4194 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 526:78] + node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + reg _T_4196 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4195 : @[Reg.scala 28:19] + _T_4196 <= _T_4194 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 521:19] - node _T_4146 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 522:80] - node _T_4147 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] - reg _T_4148 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4147 : @[Reg.scala 28:19] - _T_4148 <= _T_4146 @[Reg.scala 28:23] + buf_samedw[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 526:19] + node _T_4197 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:80] + node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:113] + reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4198 : @[Reg.scala 28:19] + _T_4199 <= _T_4197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 522:20] - node _T_4149 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 523:78] - node _T_4150 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] - reg _T_4151 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4150 : @[Reg.scala 28:19] - _T_4151 <= _T_4149 @[Reg.scala 28:23] + buf_nomerge[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4200 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:78] + node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:111] + reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4201 : @[Reg.scala 28:19] + _T_4202 <= _T_4200 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 523:19] - node _T_4152 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] - reg _T_4153 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4152 : @[Reg.scala 28:19] - _T_4153 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 528:19] + node _T_4203 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:133] + reg _T_4204 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4203 : @[Reg.scala 28:19] + _T_4204 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4154 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] - reg _T_4155 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4154 : @[Reg.scala 28:19] - _T_4155 <= buf_ldfwd_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4156 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] - reg _T_4157 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4156 : @[Reg.scala 28:19] - _T_4157 <= buf_ldfwd_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4158 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] - reg _T_4159 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4158 : @[Reg.scala 28:19] - _T_4159 <= buf_ldfwd_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4160 = cat(_T_4159, _T_4157) @[Cat.scala 29:58] - node _T_4161 = cat(_T_4160, _T_4155) @[Cat.scala 29:58] - node _T_4162 = cat(_T_4161, _T_4153) @[Cat.scala 29:58] - buf_ldfwd <= _T_4162 @[el2_lsu_bus_buffer.scala 526:15] - node _T_4163 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] - reg _T_4164 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4163 : @[Reg.scala 28:19] - _T_4164 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4165 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] - reg _T_4166 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4165 : @[Reg.scala 28:19] - _T_4166 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4167 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] - reg _T_4168 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4167 : @[Reg.scala 28:19] - _T_4168 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4169 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] - reg _T_4170 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4169 : @[Reg.scala 28:19] - _T_4170 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4164 @[el2_lsu_bus_buffer.scala 527:18] - buf_ldfwdtag[1] <= _T_4166 @[el2_lsu_bus_buffer.scala 527:18] - buf_ldfwdtag[2] <= _T_4168 @[el2_lsu_bus_buffer.scala 527:18] - buf_ldfwdtag[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 527:18] - node _T_4171 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:107] - node _T_4172 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] - reg _T_4173 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4172 : @[Reg.scala 28:19] - _T_4173 <= _T_4171 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4174 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:107] - node _T_4175 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] - reg _T_4176 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4175 : @[Reg.scala 28:19] - _T_4176 <= _T_4174 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4177 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:107] - node _T_4178 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] - reg _T_4179 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4178 : @[Reg.scala 28:19] - _T_4179 <= _T_4177 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4180 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:107] - node _T_4181 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] - reg _T_4182 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4181 : @[Reg.scala 28:19] - _T_4182 <= _T_4180 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4183 = cat(_T_4182, _T_4179) @[Cat.scala 29:58] - node _T_4184 = cat(_T_4183, _T_4176) @[Cat.scala 29:58] - node _T_4185 = cat(_T_4184, _T_4173) @[Cat.scala 29:58] - buf_sideeffect <= _T_4185 @[el2_lsu_bus_buffer.scala 528:20] - node _T_4186 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:99] - node _T_4187 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] - reg _T_4188 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4187 : @[Reg.scala 28:19] - _T_4188 <= _T_4186 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4189 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:99] - node _T_4190 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] - reg _T_4191 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4190 : @[Reg.scala 28:19] - _T_4191 <= _T_4189 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4192 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:99] - node _T_4193 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] - reg _T_4194 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4193 : @[Reg.scala 28:19] - _T_4194 <= _T_4192 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4195 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:99] - node _T_4196 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] - reg _T_4197 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4196 : @[Reg.scala 28:19] - _T_4197 <= _T_4195 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4198 = cat(_T_4197, _T_4194) @[Cat.scala 29:58] - node _T_4199 = cat(_T_4198, _T_4191) @[Cat.scala 29:58] - node _T_4200 = cat(_T_4199, _T_4188) @[Cat.scala 29:58] - buf_unsign <= _T_4200 @[el2_lsu_bus_buffer.scala 529:16] - node _T_4201 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4202 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] - reg _T_4203 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4202 : @[Reg.scala 28:19] - _T_4203 <= _T_4201 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4204 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4205 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] + node _T_4205 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:133] reg _T_4206 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4205 : @[Reg.scala 28:19] - _T_4206 <= _T_4204 @[Reg.scala 28:23] + _T_4206 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4207 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4208 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] - reg _T_4209 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4208 : @[Reg.scala 28:19] - _T_4209 <= _T_4207 @[Reg.scala 28:23] + node _T_4207 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:133] + reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4207 : @[Reg.scala 28:19] + _T_4208 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4210 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4211 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] - reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4211 : @[Reg.scala 28:19] - _T_4212 <= _T_4210 @[Reg.scala 28:23] + node _T_4209 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:133] + reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4209 : @[Reg.scala 28:19] + _T_4210 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4213 = cat(_T_4212, _T_4209) @[Cat.scala 29:58] - node _T_4214 = cat(_T_4213, _T_4206) @[Cat.scala 29:58] - node _T_4215 = cat(_T_4214, _T_4203) @[Cat.scala 29:58] - buf_write <= _T_4215 @[el2_lsu_bus_buffer.scala 530:15] - node _T_4216 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] + node _T_4211 = cat(_T_4210, _T_4208) @[Cat.scala 29:58] + node _T_4212 = cat(_T_4211, _T_4206) @[Cat.scala 29:58] + node _T_4213 = cat(_T_4212, _T_4204) @[Cat.scala 29:58] + buf_ldfwd <= _T_4213 @[el2_lsu_bus_buffer.scala 531:15] + node _T_4214 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:134] + reg _T_4215 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4214 : @[Reg.scala 28:19] + _T_4215 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4216 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:134] reg _T_4217 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4216 : @[Reg.scala 28:19] - _T_4217 <= buf_sz_in[0] @[Reg.scala 28:23] + _T_4217 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4218 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] + node _T_4218 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:134] reg _T_4219 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4218 : @[Reg.scala 28:19] - _T_4219 <= buf_sz_in[1] @[Reg.scala 28:23] + _T_4219 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4220 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] + node _T_4220 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:134] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] - _T_4221 <= buf_sz_in[2] @[Reg.scala 28:23] + _T_4221 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] - reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4222 : @[Reg.scala 28:19] - _T_4223 <= buf_sz_in[3] @[Reg.scala 28:23] + buf_ldfwdtag[0] <= _T_4215 @[el2_lsu_bus_buffer.scala 532:18] + buf_ldfwdtag[1] <= _T_4217 @[el2_lsu_bus_buffer.scala 532:18] + buf_ldfwdtag[2] <= _T_4219 @[el2_lsu_bus_buffer.scala 532:18] + buf_ldfwdtag[3] <= _T_4221 @[el2_lsu_bus_buffer.scala 532:18] + node _T_4222 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 533:107] + node _T_4223 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:140] + reg _T_4224 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4223 : @[Reg.scala 28:19] + _T_4224 <= _T_4222 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4217 @[el2_lsu_bus_buffer.scala 531:12] - buf_sz[1] <= _T_4219 @[el2_lsu_bus_buffer.scala 531:12] - buf_sz[2] <= _T_4221 @[el2_lsu_bus_buffer.scala 531:12] - buf_sz[3] <= _T_4223 @[el2_lsu_bus_buffer.scala 531:12] - node _T_4224 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] + node _T_4225 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 533:107] + node _T_4226 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:140] + reg _T_4227 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4226 : @[Reg.scala 28:19] + _T_4227 <= _T_4225 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4228 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 533:107] + node _T_4229 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:140] + reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4229 : @[Reg.scala 28:19] + _T_4230 <= _T_4228 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4231 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 533:107] + node _T_4232 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:140] + reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4232 : @[Reg.scala 28:19] + _T_4233 <= _T_4231 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4234 = cat(_T_4233, _T_4230) @[Cat.scala 29:58] + node _T_4235 = cat(_T_4234, _T_4227) @[Cat.scala 29:58] + node _T_4236 = cat(_T_4235, _T_4224) @[Cat.scala 29:58] + buf_sideeffect <= _T_4236 @[el2_lsu_bus_buffer.scala 533:20] + node _T_4237 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 534:99] + node _T_4238 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:132] + reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4238 : @[Reg.scala 28:19] + _T_4239 <= _T_4237 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4240 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 534:99] + node _T_4241 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:132] + reg _T_4242 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4241 : @[Reg.scala 28:19] + _T_4242 <= _T_4240 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4243 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 534:99] + node _T_4244 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:132] + reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4244 : @[Reg.scala 28:19] + _T_4245 <= _T_4243 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4246 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 534:99] + node _T_4247 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:132] + reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4247 : @[Reg.scala 28:19] + _T_4248 <= _T_4246 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4249 = cat(_T_4248, _T_4245) @[Cat.scala 29:58] + node _T_4250 = cat(_T_4249, _T_4242) @[Cat.scala 29:58] + node _T_4251 = cat(_T_4250, _T_4239) @[Cat.scala 29:58] + buf_unsign <= _T_4251 @[el2_lsu_bus_buffer.scala 534:16] + node _T_4252 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 535:97] + node _T_4253 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:130] + reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4253 : @[Reg.scala 28:19] + _T_4254 <= _T_4252 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4255 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 535:97] + node _T_4256 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:130] + reg _T_4257 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4256 : @[Reg.scala 28:19] + _T_4257 <= _T_4255 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4258 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 535:97] + node _T_4259 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:130] + reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4259 : @[Reg.scala 28:19] + _T_4260 <= _T_4258 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4261 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 535:97] + node _T_4262 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:130] + reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4262 : @[Reg.scala 28:19] + _T_4263 <= _T_4261 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4264 = cat(_T_4263, _T_4260) @[Cat.scala 29:58] + node _T_4265 = cat(_T_4264, _T_4257) @[Cat.scala 29:58] + node _T_4266 = cat(_T_4265, _T_4254) @[Cat.scala 29:58] + buf_write <= _T_4266 @[el2_lsu_bus_buffer.scala 535:15] + node _T_4267 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:119] + reg _T_4268 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4267 : @[Reg.scala 28:19] + _T_4268 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4269 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:119] + reg _T_4270 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4269 : @[Reg.scala 28:19] + _T_4270 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4271 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:119] + reg _T_4272 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4271 : @[Reg.scala 28:19] + _T_4272 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4273 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:119] + reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4273 : @[Reg.scala 28:19] + _T_4274 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4268 @[el2_lsu_bus_buffer.scala 536:12] + buf_sz[1] <= _T_4270 @[el2_lsu_bus_buffer.scala 536:12] + buf_sz[2] <= _T_4272 @[el2_lsu_bus_buffer.scala 536:12] + buf_sz[3] <= _T_4274 @[el2_lsu_bus_buffer.scala 536:12] + node _T_4275 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4224 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4275 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4225 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4225 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4226 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] + reg _T_4276 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4276 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4277 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4226 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4277 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4227 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4227 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4228 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] + reg _T_4278 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4278 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4279 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4228 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4279 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4229 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4229 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4230 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] + reg _T_4280 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4280 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4281 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4230 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4281 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4231 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4231 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4225 @[el2_lsu_bus_buffer.scala 532:14] - buf_addr[1] <= _T_4227 @[el2_lsu_bus_buffer.scala 532:14] - buf_addr[2] <= _T_4229 @[el2_lsu_bus_buffer.scala 532:14] - buf_addr[3] <= _T_4231 @[el2_lsu_bus_buffer.scala 532:14] - node _T_4232 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] - reg _T_4233 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4232 : @[Reg.scala 28:19] - _T_4233 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4282 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4282 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4276 @[el2_lsu_bus_buffer.scala 537:14] + buf_addr[1] <= _T_4278 @[el2_lsu_bus_buffer.scala 537:14] + buf_addr[2] <= _T_4280 @[el2_lsu_bus_buffer.scala 537:14] + buf_addr[3] <= _T_4282 @[el2_lsu_bus_buffer.scala 537:14] + node _T_4283 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:127] + reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4283 : @[Reg.scala 28:19] + _T_4284 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] - reg _T_4235 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4234 : @[Reg.scala 28:19] - _T_4235 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4285 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:127] + reg _T_4286 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4285 : @[Reg.scala 28:19] + _T_4286 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4236 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] - reg _T_4237 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4236 : @[Reg.scala 28:19] - _T_4237 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4287 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:127] + reg _T_4288 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4287 : @[Reg.scala 28:19] + _T_4288 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] - reg _T_4239 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4238 : @[Reg.scala 28:19] - _T_4239 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4289 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:127] + reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4233 @[el2_lsu_bus_buffer.scala 533:16] - buf_byteen[1] <= _T_4235 @[el2_lsu_bus_buffer.scala 533:16] - buf_byteen[2] <= _T_4237 @[el2_lsu_bus_buffer.scala 533:16] - buf_byteen[3] <= _T_4239 @[el2_lsu_bus_buffer.scala 533:16] + buf_byteen[0] <= _T_4284 @[el2_lsu_bus_buffer.scala 538:16] + buf_byteen[1] <= _T_4286 @[el2_lsu_bus_buffer.scala 538:16] + buf_byteen[2] <= _T_4288 @[el2_lsu_bus_buffer.scala 538:16] + buf_byteen[3] <= _T_4290 @[el2_lsu_bus_buffer.scala 538:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4240 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4240 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4291 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4291 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4241 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4241 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4292 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4292 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4242 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4242 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4293 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4293 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4243 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4243 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4240 @[el2_lsu_bus_buffer.scala 534:14] - buf_data[1] <= _T_4241 @[el2_lsu_bus_buffer.scala 534:14] - buf_data[2] <= _T_4242 @[el2_lsu_bus_buffer.scala 534:14] - buf_data[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 534:14] - node _T_4244 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 535:121] - node _T_4245 = mux(buf_error_en[0], UInt<1>("h01"), _T_4244) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4246 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] - node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 535:126] - reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] - _T_4248 <= _T_4247 @[el2_lsu_bus_buffer.scala 535:82] - node _T_4249 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 535:121] - node _T_4250 = mux(buf_error_en[1], UInt<1>("h01"), _T_4249) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4251 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] - node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 535:126] - reg _T_4253 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] - _T_4253 <= _T_4252 @[el2_lsu_bus_buffer.scala 535:82] - node _T_4254 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 535:121] - node _T_4255 = mux(buf_error_en[2], UInt<1>("h01"), _T_4254) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4256 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] - node _T_4257 = and(_T_4255, _T_4256) @[el2_lsu_bus_buffer.scala 535:126] - reg _T_4258 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] - _T_4258 <= _T_4257 @[el2_lsu_bus_buffer.scala 535:82] - node _T_4259 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 535:121] - node _T_4260 = mux(buf_error_en[3], UInt<1>("h01"), _T_4259) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4261 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] - node _T_4262 = and(_T_4260, _T_4261) @[el2_lsu_bus_buffer.scala 535:126] - reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] - _T_4263 <= _T_4262 @[el2_lsu_bus_buffer.scala 535:82] - node _T_4264 = cat(_T_4263, _T_4258) @[Cat.scala 29:58] - node _T_4265 = cat(_T_4264, _T_4253) @[Cat.scala 29:58] - node _T_4266 = cat(_T_4265, _T_4248) @[Cat.scala 29:58] - buf_error <= _T_4266 @[el2_lsu_bus_buffer.scala 535:15] - node _T_4267 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4268 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4269 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4270 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4271 = add(_T_4270, _T_4269) @[el2_lsu_bus_buffer.scala 537:96] - node _T_4272 = add(_T_4271, _T_4268) @[el2_lsu_bus_buffer.scala 537:96] - node buf_numvld_any = add(_T_4272, _T_4267) @[el2_lsu_bus_buffer.scala 537:96] - node _T_4273 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4274 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:76] - node _T_4275 = eq(_T_4274, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] - node _T_4276 = and(_T_4273, _T_4275) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4277 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4278 = and(_T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 538:89] - node _T_4279 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4280 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:76] - node _T_4281 = eq(_T_4280, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] - node _T_4282 = and(_T_4279, _T_4281) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4283 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4284 = and(_T_4282, _T_4283) @[el2_lsu_bus_buffer.scala 538:89] - node _T_4285 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4286 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:76] - node _T_4287 = eq(_T_4286, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] - node _T_4288 = and(_T_4285, _T_4287) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4289 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4290 = and(_T_4288, _T_4289) @[el2_lsu_bus_buffer.scala 538:89] - node _T_4291 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4292 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:76] - node _T_4293 = eq(_T_4292, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] - node _T_4294 = and(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4295 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4296 = and(_T_4294, _T_4295) @[el2_lsu_bus_buffer.scala 538:89] - node _T_4297 = add(_T_4296, _T_4290) @[el2_lsu_bus_buffer.scala 538:142] - node _T_4298 = add(_T_4297, _T_4284) @[el2_lsu_bus_buffer.scala 538:142] - node _T_4299 = add(_T_4298, _T_4278) @[el2_lsu_bus_buffer.scala 538:142] - buf_numvld_wrcmd_any <= _T_4299 @[el2_lsu_bus_buffer.scala 538:24] - node _T_4300 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4301 = eq(_T_4300, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] - node _T_4302 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] - node _T_4303 = and(_T_4301, _T_4302) @[el2_lsu_bus_buffer.scala 539:73] - node _T_4304 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4305 = eq(_T_4304, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] - node _T_4306 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] - node _T_4307 = and(_T_4305, _T_4306) @[el2_lsu_bus_buffer.scala 539:73] - node _T_4308 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4309 = eq(_T_4308, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] - node _T_4310 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] - node _T_4311 = and(_T_4309, _T_4310) @[el2_lsu_bus_buffer.scala 539:73] - node _T_4312 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4313 = eq(_T_4312, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] - node _T_4314 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] - node _T_4315 = and(_T_4313, _T_4314) @[el2_lsu_bus_buffer.scala 539:73] - node _T_4316 = add(_T_4315, _T_4311) @[el2_lsu_bus_buffer.scala 539:126] - node _T_4317 = add(_T_4316, _T_4307) @[el2_lsu_bus_buffer.scala 539:126] - node _T_4318 = add(_T_4317, _T_4303) @[el2_lsu_bus_buffer.scala 539:126] - buf_numvld_cmd_any <= _T_4318 @[el2_lsu_bus_buffer.scala 539:22] - node _T_4319 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4320 = eq(_T_4319, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] - node _T_4321 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 540:85] - node _T_4322 = eq(_T_4321, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] - node _T_4323 = or(_T_4320, _T_4322) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4324 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4325 = and(_T_4323, _T_4324) @[el2_lsu_bus_buffer.scala 540:100] - node _T_4326 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4327 = eq(_T_4326, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] - node _T_4328 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 540:85] - node _T_4329 = eq(_T_4328, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] - node _T_4330 = or(_T_4327, _T_4329) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 540:100] - node _T_4333 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4334 = eq(_T_4333, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] - node _T_4335 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 540:85] - node _T_4336 = eq(_T_4335, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] - node _T_4337 = or(_T_4334, _T_4336) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4338 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 540:100] - node _T_4340 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4341 = eq(_T_4340, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] - node _T_4342 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 540:85] - node _T_4343 = eq(_T_4342, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] - node _T_4344 = or(_T_4341, _T_4343) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4345 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4346 = and(_T_4344, _T_4345) @[el2_lsu_bus_buffer.scala 540:100] - node _T_4347 = add(_T_4346, _T_4339) @[el2_lsu_bus_buffer.scala 540:153] - node _T_4348 = add(_T_4347, _T_4332) @[el2_lsu_bus_buffer.scala 540:153] - node _T_4349 = add(_T_4348, _T_4325) @[el2_lsu_bus_buffer.scala 540:153] - buf_numvld_pend_any <= _T_4349 @[el2_lsu_bus_buffer.scala 540:23] - node _T_4350 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] - node _T_4351 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] - node _T_4352 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] - node _T_4353 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] - node _T_4354 = or(_T_4353, _T_4352) @[el2_lsu_bus_buffer.scala 541:93] - node _T_4355 = or(_T_4354, _T_4351) @[el2_lsu_bus_buffer.scala 541:93] - node _T_4356 = or(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 541:93] - any_done_wait_state <= _T_4356 @[el2_lsu_bus_buffer.scala 541:23] - node _T_4357 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 542:53] - io.lsu_bus_buffer_pend_any <= _T_4357 @[el2_lsu_bus_buffer.scala 542:30] - node _T_4358 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4359 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 543:92] - node _T_4360 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 543:119] - node _T_4361 = mux(_T_4358, _T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 543:36] - io.lsu_bus_buffer_full_any <= _T_4361 @[el2_lsu_bus_buffer.scala 543:30] - node _T_4362 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 544:52] - node _T_4363 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 544:52] - node _T_4364 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 544:52] - node _T_4365 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 544:52] - node _T_4366 = or(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 544:65] - node _T_4367 = or(_T_4366, _T_4364) @[el2_lsu_bus_buffer.scala 544:65] - node _T_4368 = or(_T_4367, _T_4365) @[el2_lsu_bus_buffer.scala 544:65] - node _T_4369 = eq(_T_4368, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:34] - node _T_4370 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:72] - node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 544:70] - node _T_4372 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4373 = and(_T_4371, _T_4372) @[el2_lsu_bus_buffer.scala 544:84] - io.lsu_bus_buffer_empty_any <= _T_4373 @[el2_lsu_bus_buffer.scala 544:31] - node _T_4374 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 546:51] - node _T_4375 = and(_T_4374, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 546:72] - node _T_4376 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:94] - node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 546:92] - node _T_4378 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:111] - node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 546:109] - io.lsu_nonblock_load_valid_m <= _T_4379 @[el2_lsu_bus_buffer.scala 546:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 547:30] + reg _T_4294 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4294 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4291 @[el2_lsu_bus_buffer.scala 539:14] + buf_data[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 539:14] + buf_data[2] <= _T_4293 @[el2_lsu_bus_buffer.scala 539:14] + buf_data[3] <= _T_4294 @[el2_lsu_bus_buffer.scala 539:14] + node _T_4295 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 540:121] + node _T_4296 = mux(buf_error_en[0], UInt<1>("h01"), _T_4295) @[el2_lsu_bus_buffer.scala 540:86] + node _T_4297 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:128] + node _T_4298 = and(_T_4296, _T_4297) @[el2_lsu_bus_buffer.scala 540:126] + reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 540:82] + _T_4299 <= _T_4298 @[el2_lsu_bus_buffer.scala 540:82] + node _T_4300 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 540:121] + node _T_4301 = mux(buf_error_en[1], UInt<1>("h01"), _T_4300) @[el2_lsu_bus_buffer.scala 540:86] + node _T_4302 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:128] + node _T_4303 = and(_T_4301, _T_4302) @[el2_lsu_bus_buffer.scala 540:126] + reg _T_4304 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 540:82] + _T_4304 <= _T_4303 @[el2_lsu_bus_buffer.scala 540:82] + node _T_4305 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 540:121] + node _T_4306 = mux(buf_error_en[2], UInt<1>("h01"), _T_4305) @[el2_lsu_bus_buffer.scala 540:86] + node _T_4307 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:128] + node _T_4308 = and(_T_4306, _T_4307) @[el2_lsu_bus_buffer.scala 540:126] + reg _T_4309 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 540:82] + _T_4309 <= _T_4308 @[el2_lsu_bus_buffer.scala 540:82] + node _T_4310 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 540:121] + node _T_4311 = mux(buf_error_en[3], UInt<1>("h01"), _T_4310) @[el2_lsu_bus_buffer.scala 540:86] + node _T_4312 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:128] + node _T_4313 = and(_T_4311, _T_4312) @[el2_lsu_bus_buffer.scala 540:126] + reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 540:82] + _T_4314 <= _T_4313 @[el2_lsu_bus_buffer.scala 540:82] + node _T_4315 = cat(_T_4314, _T_4309) @[Cat.scala 29:58] + node _T_4316 = cat(_T_4315, _T_4304) @[Cat.scala 29:58] + node _T_4317 = cat(_T_4316, _T_4299) @[Cat.scala 29:58] + buf_error <= _T_4317 @[el2_lsu_bus_buffer.scala 540:15] + node _T_4318 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4319 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4320 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4321 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4322 = add(_T_4321, _T_4320) @[el2_lsu_bus_buffer.scala 542:96] + node _T_4323 = add(_T_4322, _T_4319) @[el2_lsu_bus_buffer.scala 542:96] + node buf_numvld_any = add(_T_4323, _T_4318) @[el2_lsu_bus_buffer.scala 542:96] + node _T_4324 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4325 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 543:76] + node _T_4326 = eq(_T_4325, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:79] + node _T_4327 = and(_T_4324, _T_4326) @[el2_lsu_bus_buffer.scala 543:64] + node _T_4328 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:91] + node _T_4329 = and(_T_4327, _T_4328) @[el2_lsu_bus_buffer.scala 543:89] + node _T_4330 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4331 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 543:76] + node _T_4332 = eq(_T_4331, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:79] + node _T_4333 = and(_T_4330, _T_4332) @[el2_lsu_bus_buffer.scala 543:64] + node _T_4334 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:91] + node _T_4335 = and(_T_4333, _T_4334) @[el2_lsu_bus_buffer.scala 543:89] + node _T_4336 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4337 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 543:76] + node _T_4338 = eq(_T_4337, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:79] + node _T_4339 = and(_T_4336, _T_4338) @[el2_lsu_bus_buffer.scala 543:64] + node _T_4340 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:91] + node _T_4341 = and(_T_4339, _T_4340) @[el2_lsu_bus_buffer.scala 543:89] + node _T_4342 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4343 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 543:76] + node _T_4344 = eq(_T_4343, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:79] + node _T_4345 = and(_T_4342, _T_4344) @[el2_lsu_bus_buffer.scala 543:64] + node _T_4346 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:91] + node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 543:89] + node _T_4348 = add(_T_4347, _T_4341) @[el2_lsu_bus_buffer.scala 543:142] + node _T_4349 = add(_T_4348, _T_4335) @[el2_lsu_bus_buffer.scala 543:142] + node _T_4350 = add(_T_4349, _T_4329) @[el2_lsu_bus_buffer.scala 543:142] + buf_numvld_wrcmd_any <= _T_4350 @[el2_lsu_bus_buffer.scala 543:24] + node _T_4351 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4352 = eq(_T_4351, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:63] + node _T_4353 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:75] + node _T_4354 = and(_T_4352, _T_4353) @[el2_lsu_bus_buffer.scala 544:73] + node _T_4355 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4356 = eq(_T_4355, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:63] + node _T_4357 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:75] + node _T_4358 = and(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 544:73] + node _T_4359 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4360 = eq(_T_4359, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:63] + node _T_4361 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:75] + node _T_4362 = and(_T_4360, _T_4361) @[el2_lsu_bus_buffer.scala 544:73] + node _T_4363 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4364 = eq(_T_4363, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:63] + node _T_4365 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:75] + node _T_4366 = and(_T_4364, _T_4365) @[el2_lsu_bus_buffer.scala 544:73] + node _T_4367 = add(_T_4366, _T_4362) @[el2_lsu_bus_buffer.scala 544:126] + node _T_4368 = add(_T_4367, _T_4358) @[el2_lsu_bus_buffer.scala 544:126] + node _T_4369 = add(_T_4368, _T_4354) @[el2_lsu_bus_buffer.scala 544:126] + buf_numvld_cmd_any <= _T_4369 @[el2_lsu_bus_buffer.scala 544:22] + node _T_4370 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 545:61] + node _T_4371 = eq(_T_4370, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 545:64] + node _T_4372 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 545:85] + node _T_4373 = eq(_T_4372, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:88] + node _T_4374 = or(_T_4371, _T_4373) @[el2_lsu_bus_buffer.scala 545:74] + node _T_4375 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:102] + node _T_4376 = and(_T_4374, _T_4375) @[el2_lsu_bus_buffer.scala 545:100] + node _T_4377 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 545:61] + node _T_4378 = eq(_T_4377, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 545:64] + node _T_4379 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 545:85] + node _T_4380 = eq(_T_4379, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:88] + node _T_4381 = or(_T_4378, _T_4380) @[el2_lsu_bus_buffer.scala 545:74] + node _T_4382 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:102] + node _T_4383 = and(_T_4381, _T_4382) @[el2_lsu_bus_buffer.scala 545:100] + node _T_4384 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 545:61] + node _T_4385 = eq(_T_4384, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 545:64] + node _T_4386 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 545:85] + node _T_4387 = eq(_T_4386, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:88] + node _T_4388 = or(_T_4385, _T_4387) @[el2_lsu_bus_buffer.scala 545:74] + node _T_4389 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:102] + node _T_4390 = and(_T_4388, _T_4389) @[el2_lsu_bus_buffer.scala 545:100] + node _T_4391 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 545:61] + node _T_4392 = eq(_T_4391, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 545:64] + node _T_4393 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 545:85] + node _T_4394 = eq(_T_4393, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:88] + node _T_4395 = or(_T_4392, _T_4394) @[el2_lsu_bus_buffer.scala 545:74] + node _T_4396 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:102] + node _T_4397 = and(_T_4395, _T_4396) @[el2_lsu_bus_buffer.scala 545:100] + node _T_4398 = add(_T_4397, _T_4390) @[el2_lsu_bus_buffer.scala 545:153] + node _T_4399 = add(_T_4398, _T_4383) @[el2_lsu_bus_buffer.scala 545:153] + node _T_4400 = add(_T_4399, _T_4376) @[el2_lsu_bus_buffer.scala 545:153] + buf_numvld_pend_any <= _T_4400 @[el2_lsu_bus_buffer.scala 545:23] + node _T_4401 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4402 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4403 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4404 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4405 = or(_T_4404, _T_4403) @[el2_lsu_bus_buffer.scala 546:93] + node _T_4406 = or(_T_4405, _T_4402) @[el2_lsu_bus_buffer.scala 546:93] + node _T_4407 = or(_T_4406, _T_4401) @[el2_lsu_bus_buffer.scala 546:93] + any_done_wait_state <= _T_4407 @[el2_lsu_bus_buffer.scala 546:23] + node _T_4408 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 547:53] + io.lsu_bus_buffer_pend_any <= _T_4408 @[el2_lsu_bus_buffer.scala 547:30] + node _T_4409 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 548:52] + node _T_4410 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 548:92] + node _T_4411 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 548:119] + node _T_4412 = mux(_T_4409, _T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 548:36] + io.lsu_bus_buffer_full_any <= _T_4412 @[el2_lsu_bus_buffer.scala 548:30] + node _T_4413 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4414 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4415 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4416 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4417 = or(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4418 = or(_T_4417, _T_4415) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4419 = or(_T_4418, _T_4416) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4420 = eq(_T_4419, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:34] + node _T_4421 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:72] + node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 549:70] + node _T_4423 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 549:84] + io.lsu_bus_buffer_empty_any <= _T_4424 @[el2_lsu_bus_buffer.scala 549:31] + node _T_4425 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 551:51] + node _T_4426 = and(_T_4425, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 551:72] + node _T_4427 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:94] + node _T_4428 = and(_T_4426, _T_4427) @[el2_lsu_bus_buffer.scala 551:92] + node _T_4429 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:111] + node _T_4430 = and(_T_4428, _T_4429) @[el2_lsu_bus_buffer.scala 551:109] + io.lsu_nonblock_load_valid_m <= _T_4430 @[el2_lsu_bus_buffer.scala 551:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 552:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4380 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:61] - node _T_4381 = and(lsu_nonblock_load_valid_r, _T_4380) @[el2_lsu_bus_buffer.scala 549:59] - io.lsu_nonblock_load_inv_r <= _T_4381 @[el2_lsu_bus_buffer.scala 549:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 550:34] - node _T_4382 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4383 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:127] - node _T_4384 = and(UInt<1>("h01"), _T_4383) @[el2_lsu_bus_buffer.scala 551:116] - node _T_4385 = eq(_T_4384, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] - node _T_4386 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4387 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:127] - node _T_4388 = and(UInt<1>("h01"), _T_4387) @[el2_lsu_bus_buffer.scala 551:116] - node _T_4389 = eq(_T_4388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] - node _T_4390 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4391 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:127] - node _T_4392 = and(UInt<1>("h01"), _T_4391) @[el2_lsu_bus_buffer.scala 551:116] - node _T_4393 = eq(_T_4392, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] - node _T_4394 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4395 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:127] - node _T_4396 = and(UInt<1>("h01"), _T_4395) @[el2_lsu_bus_buffer.scala 551:116] - node _T_4397 = eq(_T_4396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] - node _T_4398 = mux(_T_4382, _T_4385, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4399 = mux(_T_4386, _T_4389, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4400 = mux(_T_4390, _T_4393, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4401 = mux(_T_4394, _T_4397, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4402 = or(_T_4398, _T_4399) @[Mux.scala 27:72] - node _T_4403 = or(_T_4402, _T_4400) @[Mux.scala 27:72] - node _T_4404 = or(_T_4403, _T_4401) @[Mux.scala 27:72] + node _T_4431 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:61] + node _T_4432 = and(lsu_nonblock_load_valid_r, _T_4431) @[el2_lsu_bus_buffer.scala 554:59] + io.lsu_nonblock_load_inv_r <= _T_4432 @[el2_lsu_bus_buffer.scala 554:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 555:34] + node _T_4433 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4434 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 556:127] + node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 556:116] + node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:95] + node _T_4437 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4438 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 556:127] + node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 556:116] + node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:95] + node _T_4441 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4442 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 556:127] + node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 556:116] + node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:95] + node _T_4445 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4446 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 556:127] + node _T_4447 = and(UInt<1>("h01"), _T_4446) @[el2_lsu_bus_buffer.scala 556:116] + node _T_4448 = eq(_T_4447, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:95] + node _T_4449 = mux(_T_4433, _T_4436, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4450 = mux(_T_4437, _T_4440, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4451 = mux(_T_4441, _T_4444, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4452 = mux(_T_4445, _T_4448, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4453 = or(_T_4449, _T_4450) @[Mux.scala 27:72] + node _T_4454 = or(_T_4453, _T_4451) @[Mux.scala 27:72] + node _T_4455 = or(_T_4454, _T_4452) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4404 @[Mux.scala 27:72] - node _T_4405 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] - node _T_4406 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 552:104] - node _T_4407 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:120] - node _T_4408 = eq(_T_4407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] - node _T_4409 = and(_T_4406, _T_4408) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4410 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] - node _T_4411 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 552:104] - node _T_4412 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:120] - node _T_4413 = eq(_T_4412, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] - node _T_4414 = and(_T_4411, _T_4413) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4415 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] - node _T_4416 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 552:104] - node _T_4417 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:120] - node _T_4418 = eq(_T_4417, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] - node _T_4419 = and(_T_4416, _T_4418) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4420 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] - node _T_4421 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 552:104] - node _T_4422 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:120] - node _T_4423 = eq(_T_4422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] - node _T_4424 = and(_T_4421, _T_4423) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4425 = mux(_T_4405, _T_4409, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4426 = mux(_T_4410, _T_4414, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4427 = mux(_T_4415, _T_4419, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4428 = mux(_T_4420, _T_4424, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4429 = or(_T_4425, _T_4426) @[Mux.scala 27:72] - node _T_4430 = or(_T_4429, _T_4427) @[Mux.scala 27:72] - node _T_4431 = or(_T_4430, _T_4428) @[Mux.scala 27:72] - wire _T_4432 : UInt<1> @[Mux.scala 27:72] - _T_4432 <= _T_4431 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4432 @[el2_lsu_bus_buffer.scala 552:35] - node _T_4433 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4434 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:102] - node _T_4435 = eq(_T_4434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4436 = and(_T_4433, _T_4435) @[el2_lsu_bus_buffer.scala 553:90] - node _T_4437 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] - node _T_4438 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] - node _T_4439 = or(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 553:122] - node _T_4440 = and(_T_4436, _T_4439) @[el2_lsu_bus_buffer.scala 553:106] - node _T_4441 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4442 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:102] - node _T_4443 = eq(_T_4442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4444 = and(_T_4441, _T_4443) @[el2_lsu_bus_buffer.scala 553:90] - node _T_4445 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] - node _T_4446 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] - node _T_4447 = or(_T_4445, _T_4446) @[el2_lsu_bus_buffer.scala 553:122] - node _T_4448 = and(_T_4444, _T_4447) @[el2_lsu_bus_buffer.scala 553:106] - node _T_4449 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4450 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:102] - node _T_4451 = eq(_T_4450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4452 = and(_T_4449, _T_4451) @[el2_lsu_bus_buffer.scala 553:90] - node _T_4453 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] - node _T_4454 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] - node _T_4455 = or(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 553:122] - node _T_4456 = and(_T_4452, _T_4455) @[el2_lsu_bus_buffer.scala 553:106] - node _T_4457 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4458 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:102] - node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 553:90] - node _T_4461 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] - node _T_4462 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] - node _T_4463 = or(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 553:122] - node _T_4464 = and(_T_4460, _T_4463) @[el2_lsu_bus_buffer.scala 553:106] - node _T_4465 = mux(_T_4440, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4466 = mux(_T_4448, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4467 = mux(_T_4456, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4468 = mux(_T_4464, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4469 = or(_T_4465, _T_4466) @[Mux.scala 27:72] - node _T_4470 = or(_T_4469, _T_4467) @[Mux.scala 27:72] - node _T_4471 = or(_T_4470, _T_4468) @[Mux.scala 27:72] - wire _T_4472 : UInt<2> @[Mux.scala 27:72] - _T_4472 <= _T_4471 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4472 @[el2_lsu_bus_buffer.scala 553:33] - node _T_4473 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4474 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4475 = eq(_T_4474, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4476 = and(_T_4473, _T_4475) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4477 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] - node _T_4478 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] - node _T_4479 = or(_T_4477, _T_4478) @[el2_lsu_bus_buffer.scala 554:121] - node _T_4480 = and(_T_4476, _T_4479) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4481 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4482 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4483 = eq(_T_4482, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4484 = and(_T_4481, _T_4483) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4485 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] - node _T_4486 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] - node _T_4487 = or(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 554:121] - node _T_4488 = and(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4489 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4490 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4491 = eq(_T_4490, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4492 = and(_T_4489, _T_4491) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4493 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] - node _T_4494 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] - node _T_4495 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 554:121] - node _T_4496 = and(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4497 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4498 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4499 = eq(_T_4498, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4500 = and(_T_4497, _T_4499) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4501 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] - node _T_4502 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] - node _T_4503 = or(_T_4501, _T_4502) @[el2_lsu_bus_buffer.scala 554:121] - node _T_4504 = and(_T_4500, _T_4503) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4505 = mux(_T_4480, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4506 = mux(_T_4488, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4507 = mux(_T_4496, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4508 = mux(_T_4504, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4509 = or(_T_4505, _T_4506) @[Mux.scala 27:72] - node _T_4510 = or(_T_4509, _T_4507) @[Mux.scala 27:72] - node _T_4511 = or(_T_4510, _T_4508) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4455 @[Mux.scala 27:72] + node _T_4456 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4457 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 557:104] + node _T_4458 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 557:120] + node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:110] + node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 557:108] + node _T_4461 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4462 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 557:104] + node _T_4463 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 557:120] + node _T_4464 = eq(_T_4463, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:110] + node _T_4465 = and(_T_4462, _T_4464) @[el2_lsu_bus_buffer.scala 557:108] + node _T_4466 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4467 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 557:104] + node _T_4468 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 557:120] + node _T_4469 = eq(_T_4468, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:110] + node _T_4470 = and(_T_4467, _T_4469) @[el2_lsu_bus_buffer.scala 557:108] + node _T_4471 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4472 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 557:104] + node _T_4473 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 557:120] + node _T_4474 = eq(_T_4473, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:110] + node _T_4475 = and(_T_4472, _T_4474) @[el2_lsu_bus_buffer.scala 557:108] + node _T_4476 = mux(_T_4456, _T_4460, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4477 = mux(_T_4461, _T_4465, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4478 = mux(_T_4466, _T_4470, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4479 = mux(_T_4471, _T_4475, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4480 = or(_T_4476, _T_4477) @[Mux.scala 27:72] + node _T_4481 = or(_T_4480, _T_4478) @[Mux.scala 27:72] + node _T_4482 = or(_T_4481, _T_4479) @[Mux.scala 27:72] + wire _T_4483 : UInt<1> @[Mux.scala 27:72] + _T_4483 <= _T_4482 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4483 @[el2_lsu_bus_buffer.scala 557:35] + node _T_4484 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:79] + node _T_4485 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4486 = eq(_T_4485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4487 = and(_T_4484, _T_4486) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4488 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:109] + node _T_4489 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:124] + node _T_4490 = or(_T_4488, _T_4489) @[el2_lsu_bus_buffer.scala 558:122] + node _T_4491 = and(_T_4487, _T_4490) @[el2_lsu_bus_buffer.scala 558:106] + node _T_4492 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:79] + node _T_4493 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4494 = eq(_T_4493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4495 = and(_T_4492, _T_4494) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4496 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:109] + node _T_4497 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:124] + node _T_4498 = or(_T_4496, _T_4497) @[el2_lsu_bus_buffer.scala 558:122] + node _T_4499 = and(_T_4495, _T_4498) @[el2_lsu_bus_buffer.scala 558:106] + node _T_4500 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:79] + node _T_4501 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4502 = eq(_T_4501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4503 = and(_T_4500, _T_4502) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4504 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:109] + node _T_4505 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:124] + node _T_4506 = or(_T_4504, _T_4505) @[el2_lsu_bus_buffer.scala 558:122] + node _T_4507 = and(_T_4503, _T_4506) @[el2_lsu_bus_buffer.scala 558:106] + node _T_4508 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:79] + node _T_4509 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4510 = eq(_T_4509, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4511 = and(_T_4508, _T_4510) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4512 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:109] + node _T_4513 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:124] + node _T_4514 = or(_T_4512, _T_4513) @[el2_lsu_bus_buffer.scala 558:122] + node _T_4515 = and(_T_4511, _T_4514) @[el2_lsu_bus_buffer.scala 558:106] + node _T_4516 = mux(_T_4491, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4517 = mux(_T_4499, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4518 = mux(_T_4507, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4519 = mux(_T_4515, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4520 = or(_T_4516, _T_4517) @[Mux.scala 27:72] + node _T_4521 = or(_T_4520, _T_4518) @[Mux.scala 27:72] + node _T_4522 = or(_T_4521, _T_4519) @[Mux.scala 27:72] + wire _T_4523 : UInt<2> @[Mux.scala 27:72] + _T_4523 <= _T_4522 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4523 @[el2_lsu_bus_buffer.scala 558:33] + node _T_4524 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4525 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 559:101] + node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:91] + node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 559:89] + node _T_4528 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:108] + node _T_4529 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:123] + node _T_4530 = or(_T_4528, _T_4529) @[el2_lsu_bus_buffer.scala 559:121] + node _T_4531 = and(_T_4527, _T_4530) @[el2_lsu_bus_buffer.scala 559:105] + node _T_4532 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4533 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 559:101] + node _T_4534 = eq(_T_4533, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:91] + node _T_4535 = and(_T_4532, _T_4534) @[el2_lsu_bus_buffer.scala 559:89] + node _T_4536 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:108] + node _T_4537 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:123] + node _T_4538 = or(_T_4536, _T_4537) @[el2_lsu_bus_buffer.scala 559:121] + node _T_4539 = and(_T_4535, _T_4538) @[el2_lsu_bus_buffer.scala 559:105] + node _T_4540 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4541 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 559:101] + node _T_4542 = eq(_T_4541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:91] + node _T_4543 = and(_T_4540, _T_4542) @[el2_lsu_bus_buffer.scala 559:89] + node _T_4544 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:108] + node _T_4545 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:123] + node _T_4546 = or(_T_4544, _T_4545) @[el2_lsu_bus_buffer.scala 559:121] + node _T_4547 = and(_T_4543, _T_4546) @[el2_lsu_bus_buffer.scala 559:105] + node _T_4548 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4549 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 559:101] + node _T_4550 = eq(_T_4549, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:91] + node _T_4551 = and(_T_4548, _T_4550) @[el2_lsu_bus_buffer.scala 559:89] + node _T_4552 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:108] + node _T_4553 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:123] + node _T_4554 = or(_T_4552, _T_4553) @[el2_lsu_bus_buffer.scala 559:121] + node _T_4555 = and(_T_4551, _T_4554) @[el2_lsu_bus_buffer.scala 559:105] + node _T_4556 = mux(_T_4531, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4557 = mux(_T_4539, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4558 = mux(_T_4547, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4559 = mux(_T_4555, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] + node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] + node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4511 @[Mux.scala 27:72] - node _T_4512 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4513 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 555:101] - node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] - node _T_4515 = and(_T_4512, _T_4514) @[el2_lsu_bus_buffer.scala 555:89] - node _T_4516 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4517 = and(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 555:105] - node _T_4518 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4519 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 555:101] - node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] - node _T_4521 = and(_T_4518, _T_4520) @[el2_lsu_bus_buffer.scala 555:89] - node _T_4522 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 555:105] - node _T_4524 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4525 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 555:101] - node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] - node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 555:89] - node _T_4528 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 555:105] - node _T_4530 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4531 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 555:101] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] - node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 555:89] - node _T_4534 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4535 = and(_T_4533, _T_4534) @[el2_lsu_bus_buffer.scala 555:105] - node _T_4536 = mux(_T_4517, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4537 = mux(_T_4523, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4538 = mux(_T_4529, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4539 = mux(_T_4535, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4540 = or(_T_4536, _T_4537) @[Mux.scala 27:72] - node _T_4541 = or(_T_4540, _T_4538) @[Mux.scala 27:72] - node _T_4542 = or(_T_4541, _T_4539) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4562 @[Mux.scala 27:72] + node _T_4563 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4564 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4565 = eq(_T_4564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4566 = and(_T_4563, _T_4565) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4567 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4568 = and(_T_4566, _T_4567) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4569 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4570 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4572 = and(_T_4569, _T_4571) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4573 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4574 = and(_T_4572, _T_4573) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4575 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4576 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4577 = eq(_T_4576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4578 = and(_T_4575, _T_4577) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4579 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4580 = and(_T_4578, _T_4579) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4581 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4582 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4584 = and(_T_4581, _T_4583) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4585 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4586 = and(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4587 = mux(_T_4568, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4588 = mux(_T_4574, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = mux(_T_4580, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4590 = mux(_T_4586, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4591 = or(_T_4587, _T_4588) @[Mux.scala 27:72] + node _T_4592 = or(_T_4591, _T_4589) @[Mux.scala 27:72] + node _T_4593 = or(_T_4592, _T_4590) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4542 @[Mux.scala 27:72] - node _T_4543 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4544 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4545 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4546 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4547 = mux(_T_4543, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4548 = mux(_T_4544, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4549 = mux(_T_4545, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4550 = mux(_T_4546, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4551 = or(_T_4547, _T_4548) @[Mux.scala 27:72] - node _T_4552 = or(_T_4551, _T_4549) @[Mux.scala 27:72] - node _T_4553 = or(_T_4552, _T_4550) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4593 @[Mux.scala 27:72] + node _T_4594 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4595 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4596 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4597 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4598 = mux(_T_4594, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4599 = mux(_T_4595, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4600 = mux(_T_4596, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4601 = mux(_T_4597, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4602 = or(_T_4598, _T_4599) @[Mux.scala 27:72] + node _T_4603 = or(_T_4602, _T_4600) @[Mux.scala 27:72] + node _T_4604 = or(_T_4603, _T_4601) @[Mux.scala 27:72] wire lsu_nonblock_addr_offset : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_addr_offset <= _T_4553 @[Mux.scala 27:72] - node _T_4554 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4555 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4556 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4557 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4558 = mux(_T_4554, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4559 = mux(_T_4555, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4560 = mux(_T_4556, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4561 = mux(_T_4557, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4562 = or(_T_4558, _T_4559) @[Mux.scala 27:72] - node _T_4563 = or(_T_4562, _T_4560) @[Mux.scala 27:72] - node _T_4564 = or(_T_4563, _T_4561) @[Mux.scala 27:72] + lsu_nonblock_addr_offset <= _T_4604 @[Mux.scala 27:72] + node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4606 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4607 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4608 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4609 = mux(_T_4605, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4610 = mux(_T_4606, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4611 = mux(_T_4607, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4612 = mux(_T_4608, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4613 = or(_T_4609, _T_4610) @[Mux.scala 27:72] + node _T_4614 = or(_T_4613, _T_4611) @[Mux.scala 27:72] + node _T_4615 = or(_T_4614, _T_4612) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4564 @[Mux.scala 27:72] - node _T_4565 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4566 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4567 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4568 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4569 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4570 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4571 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4572 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4573 = mux(_T_4565, _T_4566, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4574 = mux(_T_4567, _T_4568, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4575 = mux(_T_4569, _T_4570, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4576 = mux(_T_4571, _T_4572, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4577 = or(_T_4573, _T_4574) @[Mux.scala 27:72] - node _T_4578 = or(_T_4577, _T_4575) @[Mux.scala 27:72] - node _T_4579 = or(_T_4578, _T_4576) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4615 @[Mux.scala 27:72] + node _T_4616 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4617 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4618 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4619 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4620 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4621 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4622 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4623 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4624 = mux(_T_4616, _T_4617, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4625 = mux(_T_4618, _T_4619, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4626 = mux(_T_4620, _T_4621, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4627 = mux(_T_4622, _T_4623, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4628 = or(_T_4624, _T_4625) @[Mux.scala 27:72] + node _T_4629 = or(_T_4628, _T_4626) @[Mux.scala 27:72] + node _T_4630 = or(_T_4629, _T_4627) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4579 @[Mux.scala 27:72] - node _T_4580 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4581 = cat(_T_4580, buf_dual[1]) @[Cat.scala 29:58] - node _T_4582 = cat(_T_4581, buf_dual[0]) @[Cat.scala 29:58] - node _T_4583 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4584 = bits(_T_4582, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4585 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4586 = bits(_T_4582, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4587 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4588 = bits(_T_4582, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4589 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4590 = bits(_T_4582, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4591 = mux(_T_4583, _T_4584, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4592 = mux(_T_4585, _T_4586, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4593 = mux(_T_4587, _T_4588, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4594 = mux(_T_4589, _T_4590, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4595 = or(_T_4591, _T_4592) @[Mux.scala 27:72] - node _T_4596 = or(_T_4595, _T_4593) @[Mux.scala 27:72] - node _T_4597 = or(_T_4596, _T_4594) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4630 @[Mux.scala 27:72] + node _T_4631 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4632 = cat(_T_4631, buf_dual[1]) @[Cat.scala 29:58] + node _T_4633 = cat(_T_4632, buf_dual[0]) @[Cat.scala 29:58] + node _T_4634 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4635 = bits(_T_4633, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4636 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4637 = bits(_T_4633, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4638 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4639 = bits(_T_4633, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4640 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4641 = bits(_T_4633, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4642 = mux(_T_4634, _T_4635, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4643 = mux(_T_4636, _T_4637, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4644 = mux(_T_4638, _T_4639, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4645 = mux(_T_4640, _T_4641, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4646 = or(_T_4642, _T_4643) @[Mux.scala 27:72] + node _T_4647 = or(_T_4646, _T_4644) @[Mux.scala 27:72] + node _T_4648 = or(_T_4647, _T_4645) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4597 @[Mux.scala 27:72] - node _T_4598 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4599 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 560:121] - node lsu_nonblock_data_unalgn = dshr(_T_4598, _T_4599) @[el2_lsu_bus_buffer.scala 560:92] - node _T_4600 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:69] - node _T_4601 = and(lsu_nonblock_load_data_ready, _T_4600) @[el2_lsu_bus_buffer.scala 561:67] - io.lsu_nonblock_load_data_valid <= _T_4601 @[el2_lsu_bus_buffer.scala 561:35] - node _T_4602 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:81] - node _T_4603 = and(lsu_nonblock_unsign, _T_4602) @[el2_lsu_bus_buffer.scala 562:63] - node _T_4604 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 562:131] - node _T_4605 = cat(UInt<24>("h00"), _T_4604) @[Cat.scala 29:58] - node _T_4606 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 563:45] - node _T_4607 = and(lsu_nonblock_unsign, _T_4606) @[el2_lsu_bus_buffer.scala 563:26] - node _T_4608 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 563:95] - node _T_4609 = cat(UInt<16>("h00"), _T_4608) @[Cat.scala 29:58] - node _T_4610 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:6] - node _T_4611 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:45] - node _T_4612 = and(_T_4610, _T_4611) @[el2_lsu_bus_buffer.scala 564:27] - node _T_4613 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 564:93] - node _T_4614 = bits(_T_4613, 0, 0) @[Bitwise.scala 72:15] - node _T_4615 = mux(_T_4614, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4616 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4617 = cat(_T_4615, _T_4616) @[Cat.scala 29:58] - node _T_4618 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:6] - node _T_4619 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 565:45] - node _T_4620 = and(_T_4618, _T_4619) @[el2_lsu_bus_buffer.scala 565:27] - node _T_4621 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 565:93] - node _T_4622 = bits(_T_4621, 0, 0) @[Bitwise.scala 72:15] - node _T_4623 = mux(_T_4622, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4624 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 565:124] - node _T_4625 = cat(_T_4623, _T_4624) @[Cat.scala 29:58] - node _T_4626 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 566:21] - node _T_4627 = mux(_T_4603, _T_4605, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4628 = mux(_T_4607, _T_4609, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4629 = mux(_T_4612, _T_4617, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4630 = mux(_T_4620, _T_4625, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4631 = mux(_T_4626, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4632 = or(_T_4627, _T_4628) @[Mux.scala 27:72] - node _T_4633 = or(_T_4632, _T_4629) @[Mux.scala 27:72] - node _T_4634 = or(_T_4633, _T_4630) @[Mux.scala 27:72] - node _T_4635 = or(_T_4634, _T_4631) @[Mux.scala 27:72] - wire _T_4636 : UInt<64> @[Mux.scala 27:72] - _T_4636 <= _T_4635 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4636 @[el2_lsu_bus_buffer.scala 562:29] - node _T_4637 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] - node _T_4638 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 567:89] - node _T_4639 = and(_T_4637, _T_4638) @[el2_lsu_bus_buffer.scala 567:73] - node _T_4640 = and(_T_4639, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4641 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] - node _T_4642 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 567:89] - node _T_4643 = and(_T_4641, _T_4642) @[el2_lsu_bus_buffer.scala 567:73] - node _T_4644 = and(_T_4643, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4645 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] - node _T_4646 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 567:89] - node _T_4647 = and(_T_4645, _T_4646) @[el2_lsu_bus_buffer.scala 567:73] - node _T_4648 = and(_T_4647, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4649 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] - node _T_4650 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 567:89] - node _T_4651 = and(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 567:73] - node _T_4652 = and(_T_4651, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4653 = or(_T_4640, _T_4644) @[el2_lsu_bus_buffer.scala 567:141] - node _T_4654 = or(_T_4653, _T_4648) @[el2_lsu_bus_buffer.scala 567:141] - node _T_4655 = or(_T_4654, _T_4652) @[el2_lsu_bus_buffer.scala 567:141] - bus_sideeffect_pend <= _T_4655 @[el2_lsu_bus_buffer.scala 567:23] - node _T_4656 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] - node _T_4657 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] - node _T_4658 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] - node _T_4659 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] - node _T_4660 = eq(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 569:56] - node _T_4661 = and(_T_4657, _T_4660) @[el2_lsu_bus_buffer.scala 569:38] - node _T_4662 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:92] - node _T_4663 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:126] - node _T_4664 = and(obuf_merge, _T_4663) @[el2_lsu_bus_buffer.scala 569:114] - node _T_4665 = or(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 569:100] - node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4667 = and(_T_4661, _T_4666) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4668 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] - node _T_4669 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] - node _T_4670 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] - node _T_4671 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] - node _T_4672 = eq(_T_4670, _T_4671) @[el2_lsu_bus_buffer.scala 569:56] - node _T_4673 = and(_T_4669, _T_4672) @[el2_lsu_bus_buffer.scala 569:38] - node _T_4674 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:92] - node _T_4675 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:126] - node _T_4676 = and(obuf_merge, _T_4675) @[el2_lsu_bus_buffer.scala 569:114] - node _T_4677 = or(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 569:100] - node _T_4678 = eq(_T_4677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4679 = and(_T_4673, _T_4678) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4680 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] - node _T_4681 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] - node _T_4682 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] - node _T_4683 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] - node _T_4684 = eq(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 569:56] - node _T_4685 = and(_T_4681, _T_4684) @[el2_lsu_bus_buffer.scala 569:38] - node _T_4686 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:92] - node _T_4687 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:126] - node _T_4688 = and(obuf_merge, _T_4687) @[el2_lsu_bus_buffer.scala 569:114] - node _T_4689 = or(_T_4686, _T_4688) @[el2_lsu_bus_buffer.scala 569:100] - node _T_4690 = eq(_T_4689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4691 = and(_T_4685, _T_4690) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4692 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] - node _T_4693 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] - node _T_4694 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] - node _T_4695 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] - node _T_4696 = eq(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 569:56] - node _T_4697 = and(_T_4693, _T_4696) @[el2_lsu_bus_buffer.scala 569:38] - node _T_4698 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 569:92] - node _T_4699 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 569:126] - node _T_4700 = and(obuf_merge, _T_4699) @[el2_lsu_bus_buffer.scala 569:114] - node _T_4701 = or(_T_4698, _T_4700) @[el2_lsu_bus_buffer.scala 569:100] - node _T_4702 = eq(_T_4701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4703 = and(_T_4697, _T_4702) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4704 = mux(_T_4656, _T_4667, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4705 = mux(_T_4668, _T_4679, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4706 = mux(_T_4680, _T_4691, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4707 = mux(_T_4692, _T_4703, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4708 = or(_T_4704, _T_4705) @[Mux.scala 27:72] - node _T_4709 = or(_T_4708, _T_4706) @[Mux.scala 27:72] - node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] - wire _T_4711 : UInt<1> @[Mux.scala 27:72] - _T_4711 <= _T_4710 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4711 @[el2_lsu_bus_buffer.scala 568:26] - node _T_4712 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 571:54] - node _T_4713 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:75] - node _T_4714 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:150] - node _T_4715 = mux(_T_4712, _T_4713, _T_4714) @[el2_lsu_bus_buffer.scala 571:39] - node _T_4716 = mux(obuf_write, _T_4715, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 571:23] - bus_cmd_ready <= _T_4716 @[el2_lsu_bus_buffer.scala 571:17] - node _T_4717 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 572:39] - bus_wcmd_sent <= _T_4717 @[el2_lsu_bus_buffer.scala 572:17] - node _T_4718 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 573:39] - bus_wdata_sent <= _T_4718 @[el2_lsu_bus_buffer.scala 573:18] - node _T_4719 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 574:35] - node _T_4720 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 574:70] - node _T_4721 = and(_T_4719, _T_4720) @[el2_lsu_bus_buffer.scala 574:52] - node _T_4722 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 574:111] - node _T_4723 = or(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 574:89] - bus_cmd_sent <= _T_4723 @[el2_lsu_bus_buffer.scala 574:16] - node _T_4724 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 575:37] - bus_rsp_read <= _T_4724 @[el2_lsu_bus_buffer.scala 575:16] - node _T_4725 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 576:38] - bus_rsp_write <= _T_4725 @[el2_lsu_bus_buffer.scala 576:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 577:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 578:21] - node _T_4726 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:60] - node _T_4727 = and(bus_rsp_write, _T_4726) @[el2_lsu_bus_buffer.scala 579:40] - bus_rsp_write_error <= _T_4727 @[el2_lsu_bus_buffer.scala 579:23] - node _T_4728 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:58] - node _T_4729 = and(bus_rsp_read, _T_4728) @[el2_lsu_bus_buffer.scala 580:38] - bus_rsp_read_error <= _T_4729 @[el2_lsu_bus_buffer.scala 580:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 581:17] - node _T_4730 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 584:36] - node _T_4731 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:51] - node _T_4732 = and(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 584:49] - node _T_4733 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:68] - node _T_4734 = and(_T_4732, _T_4733) @[el2_lsu_bus_buffer.scala 584:66] - io.lsu_axi_awvalid <= _T_4734 @[el2_lsu_bus_buffer.scala 584:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 585:19] - node _T_4735 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:69] - node _T_4736 = cat(_T_4735, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4737 = mux(obuf_sideeffect, obuf_addr, _T_4736) @[el2_lsu_bus_buffer.scala 586:27] - io.lsu_axi_awaddr <= _T_4737 @[el2_lsu_bus_buffer.scala 586:21] - node _T_4738 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4739 = mux(obuf_sideeffect, _T_4738, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 587:27] - io.lsu_axi_awsize <= _T_4739 @[el2_lsu_bus_buffer.scala 587:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 588:21] - node _T_4740 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 589:28] - io.lsu_axi_awcache <= _T_4740 @[el2_lsu_bus_buffer.scala 589:22] - node _T_4741 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 590:35] - io.lsu_axi_awregion <= _T_4741 @[el2_lsu_bus_buffer.scala 590:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 591:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 592:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:21] - node _T_4742 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 596:35] - node _T_4743 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:50] - node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 596:48] - node _T_4745 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:68] - node _T_4746 = and(_T_4744, _T_4745) @[el2_lsu_bus_buffer.scala 596:66] - io.lsu_axi_wvalid <= _T_4746 @[el2_lsu_bus_buffer.scala 596:21] - node _T_4747 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4748 = mux(_T_4747, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4749 = and(obuf_byteen, _T_4748) @[el2_lsu_bus_buffer.scala 597:35] - io.lsu_axi_wstrb <= _T_4749 @[el2_lsu_bus_buffer.scala 597:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 598:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 599:20] - node _T_4750 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:38] - node _T_4751 = and(obuf_valid, _T_4750) @[el2_lsu_bus_buffer.scala 601:36] - node _T_4752 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:52] - node _T_4753 = and(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 601:50] - node _T_4754 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:67] - node _T_4755 = and(_T_4753, _T_4754) @[el2_lsu_bus_buffer.scala 601:65] - io.lsu_axi_arvalid <= _T_4755 @[el2_lsu_bus_buffer.scala 601:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 602:19] - node _T_4756 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:69] - node _T_4757 = cat(_T_4756, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4758 = mux(obuf_sideeffect, obuf_addr, _T_4757) @[el2_lsu_bus_buffer.scala 603:27] - io.lsu_axi_araddr <= _T_4758 @[el2_lsu_bus_buffer.scala 603:21] - node _T_4759 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4760 = mux(obuf_sideeffect, _T_4759, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:27] - io.lsu_axi_arsize <= _T_4760 @[el2_lsu_bus_buffer.scala 604:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:21] - node _T_4761 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 606:28] - io.lsu_axi_arcache <= _T_4761 @[el2_lsu_bus_buffer.scala 606:22] - node _T_4762 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 607:35] - io.lsu_axi_arregion <= _T_4762 @[el2_lsu_bus_buffer.scala 607:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 609:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 612:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 613:21] - node _T_4763 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] - node _T_4764 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 614:125] - node _T_4765 = and(io.lsu_bus_clk_en_q, _T_4764) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4766 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 614:140] - node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 614:129] - node _T_4768 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] - node _T_4769 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 614:125] - node _T_4770 = and(io.lsu_bus_clk_en_q, _T_4769) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4771 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 614:140] - node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 614:129] - node _T_4773 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] - node _T_4774 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 614:125] - node _T_4775 = and(io.lsu_bus_clk_en_q, _T_4774) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4776 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 614:140] - node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 614:129] - node _T_4778 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] - node _T_4779 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 614:125] - node _T_4780 = and(io.lsu_bus_clk_en_q, _T_4779) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4781 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 614:140] - node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 614:129] - node _T_4783 = mux(_T_4763, _T_4767, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4784 = mux(_T_4768, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4785 = mux(_T_4773, _T_4777, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4786 = mux(_T_4778, _T_4782, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4787 = or(_T_4783, _T_4784) @[Mux.scala 27:72] - node _T_4788 = or(_T_4787, _T_4785) @[Mux.scala 27:72] - node _T_4789 = or(_T_4788, _T_4786) @[Mux.scala 27:72] - wire _T_4790 : UInt<1> @[Mux.scala 27:72] - _T_4790 <= _T_4789 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4790 @[el2_lsu_bus_buffer.scala 614:36] - node _T_4791 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 615:87] - node _T_4792 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 615:109] - node _T_4793 = and(_T_4791, _T_4792) @[el2_lsu_bus_buffer.scala 615:98] - node _T_4794 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 615:124] - node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 615:113] - node _T_4796 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 615:87] - node _T_4797 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 615:109] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 615:98] - node _T_4799 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 615:124] - node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 615:113] - node _T_4801 = mux(_T_4795, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4802 = mux(_T_4800, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4803 = or(_T_4801, _T_4802) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4648 @[Mux.scala 27:72] + node _T_4649 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4650 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 565:121] + node lsu_nonblock_data_unalgn = dshr(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 565:92] + node _T_4651 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:69] + node _T_4652 = and(lsu_nonblock_load_data_ready, _T_4651) @[el2_lsu_bus_buffer.scala 566:67] + io.lsu_nonblock_load_data_valid <= _T_4652 @[el2_lsu_bus_buffer.scala 566:35] + node _T_4653 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:81] + node _T_4654 = and(lsu_nonblock_unsign, _T_4653) @[el2_lsu_bus_buffer.scala 567:63] + node _T_4655 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 567:131] + node _T_4656 = cat(UInt<24>("h00"), _T_4655) @[Cat.scala 29:58] + node _T_4657 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:45] + node _T_4658 = and(lsu_nonblock_unsign, _T_4657) @[el2_lsu_bus_buffer.scala 568:26] + node _T_4659 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 568:95] + node _T_4660 = cat(UInt<16>("h00"), _T_4659) @[Cat.scala 29:58] + node _T_4661 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:6] + node _T_4662 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:45] + node _T_4663 = and(_T_4661, _T_4662) @[el2_lsu_bus_buffer.scala 569:27] + node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 569:93] + node _T_4665 = bits(_T_4664, 0, 0) @[Bitwise.scala 72:15] + node _T_4666 = mux(_T_4665, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4667 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4668 = cat(_T_4666, _T_4667) @[Cat.scala 29:58] + node _T_4669 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:6] + node _T_4670 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 570:45] + node _T_4671 = and(_T_4669, _T_4670) @[el2_lsu_bus_buffer.scala 570:27] + node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 570:93] + node _T_4673 = bits(_T_4672, 0, 0) @[Bitwise.scala 72:15] + node _T_4674 = mux(_T_4673, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4675 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 570:124] + node _T_4676 = cat(_T_4674, _T_4675) @[Cat.scala 29:58] + node _T_4677 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 571:21] + node _T_4678 = mux(_T_4654, _T_4656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4658, _T_4660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4663, _T_4668, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4671, _T_4676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = mux(_T_4677, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4683 = or(_T_4678, _T_4679) @[Mux.scala 27:72] + node _T_4684 = or(_T_4683, _T_4680) @[Mux.scala 27:72] + node _T_4685 = or(_T_4684, _T_4681) @[Mux.scala 27:72] + node _T_4686 = or(_T_4685, _T_4682) @[Mux.scala 27:72] + wire _T_4687 : UInt<64> @[Mux.scala 27:72] + _T_4687 <= _T_4686 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4687 @[el2_lsu_bus_buffer.scala 567:29] + node _T_4688 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 572:62] + node _T_4689 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4690 = and(_T_4688, _T_4689) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4691 = and(_T_4690, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 572:93] + node _T_4692 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 572:62] + node _T_4693 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4694 = and(_T_4692, _T_4693) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4695 = and(_T_4694, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 572:93] + node _T_4696 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 572:62] + node _T_4697 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4698 = and(_T_4696, _T_4697) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4699 = and(_T_4698, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 572:93] + node _T_4700 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 572:62] + node _T_4701 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4702 = and(_T_4700, _T_4701) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4703 = and(_T_4702, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 572:93] + node _T_4704 = or(_T_4691, _T_4695) @[el2_lsu_bus_buffer.scala 572:141] + node _T_4705 = or(_T_4704, _T_4699) @[el2_lsu_bus_buffer.scala 572:141] + node _T_4706 = or(_T_4705, _T_4703) @[el2_lsu_bus_buffer.scala 572:141] + bus_sideeffect_pend <= _T_4706 @[el2_lsu_bus_buffer.scala 572:23] + node _T_4707 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:71] + node _T_4708 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 574:25] + node _T_4709 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 574:50] + node _T_4710 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4711 = eq(_T_4709, _T_4710) @[el2_lsu_bus_buffer.scala 574:56] + node _T_4712 = and(_T_4708, _T_4711) @[el2_lsu_bus_buffer.scala 574:38] + node _T_4713 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:92] + node _T_4714 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4715 = and(obuf_merge, _T_4714) @[el2_lsu_bus_buffer.scala 574:114] + node _T_4716 = or(_T_4713, _T_4715) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4717 = eq(_T_4716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:80] + node _T_4718 = and(_T_4712, _T_4717) @[el2_lsu_bus_buffer.scala 574:78] + node _T_4719 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:71] + node _T_4720 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 574:25] + node _T_4721 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 574:50] + node _T_4722 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4723 = eq(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 574:56] + node _T_4724 = and(_T_4720, _T_4723) @[el2_lsu_bus_buffer.scala 574:38] + node _T_4725 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 574:92] + node _T_4726 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4727 = and(obuf_merge, _T_4726) @[el2_lsu_bus_buffer.scala 574:114] + node _T_4728 = or(_T_4725, _T_4727) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4729 = eq(_T_4728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:80] + node _T_4730 = and(_T_4724, _T_4729) @[el2_lsu_bus_buffer.scala 574:78] + node _T_4731 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:71] + node _T_4732 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 574:25] + node _T_4733 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 574:50] + node _T_4734 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4735 = eq(_T_4733, _T_4734) @[el2_lsu_bus_buffer.scala 574:56] + node _T_4736 = and(_T_4732, _T_4735) @[el2_lsu_bus_buffer.scala 574:38] + node _T_4737 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 574:92] + node _T_4738 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4739 = and(obuf_merge, _T_4738) @[el2_lsu_bus_buffer.scala 574:114] + node _T_4740 = or(_T_4737, _T_4739) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4741 = eq(_T_4740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:80] + node _T_4742 = and(_T_4736, _T_4741) @[el2_lsu_bus_buffer.scala 574:78] + node _T_4743 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:71] + node _T_4744 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 574:25] + node _T_4745 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 574:50] + node _T_4746 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4747 = eq(_T_4745, _T_4746) @[el2_lsu_bus_buffer.scala 574:56] + node _T_4748 = and(_T_4744, _T_4747) @[el2_lsu_bus_buffer.scala 574:38] + node _T_4749 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 574:92] + node _T_4750 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4751 = and(obuf_merge, _T_4750) @[el2_lsu_bus_buffer.scala 574:114] + node _T_4752 = or(_T_4749, _T_4751) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4753 = eq(_T_4752, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:80] + node _T_4754 = and(_T_4748, _T_4753) @[el2_lsu_bus_buffer.scala 574:78] + node _T_4755 = mux(_T_4707, _T_4718, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4756 = mux(_T_4719, _T_4730, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4757 = mux(_T_4731, _T_4742, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4758 = mux(_T_4743, _T_4754, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4759 = or(_T_4755, _T_4756) @[Mux.scala 27:72] + node _T_4760 = or(_T_4759, _T_4757) @[Mux.scala 27:72] + node _T_4761 = or(_T_4760, _T_4758) @[Mux.scala 27:72] + wire _T_4762 : UInt<1> @[Mux.scala 27:72] + _T_4762 <= _T_4761 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4762 @[el2_lsu_bus_buffer.scala 573:26] + node _T_4763 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 576:54] + node _T_4764 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 576:75] + node _T_4765 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 576:150] + node _T_4766 = mux(_T_4763, _T_4764, _T_4765) @[el2_lsu_bus_buffer.scala 576:39] + node _T_4767 = mux(obuf_write, _T_4766, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 576:23] + bus_cmd_ready <= _T_4767 @[el2_lsu_bus_buffer.scala 576:17] + node _T_4768 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:39] + bus_wcmd_sent <= _T_4768 @[el2_lsu_bus_buffer.scala 577:17] + node _T_4769 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 578:39] + bus_wdata_sent <= _T_4769 @[el2_lsu_bus_buffer.scala 578:18] + node _T_4770 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 579:35] + node _T_4771 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 579:70] + node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4773 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 579:111] + node _T_4774 = or(_T_4772, _T_4773) @[el2_lsu_bus_buffer.scala 579:89] + bus_cmd_sent <= _T_4774 @[el2_lsu_bus_buffer.scala 579:16] + node _T_4775 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 580:37] + bus_rsp_read <= _T_4775 @[el2_lsu_bus_buffer.scala 580:16] + node _T_4776 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 581:38] + bus_rsp_write <= _T_4776 @[el2_lsu_bus_buffer.scala 581:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 582:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 583:21] + node _T_4777 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:60] + node _T_4778 = and(bus_rsp_write, _T_4777) @[el2_lsu_bus_buffer.scala 584:40] + bus_rsp_write_error <= _T_4778 @[el2_lsu_bus_buffer.scala 584:23] + node _T_4779 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:58] + node _T_4780 = and(bus_rsp_read, _T_4779) @[el2_lsu_bus_buffer.scala 585:38] + bus_rsp_read_error <= _T_4780 @[el2_lsu_bus_buffer.scala 585:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 586:17] + node _T_4781 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 589:36] + node _T_4782 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:51] + node _T_4783 = and(_T_4781, _T_4782) @[el2_lsu_bus_buffer.scala 589:49] + node _T_4784 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:68] + node _T_4785 = and(_T_4783, _T_4784) @[el2_lsu_bus_buffer.scala 589:66] + io.lsu_axi_awvalid <= _T_4785 @[el2_lsu_bus_buffer.scala 589:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 590:19] + node _T_4786 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 591:69] + node _T_4787 = cat(_T_4786, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4788 = mux(obuf_sideeffect, obuf_addr, _T_4787) @[el2_lsu_bus_buffer.scala 591:27] + io.lsu_axi_awaddr <= _T_4788 @[el2_lsu_bus_buffer.scala 591:21] + node _T_4789 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4790 = mux(obuf_sideeffect, _T_4789, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 592:27] + io.lsu_axi_awsize <= _T_4790 @[el2_lsu_bus_buffer.scala 592:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:21] + node _T_4791 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 594:28] + io.lsu_axi_awcache <= _T_4791 @[el2_lsu_bus_buffer.scala 594:22] + node _T_4792 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 595:35] + io.lsu_axi_awregion <= _T_4792 @[el2_lsu_bus_buffer.scala 595:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 596:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 597:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 598:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 599:21] + node _T_4793 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 601:35] + node _T_4794 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:50] + node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 601:48] + node _T_4796 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:68] + node _T_4797 = and(_T_4795, _T_4796) @[el2_lsu_bus_buffer.scala 601:66] + io.lsu_axi_wvalid <= _T_4797 @[el2_lsu_bus_buffer.scala 601:21] + node _T_4798 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4799 = mux(_T_4798, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4800 = and(obuf_byteen, _T_4799) @[el2_lsu_bus_buffer.scala 602:35] + io.lsu_axi_wstrb <= _T_4800 @[el2_lsu_bus_buffer.scala 602:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 603:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 604:20] + node _T_4801 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4802 = and(obuf_valid, _T_4801) @[el2_lsu_bus_buffer.scala 606:36] + node _T_4803 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:52] + node _T_4804 = and(_T_4802, _T_4803) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4805 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:67] + node _T_4806 = and(_T_4804, _T_4805) @[el2_lsu_bus_buffer.scala 606:65] + io.lsu_axi_arvalid <= _T_4806 @[el2_lsu_bus_buffer.scala 606:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 607:19] + node _T_4807 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:69] + node _T_4808 = cat(_T_4807, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4809 = mux(obuf_sideeffect, obuf_addr, _T_4808) @[el2_lsu_bus_buffer.scala 608:27] + io.lsu_axi_araddr <= _T_4809 @[el2_lsu_bus_buffer.scala 608:21] + node _T_4810 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4811 = mux(obuf_sideeffect, _T_4810, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 609:27] + io.lsu_axi_arsize <= _T_4811 @[el2_lsu_bus_buffer.scala 609:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:21] + node _T_4812 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 611:28] + io.lsu_axi_arcache <= _T_4812 @[el2_lsu_bus_buffer.scala 611:22] + node _T_4813 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 612:35] + io.lsu_axi_arregion <= _T_4813 @[el2_lsu_bus_buffer.scala 612:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 613:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 614:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 615:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 616:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 617:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 618:21] + node _T_4814 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 619:81] + node _T_4815 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 619:125] + node _T_4816 = and(io.lsu_bus_clk_en_q, _T_4815) @[el2_lsu_bus_buffer.scala 619:114] + node _T_4817 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 619:140] + node _T_4818 = and(_T_4816, _T_4817) @[el2_lsu_bus_buffer.scala 619:129] + node _T_4819 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 619:81] + node _T_4820 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 619:125] + node _T_4821 = and(io.lsu_bus_clk_en_q, _T_4820) @[el2_lsu_bus_buffer.scala 619:114] + node _T_4822 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 619:140] + node _T_4823 = and(_T_4821, _T_4822) @[el2_lsu_bus_buffer.scala 619:129] + node _T_4824 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 619:81] + node _T_4825 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 619:125] + node _T_4826 = and(io.lsu_bus_clk_en_q, _T_4825) @[el2_lsu_bus_buffer.scala 619:114] + node _T_4827 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 619:140] + node _T_4828 = and(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 619:129] + node _T_4829 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 619:81] + node _T_4830 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 619:125] + node _T_4831 = and(io.lsu_bus_clk_en_q, _T_4830) @[el2_lsu_bus_buffer.scala 619:114] + node _T_4832 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 619:140] + node _T_4833 = and(_T_4831, _T_4832) @[el2_lsu_bus_buffer.scala 619:129] + node _T_4834 = mux(_T_4814, _T_4818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4835 = mux(_T_4819, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4836 = mux(_T_4824, _T_4828, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4837 = mux(_T_4829, _T_4833, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4838 = or(_T_4834, _T_4835) @[Mux.scala 27:72] + node _T_4839 = or(_T_4838, _T_4836) @[Mux.scala 27:72] + node _T_4840 = or(_T_4839, _T_4837) @[Mux.scala 27:72] + wire _T_4841 : UInt<1> @[Mux.scala 27:72] + _T_4841 <= _T_4840 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4841 @[el2_lsu_bus_buffer.scala 619:36] + node _T_4842 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:87] + node _T_4843 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 620:109] + node _T_4844 = and(_T_4842, _T_4843) @[el2_lsu_bus_buffer.scala 620:98] + node _T_4845 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 620:124] + node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 620:113] + node _T_4847 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:87] + node _T_4848 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 620:109] + node _T_4849 = and(_T_4847, _T_4848) @[el2_lsu_bus_buffer.scala 620:98] + node _T_4850 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 620:124] + node _T_4851 = and(_T_4849, _T_4850) @[el2_lsu_bus_buffer.scala 620:113] + node _T_4852 = mux(_T_4846, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4853 = mux(_T_4851, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4854 = or(_T_4852, _T_4853) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4803 @[Mux.scala 27:72] - node _T_4804 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:72] - node _T_4805 = and(io.lsu_nonblock_load_data_error, _T_4804) @[el2_lsu_bus_buffer.scala 617:70] - io.lsu_imprecise_error_load_any <= _T_4805 @[el2_lsu_bus_buffer.scala 617:35] - node _T_4806 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4807 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4808 = mux(_T_4806, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4809 = mux(_T_4807, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4810 = or(_T_4808, _T_4809) @[Mux.scala 27:72] - wire _T_4811 : UInt<32> @[Mux.scala 27:72] - _T_4811 <= _T_4810 @[Mux.scala 27:72] - node _T_4812 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4813 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4814 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4815 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4816 = mux(_T_4812, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4817 = mux(_T_4813, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4818 = mux(_T_4814, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4819 = mux(_T_4815, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4820 = or(_T_4816, _T_4817) @[Mux.scala 27:72] - node _T_4821 = or(_T_4820, _T_4818) @[Mux.scala 27:72] - node _T_4822 = or(_T_4821, _T_4819) @[Mux.scala 27:72] - wire _T_4823 : UInt<32> @[Mux.scala 27:72] - _T_4823 <= _T_4822 @[Mux.scala 27:72] - node _T_4824 = mux(io.lsu_imprecise_error_store_any, _T_4811, _T_4823) @[el2_lsu_bus_buffer.scala 618:41] - io.lsu_imprecise_error_addr_any <= _T_4824 @[el2_lsu_bus_buffer.scala 618:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 619:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 621:23] - node _T_4825 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 624:46] - node _T_4826 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 624:89] - node _T_4827 = or(_T_4825, _T_4826) @[el2_lsu_bus_buffer.scala 624:68] - node _T_4828 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 624:132] - node _T_4829 = or(_T_4827, _T_4828) @[el2_lsu_bus_buffer.scala 624:110] - io.lsu_pmu_bus_trxn <= _T_4829 @[el2_lsu_bus_buffer.scala 624:23] - node _T_4830 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 625:48] - node _T_4831 = and(_T_4830, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 625:65] - io.lsu_pmu_bus_misaligned <= _T_4831 @[el2_lsu_bus_buffer.scala 625:29] - node _T_4832 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 626:59] - io.lsu_pmu_bus_error <= _T_4832 @[el2_lsu_bus_buffer.scala 626:24] - node _T_4833 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:48] - node _T_4834 = and(io.lsu_axi_awvalid, _T_4833) @[el2_lsu_bus_buffer.scala 628:46] - node _T_4835 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:92] - node _T_4836 = and(io.lsu_axi_wvalid, _T_4835) @[el2_lsu_bus_buffer.scala 628:90] - node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 628:69] - node _T_4838 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:136] - node _T_4839 = and(io.lsu_axi_arvalid, _T_4838) @[el2_lsu_bus_buffer.scala 628:134] - node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 628:112] - io.lsu_pmu_bus_busy <= _T_4840 @[el2_lsu_bus_buffer.scala 628:23] - reg _T_4841 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:49] - _T_4841 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 630:49] - WrPtr0_r <= _T_4841 @[el2_lsu_bus_buffer.scala 630:12] - reg _T_4842 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:49] - _T_4842 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 631:49] - WrPtr1_r <= _T_4842 @[el2_lsu_bus_buffer.scala 631:12] - node _T_4843 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:75] - node _T_4844 = and(io.lsu_busreq_m, _T_4843) @[el2_lsu_bus_buffer.scala 632:73] - node _T_4845 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:89] - node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 632:87] - reg _T_4847 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 632:56] - _T_4847 <= _T_4846 @[el2_lsu_bus_buffer.scala 632:56] - io.lsu_busreq_r <= _T_4847 @[el2_lsu_bus_buffer.scala 632:19] - reg _T_4848 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 633:66] - _T_4848 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 633:66] - lsu_nonblock_load_valid_r <= _T_4848 @[el2_lsu_bus_buffer.scala 633:29] + lsu_imprecise_error_store_tag <= _T_4854 @[Mux.scala 27:72] + node _T_4855 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:72] + node _T_4856 = and(io.lsu_nonblock_load_data_error, _T_4855) @[el2_lsu_bus_buffer.scala 622:70] + io.lsu_imprecise_error_load_any <= _T_4856 @[el2_lsu_bus_buffer.scala 622:35] + node _T_4857 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4858 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4859 = mux(_T_4857, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4860 = mux(_T_4858, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4861 = or(_T_4859, _T_4860) @[Mux.scala 27:72] + wire _T_4862 : UInt<32> @[Mux.scala 27:72] + _T_4862 <= _T_4861 @[Mux.scala 27:72] + node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4864 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4865 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4866 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4867 = mux(_T_4863, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4868 = mux(_T_4864, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4869 = mux(_T_4865, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4870 = mux(_T_4866, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4871 = or(_T_4867, _T_4868) @[Mux.scala 27:72] + node _T_4872 = or(_T_4871, _T_4869) @[Mux.scala 27:72] + node _T_4873 = or(_T_4872, _T_4870) @[Mux.scala 27:72] + wire _T_4874 : UInt<32> @[Mux.scala 27:72] + _T_4874 <= _T_4873 @[Mux.scala 27:72] + node _T_4875 = mux(io.lsu_imprecise_error_store_any, _T_4862, _T_4874) @[el2_lsu_bus_buffer.scala 623:41] + io.lsu_imprecise_error_addr_any <= _T_4875 @[el2_lsu_bus_buffer.scala 623:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 624:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 626:23] + node _T_4876 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 629:46] + node _T_4877 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 629:89] + node _T_4878 = or(_T_4876, _T_4877) @[el2_lsu_bus_buffer.scala 629:68] + node _T_4879 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 629:132] + node _T_4880 = or(_T_4878, _T_4879) @[el2_lsu_bus_buffer.scala 629:110] + io.lsu_pmu_bus_trxn <= _T_4880 @[el2_lsu_bus_buffer.scala 629:23] + node _T_4881 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 630:48] + node _T_4882 = and(_T_4881, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 630:65] + io.lsu_pmu_bus_misaligned <= _T_4882 @[el2_lsu_bus_buffer.scala 630:29] + node _T_4883 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 631:59] + io.lsu_pmu_bus_error <= _T_4883 @[el2_lsu_bus_buffer.scala 631:24] + node _T_4884 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:48] + node _T_4885 = and(io.lsu_axi_awvalid, _T_4884) @[el2_lsu_bus_buffer.scala 633:46] + node _T_4886 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:92] + node _T_4887 = and(io.lsu_axi_wvalid, _T_4886) @[el2_lsu_bus_buffer.scala 633:90] + node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 633:69] + node _T_4889 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:136] + node _T_4890 = and(io.lsu_axi_arvalid, _T_4889) @[el2_lsu_bus_buffer.scala 633:134] + node _T_4891 = or(_T_4888, _T_4890) @[el2_lsu_bus_buffer.scala 633:112] + io.lsu_pmu_bus_busy <= _T_4891 @[el2_lsu_bus_buffer.scala 633:23] + reg _T_4892 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 635:49] + _T_4892 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 635:49] + WrPtr0_r <= _T_4892 @[el2_lsu_bus_buffer.scala 635:12] + reg _T_4893 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 636:49] + _T_4893 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 636:49] + WrPtr1_r <= _T_4893 @[el2_lsu_bus_buffer.scala 636:12] + node _T_4894 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:75] + node _T_4895 = and(io.lsu_busreq_m, _T_4894) @[el2_lsu_bus_buffer.scala 637:73] + node _T_4896 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:89] + node _T_4897 = and(_T_4895, _T_4896) @[el2_lsu_bus_buffer.scala 637:87] + reg _T_4898 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 637:56] + _T_4898 <= _T_4897 @[el2_lsu_bus_buffer.scala 637:56] + io.lsu_busreq_r <= _T_4898 @[el2_lsu_bus_buffer.scala 637:19] + reg _T_4899 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 638:66] + _T_4899 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 638:66] + lsu_nonblock_load_valid_r <= _T_4899 @[el2_lsu_bus_buffer.scala 638:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 5e50768e..db0bb7cd 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -141,7 +141,7 @@ module el2_lsu_bus_buffer( output [2:0] io_lsu_axi_arprot, output [3:0] io_lsu_axi_arqos, output io_lsu_axi_rready, - output [1:0] io_test + output [2:0] io_test ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -304,11 +304,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 126:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] - reg _T_4212; // @[Reg.scala 27:20] - reg _T_4209; // @[Reg.scala 27:20] - reg _T_4206; // @[Reg.scala 27:20] - reg _T_4203; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4212,_T_4209,_T_4206,_T_4203}; // @[Cat.scala 29:58] + reg _T_4263; // @[Reg.scala 27:20] + reg _T_4260; // @[Reg.scala 27:20] + reg _T_4257; // @[Reg.scala 27:20] + reg _T_4254; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4263,_T_4260,_T_4257,_T_4254}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 128:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] @@ -351,99 +351,99 @@ module el2_lsu_bus_buffer( wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 129:98] wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] - reg [2:0] _T_4239; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4239}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] + reg [2:0] _T_4290; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 538:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4237; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4237}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] + reg [2:0] _T_4288; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4288}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 538:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4235; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4235}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] + reg [2:0] _T_4286; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4286}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 538:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4233; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4233}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] + reg [2:0] _T_4284; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4284}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 538:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 517:60] - wire _T_2474 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_3959 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_3982 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_3986 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 522:60] + wire _T_2525 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 435:94] + wire _T_4010 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4033 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4037 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 381:13] - wire _T_3993 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_4044 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 477:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3994 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3995 = obuf_merge & _T_3994; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_3996 = _T_3993 | _T_3995; // @[el2_lsu_bus_buffer.scala 472:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_4045 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_4046 = obuf_merge & _T_4045; // @[el2_lsu_bus_buffer.scala 477:91] + wire _T_4047 = _T_4044 | _T_4046; // @[el2_lsu_bus_buffer.scala 477:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 375:54] - wire _T_3997 = _T_3996 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] + wire _T_4048 = _T_4047 & obuf_valid; // @[el2_lsu_bus_buffer.scala 477:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 374:55] - wire _T_3998 = _T_3997 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] - wire _GEN_280 = _T_3986 & _T_3998; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_3982 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2475 = _T_2474 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2476 = buf_ageQ_3[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2470 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_3766 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3789 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3793 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3800 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:48] - wire _T_3801 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3802 = obuf_merge & _T_3801; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_3803 = _T_3800 | _T_3802; // @[el2_lsu_bus_buffer.scala 472:77] - wire _T_3804 = _T_3803 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] - wire _T_3805 = _T_3804 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] - wire _GEN_204 = _T_3793 & _T_3805; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3789 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2471 = _T_2470 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2472 = buf_ageQ_3[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2466 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_3573 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3596 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3600 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3607 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 472:48] - wire _T_3608 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3609 = obuf_merge & _T_3608; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_3610 = _T_3607 | _T_3609; // @[el2_lsu_bus_buffer.scala 472:77] - wire _T_3611 = _T_3610 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] - wire _T_3612 = _T_3611 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] - wire _GEN_128 = _T_3600 & _T_3612; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3596 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2467 = _T_2466 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2468 = buf_ageQ_3[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2462 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_3380 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3403 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3407 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3414 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 472:48] - wire _T_3415 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3416 = obuf_merge & _T_3415; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_3417 = _T_3414 | _T_3416; // @[el2_lsu_bus_buffer.scala 472:77] - wire _T_3418 = _T_3417 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] - wire _T_3419 = _T_3418 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] - wire _GEN_52 = _T_3407 & _T_3419; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3403 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2463 = _T_2462 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2464 = buf_ageQ_3[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] - wire [3:0] buf_age_3 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2575 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2577 = _T_2575 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2569 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2571 = _T_2569 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2563 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2565 = _T_2563 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2577,_T_2571,_T_2565}; // @[Cat.scala 29:58] + wire _T_4049 = _T_4048 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 477:148] + wire _GEN_280 = _T_4037 & _T_4049; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4033 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4010 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2526 = _T_2525 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2527 = buf_ageQ_3[3] & _T_2526; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2521 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 435:94] + wire _T_3817 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3840 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3844 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3851 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 477:48] + wire _T_3852 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_3853 = obuf_merge & _T_3852; // @[el2_lsu_bus_buffer.scala 477:91] + wire _T_3854 = _T_3851 | _T_3853; // @[el2_lsu_bus_buffer.scala 477:77] + wire _T_3855 = _T_3854 & obuf_valid; // @[el2_lsu_bus_buffer.scala 477:135] + wire _T_3856 = _T_3855 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 477:148] + wire _GEN_204 = _T_3844 & _T_3856; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3840 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3817 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2522 = _T_2521 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2523 = buf_ageQ_3[2] & _T_2522; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2517 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 435:94] + wire _T_3624 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3647 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3651 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3658 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 477:48] + wire _T_3659 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_3660 = obuf_merge & _T_3659; // @[el2_lsu_bus_buffer.scala 477:91] + wire _T_3661 = _T_3658 | _T_3660; // @[el2_lsu_bus_buffer.scala 477:77] + wire _T_3662 = _T_3661 & obuf_valid; // @[el2_lsu_bus_buffer.scala 477:135] + wire _T_3663 = _T_3662 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 477:148] + wire _GEN_128 = _T_3651 & _T_3663; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3647 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3624 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2518 = _T_2517 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2519 = buf_ageQ_3[1] & _T_2518; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2513 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 435:94] + wire _T_3431 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3454 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3458 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3465 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 477:48] + wire _T_3466 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_3467 = obuf_merge & _T_3466; // @[el2_lsu_bus_buffer.scala 477:91] + wire _T_3468 = _T_3465 | _T_3467; // @[el2_lsu_bus_buffer.scala 477:77] + wire _T_3469 = _T_3468 & obuf_valid; // @[el2_lsu_bus_buffer.scala 477:135] + wire _T_3470 = _T_3469 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 477:148] + wire _GEN_52 = _T_3458 & _T_3470; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3454 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3431 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2514 = _T_2513 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2515 = buf_ageQ_3[0] & _T_2514; // @[el2_lsu_bus_buffer.scala 435:78] + wire [3:0] buf_age_3 = {_T_2527,_T_2523,_T_2519,_T_2515}; // @[Cat.scala 29:58] + wire _T_2626 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2628 = _T_2626 & _T_19; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2620 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2622 = _T_2620 & _T_12; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2614 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2616 = _T_2614 & _T_5; // @[el2_lsu_bus_buffer.scala 436:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2628,_T_2622,_T_2616}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 196:99] @@ -461,55 +461,55 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 517:60] - wire _T_2457 = buf_ageQ_2[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2453 = buf_ageQ_2[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2449 = buf_ageQ_2[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2445 = buf_ageQ_2[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] - wire [3:0] buf_age_2 = {_T_2457,_T_2453,_T_2449,_T_2445}; // @[Cat.scala 29:58] - wire _T_2554 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2556 = _T_2554 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2542 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2544 = _T_2542 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2536 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2538 = _T_2536 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] - wire [3:0] buf_age_younger_2 = {_T_2556,1'h0,_T_2544,_T_2538}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 522:60] + wire _T_2508 = buf_ageQ_2[3] & _T_2526; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2504 = buf_ageQ_2[2] & _T_2522; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2500 = buf_ageQ_2[1] & _T_2518; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2496 = buf_ageQ_2[0] & _T_2514; // @[el2_lsu_bus_buffer.scala 435:78] + wire [3:0] buf_age_2 = {_T_2508,_T_2504,_T_2500,_T_2496}; // @[Cat.scala 29:58] + wire _T_2605 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2607 = _T_2605 & _T_26; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2593 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2595 = _T_2593 & _T_12; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2587 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2589 = _T_2587 & _T_5; // @[el2_lsu_bus_buffer.scala 436:106] + wire [3:0] buf_age_younger_2 = {_T_2607,1'h0,_T_2595,_T_2589}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 517:60] - wire _T_2438 = buf_ageQ_1[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2434 = buf_ageQ_1[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2430 = buf_ageQ_1[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2426 = buf_ageQ_1[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] - wire [3:0] buf_age_1 = {_T_2438,_T_2434,_T_2430,_T_2426}; // @[Cat.scala 29:58] - wire _T_2527 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2529 = _T_2527 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2521 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2523 = _T_2521 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2509 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2511 = _T_2509 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] - wire [3:0] buf_age_younger_1 = {_T_2529,_T_2523,1'h0,_T_2511}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 522:60] + wire _T_2489 = buf_ageQ_1[3] & _T_2526; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2485 = buf_ageQ_1[2] & _T_2522; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2481 = buf_ageQ_1[1] & _T_2518; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2477 = buf_ageQ_1[0] & _T_2514; // @[el2_lsu_bus_buffer.scala 435:78] + wire [3:0] buf_age_1 = {_T_2489,_T_2485,_T_2481,_T_2477}; // @[Cat.scala 29:58] + wire _T_2578 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2580 = _T_2578 & _T_26; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2572 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2574 = _T_2572 & _T_19; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2560 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2562 = _T_2560 & _T_5; // @[el2_lsu_bus_buffer.scala 436:106] + wire [3:0] buf_age_younger_1 = {_T_2580,_T_2574,1'h0,_T_2562}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 517:60] - wire _T_2419 = buf_ageQ_0[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2415 = buf_ageQ_0[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2411 = buf_ageQ_0[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2407 = buf_ageQ_0[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] - wire [3:0] buf_age_0 = {_T_2419,_T_2415,_T_2411,_T_2407}; // @[Cat.scala 29:58] - wire _T_2500 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2502 = _T_2500 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2494 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2496 = _T_2494 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2488 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2490 = _T_2488 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] - wire [3:0] buf_age_younger_0 = {_T_2502,_T_2496,_T_2490,1'h0}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 522:60] + wire _T_2470 = buf_ageQ_0[3] & _T_2526; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2466 = buf_ageQ_0[2] & _T_2522; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2462 = buf_ageQ_0[1] & _T_2518; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2458 = buf_ageQ_0[0] & _T_2514; // @[el2_lsu_bus_buffer.scala 435:78] + wire [3:0] buf_age_0 = {_T_2470,_T_2466,_T_2462,_T_2458}; // @[Cat.scala 29:58] + wire _T_2551 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2553 = _T_2551 & _T_26; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2545 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2547 = _T_2545 & _T_19; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2539 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2541 = _T_2539 & _T_12; // @[el2_lsu_bus_buffer.scala 436:106] + wire [3:0] buf_age_younger_0 = {_T_2553,_T_2547,_T_2541,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 196:99] @@ -759,23 +759,23 @@ module el2_lsu_bus_buffer( wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 189:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4240; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4240}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] + reg [3:0] _T_4291; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_0 = {{28'd0}, _T_4291}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 539:14] wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4241; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4241}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] + reg [3:0] _T_4292; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_1 = {{28'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 539:14] wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4242; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4242}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] + reg [3:0] _T_4293; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_2 = {{28'd0}, _T_4293}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 539:14] wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4243; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4243}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] + reg [3:0] _T_4294; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_3 = {{28'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 539:14] wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 214:123] @@ -928,8 +928,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 247:32] wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 241:34] wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 241:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 631:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 630:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 636:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 635:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 257:77] @@ -970,41 +970,41 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4293 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4294 = buf_write[3] & _T_4293; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4295 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4296 = _T_4294 & _T_4295; // @[el2_lsu_bus_buffer.scala 538:89] - wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4287 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4288 = buf_write[2] & _T_4287; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4289 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4290 = _T_4288 & _T_4289; // @[el2_lsu_bus_buffer.scala 538:89] - wire [1:0] _T_4297 = _T_4296 + _T_4290; // @[el2_lsu_bus_buffer.scala 538:142] - wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4281 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4282 = buf_write[1] & _T_4281; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4283 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4284 = _T_4282 & _T_4283; // @[el2_lsu_bus_buffer.scala 538:89] - wire [1:0] _GEN_368 = {{1'd0}, _T_4284}; // @[el2_lsu_bus_buffer.scala 538:142] - wire [2:0] _T_4298 = _T_4297 + _GEN_368; // @[el2_lsu_bus_buffer.scala 538:142] - wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4275 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4276 = buf_write[0] & _T_4275; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4277 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4278 = _T_4276 & _T_4277; // @[el2_lsu_bus_buffer.scala 538:89] - wire [2:0] _GEN_370 = {{2'd0}, _T_4278}; // @[el2_lsu_bus_buffer.scala 538:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4298 + _GEN_370; // @[el2_lsu_bus_buffer.scala 538:142] + wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4344 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4345 = buf_write[3] & _T_4344; // @[el2_lsu_bus_buffer.scala 543:64] + wire _T_4346 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 543:91] + wire _T_4347 = _T_4345 & _T_4346; // @[el2_lsu_bus_buffer.scala 543:89] + wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4338 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4339 = buf_write[2] & _T_4338; // @[el2_lsu_bus_buffer.scala 543:64] + wire _T_4340 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 543:91] + wire _T_4341 = _T_4339 & _T_4340; // @[el2_lsu_bus_buffer.scala 543:89] + wire [1:0] _T_4348 = _T_4347 + _T_4341; // @[el2_lsu_bus_buffer.scala 543:142] + wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4332 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4333 = buf_write[1] & _T_4332; // @[el2_lsu_bus_buffer.scala 543:64] + wire _T_4334 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 543:91] + wire _T_4335 = _T_4333 & _T_4334; // @[el2_lsu_bus_buffer.scala 543:89] + wire [1:0] _GEN_368 = {{1'd0}, _T_4335}; // @[el2_lsu_bus_buffer.scala 543:142] + wire [2:0] _T_4349 = _T_4348 + _GEN_368; // @[el2_lsu_bus_buffer.scala 543:142] + wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4326 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4327 = buf_write[0] & _T_4326; // @[el2_lsu_bus_buffer.scala 543:64] + wire _T_4328 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 543:91] + wire _T_4329 = _T_4327 & _T_4328; // @[el2_lsu_bus_buffer.scala 543:89] + wire [2:0] _GEN_370 = {{2'd0}, _T_4329}; // @[el2_lsu_bus_buffer.scala 543:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4349 + _GEN_370; // @[el2_lsu_bus_buffer.scala 543:142] wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4315 = _T_4293 & _T_4295; // @[el2_lsu_bus_buffer.scala 539:73] - wire _T_4311 = _T_4287 & _T_4289; // @[el2_lsu_bus_buffer.scala 539:73] - wire [1:0] _T_4316 = _T_4315 + _T_4311; // @[el2_lsu_bus_buffer.scala 539:126] - wire _T_4307 = _T_4281 & _T_4283; // @[el2_lsu_bus_buffer.scala 539:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4307}; // @[el2_lsu_bus_buffer.scala 539:126] - wire [2:0] _T_4317 = _T_4316 + _GEN_374; // @[el2_lsu_bus_buffer.scala 539:126] - wire _T_4303 = _T_4275 & _T_4277; // @[el2_lsu_bus_buffer.scala 539:73] - wire [2:0] _GEN_376 = {{2'd0}, _T_4303}; // @[el2_lsu_bus_buffer.scala 539:126] - wire [3:0] buf_numvld_cmd_any = _T_4317 + _GEN_376; // @[el2_lsu_bus_buffer.scala 539:126] + wire _T_4366 = _T_4344 & _T_4346; // @[el2_lsu_bus_buffer.scala 544:73] + wire _T_4362 = _T_4338 & _T_4340; // @[el2_lsu_bus_buffer.scala 544:73] + wire [1:0] _T_4367 = _T_4366 + _T_4362; // @[el2_lsu_bus_buffer.scala 544:126] + wire _T_4358 = _T_4332 & _T_4334; // @[el2_lsu_bus_buffer.scala 544:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4358}; // @[el2_lsu_bus_buffer.scala 544:126] + wire [2:0] _T_4368 = _T_4367 + _GEN_374; // @[el2_lsu_bus_buffer.scala 544:126] + wire _T_4354 = _T_4326 & _T_4328; // @[el2_lsu_bus_buffer.scala 544:73] + wire [2:0] _GEN_376 = {{2'd0}, _T_4354}; // @[el2_lsu_bus_buffer.scala 544:126] + wire [3:0] buf_numvld_cmd_any = _T_4368 + _GEN_376; // @[el2_lsu_bus_buffer.scala 544:126] wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 293:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] @@ -1017,11 +1017,11 @@ module el2_lsu_bus_buffer( reg buf_nomerge_3; // @[Reg.scala 27:20] wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 294:31] wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 294:29] - reg _T_4182; // @[Reg.scala 27:20] - reg _T_4179; // @[Reg.scala 27:20] - reg _T_4176; // @[Reg.scala 27:20] - reg _T_4173; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4182,_T_4179,_T_4176,_T_4173}; // @[Cat.scala 29:58] + reg _T_4233; // @[Reg.scala 27:20] + reg _T_4230; // @[Reg.scala 27:20] + reg _T_4227; // @[Reg.scala 27:20] + reg _T_4224; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4233,_T_4230,_T_4227,_T_4224}; // @[Cat.scala 29:58] wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 295:5] wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 294:140] wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 297:58] @@ -1034,66 +1034,67 @@ module el2_lsu_bus_buffer( wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 296:79] wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4341 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] - wire _T_4344 = _T_4341 | _T_4293; // @[el2_lsu_bus_buffer.scala 540:74] - wire _T_4346 = _T_4344 & _T_4295; // @[el2_lsu_bus_buffer.scala 540:100] - wire _T_4334 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] - wire _T_4337 = _T_4334 | _T_4287; // @[el2_lsu_bus_buffer.scala 540:74] - wire _T_4339 = _T_4337 & _T_4289; // @[el2_lsu_bus_buffer.scala 540:100] - wire [1:0] _T_4347 = _T_4346 + _T_4339; // @[el2_lsu_bus_buffer.scala 540:153] - wire _T_4327 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] - wire _T_4330 = _T_4327 | _T_4281; // @[el2_lsu_bus_buffer.scala 540:74] - wire _T_4332 = _T_4330 & _T_4283; // @[el2_lsu_bus_buffer.scala 540:100] - wire [1:0] _GEN_383 = {{1'd0}, _T_4332}; // @[el2_lsu_bus_buffer.scala 540:153] - wire [2:0] _T_4348 = _T_4347 + _GEN_383; // @[el2_lsu_bus_buffer.scala 540:153] - wire _T_4320 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] - wire _T_4323 = _T_4320 | _T_4275; // @[el2_lsu_bus_buffer.scala 540:74] - wire _T_4325 = _T_4323 & _T_4277; // @[el2_lsu_bus_buffer.scala 540:100] - wire [2:0] _GEN_386 = {{2'd0}, _T_4325}; // @[el2_lsu_bus_buffer.scala 540:153] - wire [3:0] buf_numvld_pend_any = _T_4348 + _GEN_386; // @[el2_lsu_bus_buffer.scala 540:153] + wire _T_4392 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 545:64] + wire _T_4395 = _T_4392 | _T_4344; // @[el2_lsu_bus_buffer.scala 545:74] + wire _T_4397 = _T_4395 & _T_4346; // @[el2_lsu_bus_buffer.scala 545:100] + wire _T_4385 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 545:64] + wire _T_4388 = _T_4385 | _T_4338; // @[el2_lsu_bus_buffer.scala 545:74] + wire _T_4390 = _T_4388 & _T_4340; // @[el2_lsu_bus_buffer.scala 545:100] + wire [1:0] _T_4398 = _T_4397 + _T_4390; // @[el2_lsu_bus_buffer.scala 545:153] + wire _T_4378 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 545:64] + wire _T_4381 = _T_4378 | _T_4332; // @[el2_lsu_bus_buffer.scala 545:74] + wire _T_4383 = _T_4381 & _T_4334; // @[el2_lsu_bus_buffer.scala 545:100] + wire [1:0] _GEN_383 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 545:153] + wire [2:0] _T_4399 = _T_4398 + _GEN_383; // @[el2_lsu_bus_buffer.scala 545:153] + wire _T_4371 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 545:64] + wire _T_4374 = _T_4371 | _T_4326; // @[el2_lsu_bus_buffer.scala 545:74] + wire _T_4376 = _T_4374 & _T_4328; // @[el2_lsu_bus_buffer.scala 545:100] + wire [2:0] _GEN_386 = {{2'd0}, _T_4376}; // @[el2_lsu_bus_buffer.scala 545:153] + wire [3:0] buf_numvld_pend_any = _T_4399 + _GEN_386; // @[el2_lsu_bus_buffer.scala 545:153] wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 299:31] wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 299:61] wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4637 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] - wire _T_4639 = _T_4637 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 567:73] - wire _T_4640 = _T_4639 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] - wire _T_4641 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] - wire _T_4643 = _T_4641 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 567:73] - wire _T_4644 = _T_4643 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] - wire _T_4653 = _T_4640 | _T_4644; // @[el2_lsu_bus_buffer.scala 567:141] - wire _T_4645 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] - wire _T_4647 = _T_4645 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 567:73] - wire _T_4648 = _T_4647 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] - wire _T_4654 = _T_4653 | _T_4648; // @[el2_lsu_bus_buffer.scala 567:141] - wire _T_4649 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] - wire _T_4651 = _T_4649 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 567:73] - wire _T_4652 = _T_4651 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] - wire bus_sideeffect_pend = _T_4654 | _T_4652; // @[el2_lsu_bus_buffer.scala 567:141] + wire _T_4688 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 572:62] + wire _T_4690 = _T_4688 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 572:73] + wire _T_4691 = _T_4690 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 572:93] + wire _T_4692 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 572:62] + wire _T_4694 = _T_4692 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 572:73] + wire _T_4695 = _T_4694 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 572:93] + wire _T_4704 = _T_4691 | _T_4695; // @[el2_lsu_bus_buffer.scala 572:141] + wire _T_4696 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 572:62] + wire _T_4698 = _T_4696 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 572:73] + wire _T_4699 = _T_4698 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 572:93] + wire _T_4705 = _T_4704 | _T_4699; // @[el2_lsu_bus_buffer.scala 572:141] + wire _T_4700 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 572:62] + wire _T_4702 = _T_4700 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 572:73] + wire _T_4703 = _T_4702 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 572:93] + wire bus_sideeffect_pend = _T_4705 | _T_4703; // @[el2_lsu_bus_buffer.scala 572:141] wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 314:52] wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 314:50] - wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 401:58] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 401:45] - wire _T_1907 = _T_1905 & _T_2474; // @[el2_lsu_bus_buffer.scala 401:63] - wire _T_1909 = _T_1907 & _T_4295; // @[el2_lsu_bus_buffer.scala 401:88] - wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 401:58] - wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 401:45] - wire _T_1901 = _T_1899 & _T_2470; // @[el2_lsu_bus_buffer.scala 401:63] - wire _T_1903 = _T_1901 & _T_4289; // @[el2_lsu_bus_buffer.scala 401:88] - wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 401:58] - wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 401:45] - wire _T_1895 = _T_1893 & _T_2466; // @[el2_lsu_bus_buffer.scala 401:63] - wire _T_1897 = _T_1895 & _T_4283; // @[el2_lsu_bus_buffer.scala 401:88] - wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 401:58] - wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 401:45] - wire _T_1889 = _T_1887 & _T_2462; // @[el2_lsu_bus_buffer.scala 401:63] - wire _T_1891 = _T_1889 & _T_4277; // @[el2_lsu_bus_buffer.scala 401:88] - wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 406:31] - wire _T_1034 = _T_2462 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] + wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 403:55] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 403:42] + wire _T_1907 = _T_1905 & _T_2525; // @[el2_lsu_bus_buffer.scala 403:60] + wire _T_1909 = _T_1907 & _T_4346; // @[el2_lsu_bus_buffer.scala 403:85] + wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 403:55] + wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 403:42] + wire _T_1901 = _T_1899 & _T_2521; // @[el2_lsu_bus_buffer.scala 403:60] + wire _T_1903 = _T_1901 & _T_4340; // @[el2_lsu_bus_buffer.scala 403:85] + wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 403:55] + wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 403:42] + wire _T_1895 = _T_1893 & _T_2517; // @[el2_lsu_bus_buffer.scala 403:60] + wire _T_1897 = _T_1895 & _T_4334; // @[el2_lsu_bus_buffer.scala 403:85] + wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 403:55] + wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 403:42] + wire _T_1889 = _T_1887 & _T_2513; // @[el2_lsu_bus_buffer.scala 403:60] + wire _T_1891 = _T_1889 & _T_4328; // @[el2_lsu_bus_buffer.scala 403:85] + wire [3:0] _T_1912 = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] + wire [7:0] CmdPtr0Dec = {{4'd0}, _T_1912}; // @[el2_lsu_bus_buffer.scala 403:14] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 409:31] + wire _T_1034 = _T_2513 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 316:23] wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 316:21] @@ -1114,37 +1115,42 @@ module el2_lsu_bus_buffer( wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 317:150] wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 317:148] wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 317:8] - wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 402:62] - wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 402:59] - wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 402:76] - wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 402:83] - wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 402:81] - wire _T_1953 = _T_1951 & _T_2474; // @[el2_lsu_bus_buffer.scala 402:98] - wire _T_1955 = _T_1953 & _T_4295; // @[el2_lsu_bus_buffer.scala 402:123] - wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 402:59] - wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 402:76] - wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 402:83] - wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 402:81] - wire _T_1942 = _T_1940 & _T_2470; // @[el2_lsu_bus_buffer.scala 402:98] - wire _T_1944 = _T_1942 & _T_4289; // @[el2_lsu_bus_buffer.scala 402:123] - wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 402:59] - wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 402:76] - wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 402:83] - wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 402:81] - wire _T_1931 = _T_1929 & _T_2466; // @[el2_lsu_bus_buffer.scala 402:98] - wire _T_1933 = _T_1931 & _T_4283; // @[el2_lsu_bus_buffer.scala 402:123] - wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 402:59] - wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 402:76] - wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 402:83] - wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 402:81] - wire _T_1920 = _T_1918 & _T_2462; // @[el2_lsu_bus_buffer.scala 402:98] - wire _T_1922 = _T_1920 & _T_4277; // @[el2_lsu_bus_buffer.scala 402:123] - wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 407:31] + wire [7:0] _T_1946 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 404:59] + wire [7:0] _GEN_387 = {{4'd0}, buf_age_3}; // @[el2_lsu_bus_buffer.scala 404:56] + wire [7:0] _T_1947 = _GEN_387 & _T_1946; // @[el2_lsu_bus_buffer.scala 404:56] + wire _T_1948 = |_T_1947; // @[el2_lsu_bus_buffer.scala 404:73] + wire _T_1949 = ~_T_1948; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1951 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 404:80] + wire _T_1952 = _T_1949 & _T_1951; // @[el2_lsu_bus_buffer.scala 404:78] + wire _T_1954 = _T_1952 & _T_2525; // @[el2_lsu_bus_buffer.scala 404:95] + wire _T_1956 = _T_1954 & _T_4346; // @[el2_lsu_bus_buffer.scala 404:120] + wire [7:0] _GEN_388 = {{4'd0}, buf_age_2}; // @[el2_lsu_bus_buffer.scala 404:56] + wire [7:0] _T_1936 = _GEN_388 & _T_1946; // @[el2_lsu_bus_buffer.scala 404:56] + wire _T_1937 = |_T_1936; // @[el2_lsu_bus_buffer.scala 404:73] + wire _T_1938 = ~_T_1937; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1940 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 404:80] + wire _T_1941 = _T_1938 & _T_1940; // @[el2_lsu_bus_buffer.scala 404:78] + wire _T_1943 = _T_1941 & _T_2521; // @[el2_lsu_bus_buffer.scala 404:95] + wire _T_1945 = _T_1943 & _T_4340; // @[el2_lsu_bus_buffer.scala 404:120] + wire [7:0] _GEN_389 = {{4'd0}, buf_age_1}; // @[el2_lsu_bus_buffer.scala 404:56] + wire [7:0] _T_1925 = _GEN_389 & _T_1946; // @[el2_lsu_bus_buffer.scala 404:56] + wire _T_1926 = |_T_1925; // @[el2_lsu_bus_buffer.scala 404:73] + wire _T_1927 = ~_T_1926; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1929 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 404:80] + wire _T_1930 = _T_1927 & _T_1929; // @[el2_lsu_bus_buffer.scala 404:78] + wire _T_1932 = _T_1930 & _T_2517; // @[el2_lsu_bus_buffer.scala 404:95] + wire _T_1934 = _T_1932 & _T_4334; // @[el2_lsu_bus_buffer.scala 404:120] + wire [7:0] _GEN_390 = {{4'd0}, buf_age_0}; // @[el2_lsu_bus_buffer.scala 404:56] + wire [7:0] _T_1914 = _GEN_390 & _T_1946; // @[el2_lsu_bus_buffer.scala 404:56] + wire _T_1915 = |_T_1914; // @[el2_lsu_bus_buffer.scala 404:73] + wire _T_1916 = ~_T_1915; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1918 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 404:80] + wire _T_1919 = _T_1916 & _T_1918; // @[el2_lsu_bus_buffer.scala 404:78] + wire _T_1921 = _T_1919 & _T_2513; // @[el2_lsu_bus_buffer.scala 404:95] + wire _T_1923 = _T_1921 & _T_4328; // @[el2_lsu_bus_buffer.scala 404:120] + wire [3:0] _T_1959 = {_T_1956,_T_1945,_T_1934,_T_1923}; // @[Cat.scala 29:58] + wire [7:0] CmdPtr1Dec = {{4'd0}, _T_1959}; // @[el2_lsu_bus_buffer.scala 404:14] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 410:31] wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 317:181] wire [3:0] _T_1136 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 317:197] @@ -1154,10 +1160,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4712 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 571:54] - wire _T_4713 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:75] - wire _T_4715 = _T_4712 ? _T_4713 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:39] - wire bus_cmd_ready = obuf_write ? _T_4715 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 571:23] + wire _T_4763 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 576:54] + wire _T_4764 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 576:75] + wire _T_4766 = _T_4763 ? _T_4764 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 576:39] + wire bus_cmd_ready = obuf_write ? _T_4766 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 576:23] wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 318:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1166,52 +1172,52 @@ module el2_lsu_bus_buffer( wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 318:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4660 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] - wire _T_4661 = obuf_valid & _T_4660; // @[el2_lsu_bus_buffer.scala 569:38] - wire _T_4663 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 569:126] - wire _T_4664 = obuf_merge & _T_4663; // @[el2_lsu_bus_buffer.scala 569:114] - wire _T_4665 = _T_3414 | _T_4664; // @[el2_lsu_bus_buffer.scala 569:100] - wire _T_4666 = ~_T_4665; // @[el2_lsu_bus_buffer.scala 569:80] - wire _T_4667 = _T_4661 & _T_4666; // @[el2_lsu_bus_buffer.scala 569:78] - wire _T_4704 = _T_4637 & _T_4667; // @[Mux.scala 27:72] - wire _T_4672 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] - wire _T_4673 = obuf_valid & _T_4672; // @[el2_lsu_bus_buffer.scala 569:38] - wire _T_4675 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 569:126] - wire _T_4676 = obuf_merge & _T_4675; // @[el2_lsu_bus_buffer.scala 569:114] - wire _T_4677 = _T_3607 | _T_4676; // @[el2_lsu_bus_buffer.scala 569:100] - wire _T_4678 = ~_T_4677; // @[el2_lsu_bus_buffer.scala 569:80] - wire _T_4679 = _T_4673 & _T_4678; // @[el2_lsu_bus_buffer.scala 569:78] - wire _T_4705 = _T_4641 & _T_4679; // @[Mux.scala 27:72] - wire _T_4708 = _T_4704 | _T_4705; // @[Mux.scala 27:72] - wire _T_4684 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] - wire _T_4685 = obuf_valid & _T_4684; // @[el2_lsu_bus_buffer.scala 569:38] - wire _T_4687 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 569:126] - wire _T_4688 = obuf_merge & _T_4687; // @[el2_lsu_bus_buffer.scala 569:114] - wire _T_4689 = _T_3800 | _T_4688; // @[el2_lsu_bus_buffer.scala 569:100] - wire _T_4690 = ~_T_4689; // @[el2_lsu_bus_buffer.scala 569:80] - wire _T_4691 = _T_4685 & _T_4690; // @[el2_lsu_bus_buffer.scala 569:78] - wire _T_4706 = _T_4645 & _T_4691; // @[Mux.scala 27:72] - wire _T_4709 = _T_4708 | _T_4706; // @[Mux.scala 27:72] - wire _T_4696 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] - wire _T_4697 = obuf_valid & _T_4696; // @[el2_lsu_bus_buffer.scala 569:38] - wire _T_4699 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 569:126] - wire _T_4700 = obuf_merge & _T_4699; // @[el2_lsu_bus_buffer.scala 569:114] - wire _T_4701 = _T_3993 | _T_4700; // @[el2_lsu_bus_buffer.scala 569:100] - wire _T_4702 = ~_T_4701; // @[el2_lsu_bus_buffer.scala 569:80] - wire _T_4703 = _T_4697 & _T_4702; // @[el2_lsu_bus_buffer.scala 569:78] - wire _T_4707 = _T_4649 & _T_4703; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4709 | _T_4707; // @[Mux.scala 27:72] + wire _T_4711 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 574:56] + wire _T_4712 = obuf_valid & _T_4711; // @[el2_lsu_bus_buffer.scala 574:38] + wire _T_4714 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4715 = obuf_merge & _T_4714; // @[el2_lsu_bus_buffer.scala 574:114] + wire _T_4716 = _T_3465 | _T_4715; // @[el2_lsu_bus_buffer.scala 574:100] + wire _T_4717 = ~_T_4716; // @[el2_lsu_bus_buffer.scala 574:80] + wire _T_4718 = _T_4712 & _T_4717; // @[el2_lsu_bus_buffer.scala 574:78] + wire _T_4755 = _T_4688 & _T_4718; // @[Mux.scala 27:72] + wire _T_4723 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 574:56] + wire _T_4724 = obuf_valid & _T_4723; // @[el2_lsu_bus_buffer.scala 574:38] + wire _T_4726 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4727 = obuf_merge & _T_4726; // @[el2_lsu_bus_buffer.scala 574:114] + wire _T_4728 = _T_3658 | _T_4727; // @[el2_lsu_bus_buffer.scala 574:100] + wire _T_4729 = ~_T_4728; // @[el2_lsu_bus_buffer.scala 574:80] + wire _T_4730 = _T_4724 & _T_4729; // @[el2_lsu_bus_buffer.scala 574:78] + wire _T_4756 = _T_4692 & _T_4730; // @[Mux.scala 27:72] + wire _T_4759 = _T_4755 | _T_4756; // @[Mux.scala 27:72] + wire _T_4735 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 574:56] + wire _T_4736 = obuf_valid & _T_4735; // @[el2_lsu_bus_buffer.scala 574:38] + wire _T_4738 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4739 = obuf_merge & _T_4738; // @[el2_lsu_bus_buffer.scala 574:114] + wire _T_4740 = _T_3851 | _T_4739; // @[el2_lsu_bus_buffer.scala 574:100] + wire _T_4741 = ~_T_4740; // @[el2_lsu_bus_buffer.scala 574:80] + wire _T_4742 = _T_4736 & _T_4741; // @[el2_lsu_bus_buffer.scala 574:78] + wire _T_4757 = _T_4696 & _T_4742; // @[Mux.scala 27:72] + wire _T_4760 = _T_4759 | _T_4757; // @[Mux.scala 27:72] + wire _T_4747 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 574:56] + wire _T_4748 = obuf_valid & _T_4747; // @[el2_lsu_bus_buffer.scala 574:38] + wire _T_4750 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4751 = obuf_merge & _T_4750; // @[el2_lsu_bus_buffer.scala 574:114] + wire _T_4752 = _T_4044 | _T_4751; // @[el2_lsu_bus_buffer.scala 574:100] + wire _T_4753 = ~_T_4752; // @[el2_lsu_bus_buffer.scala 574:80] + wire _T_4754 = _T_4748 & _T_4753; // @[el2_lsu_bus_buffer.scala 574:78] + wire _T_4758 = _T_4700 & _T_4754; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4760 | _T_4758; // @[Mux.scala 27:72] wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 318:116] wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 572:39] - wire _T_4719 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 574:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 573:39] - wire _T_4720 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 574:70] - wire _T_4721 = _T_4719 & _T_4720; // @[el2_lsu_bus_buffer.scala 574:52] - wire _T_4722 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 574:111] - wire bus_cmd_sent = _T_4721 | _T_4722; // @[el2_lsu_bus_buffer.scala 574:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:39] + wire _T_4770 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 579:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 578:39] + wire _T_4771 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 579:70] + wire _T_4772 = _T_4770 & _T_4771; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4773 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 579:111] + wire bus_cmd_sent = _T_4772 | _T_4773; // @[el2_lsu_bus_buffer.scala 579:89] wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 320:33] wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 320:63] @@ -1249,7 +1255,7 @@ module el2_lsu_bus_buffer( wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 353:20] wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 353:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 379:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 575:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 580:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:55] wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 353:90] wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 353:70] @@ -1397,1117 +1403,1133 @@ module el2_lsu_bus_buffer( wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:83] wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 395:72] wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 395:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 518:63] - wire _T_2598 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] - wire _T_2599 = buf_rspageQ_0[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2595 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] - wire _T_2596 = buf_rspageQ_0[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2592 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] - wire _T_2593 = buf_rspageQ_0[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2589 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] - wire _T_2590 = buf_rspageQ_0[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2599,_T_2596,_T_2593,_T_2590}; // @[Cat.scala 29:58] - wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1961 = _T_1959 & _T_2589; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 518:63] - wire _T_2614 = buf_rspageQ_1[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2611 = buf_rspageQ_1[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2608 = buf_rspageQ_1[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2605 = buf_rspageQ_1[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2614,_T_2611,_T_2608,_T_2605}; // @[Cat.scala 29:58] - wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1965 = _T_1963 & _T_2592; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 518:63] - wire _T_2629 = buf_rspageQ_2[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2626 = buf_rspageQ_2[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2623 = buf_rspageQ_2[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2620 = buf_rspageQ_2[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2629,_T_2626,_T_2623,_T_2620}; // @[Cat.scala 29:58] - wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1969 = _T_1967 & _T_2595; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 518:63] - wire _T_2644 = buf_rspageQ_3[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2641 = buf_rspageQ_3[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2638 = buf_rspageQ_3[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2635 = buf_rspageQ_3[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2644,_T_2641,_T_2638,_T_2635}; // @[Cat.scala 29:58] - wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1973 = _T_1971 & _T_2598; // @[el2_lsu_bus_buffer.scala 405:70] - wire [3:0] RspPtrDec = {_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire [1:0] _T_1982 = CmdPtr0Dec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] - wire [1:0] _T_1983 = CmdPtr0Dec[1] ? 2'h1 : _T_1982; // @[Mux.scala 47:69] - wire [1:0] _T_1994 = RspPtrDec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] - wire [1:0] _T_1995 = RspPtrDec[1] ? 2'h1 : _T_1994; // @[Mux.scala 47:69] - wire [1:0] RspPtr = RspPtrDec[0] ? 2'h0 : _T_1995; // @[Mux.scala 47:69] - wire _T_3384 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:77] - wire _T_3385 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_3386 = _T_3384 & _T_3385; // @[el2_lsu_bus_buffer.scala 461:95] - wire _T_3387 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] - wire _T_3388 = _T_3386 & _T_3387; // @[el2_lsu_bus_buffer.scala 461:112] - wire _T_3389 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:144] - wire _T_3390 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] - wire _T_3391 = _T_3389 & _T_3390; // @[el2_lsu_bus_buffer.scala 461:161] - wire _T_3392 = _T_3388 | _T_3391; // @[el2_lsu_bus_buffer.scala 461:132] - wire _T_3393 = _T_766 & _T_3392; // @[el2_lsu_bus_buffer.scala 461:63] - wire _T_3394 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] - wire _T_3395 = ibuf_drain_vld & _T_3394; // @[el2_lsu_bus_buffer.scala 461:201] - wire _T_3396 = _T_3393 | _T_3395; // @[el2_lsu_bus_buffer.scala 461:183] - wire _T_3406 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 468:46] - wire _T_3441 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 576:38] - wire _T_3486 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3487 = bus_rsp_write & _T_3486; // @[el2_lsu_bus_buffer.scala 486:52] - wire _T_3488 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 487:46] - reg _T_4159; // @[Reg.scala 27:20] - reg _T_4157; // @[Reg.scala 27:20] - reg _T_4155; // @[Reg.scala 27:20] - reg _T_4153; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4159,_T_4157,_T_4155,_T_4153}; // @[Cat.scala 29:58] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 523:63] + wire _T_2649 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 437:104] + wire _T_2650 = buf_rspageQ_0[3] & _T_2649; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2646 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 437:104] + wire _T_2647 = buf_rspageQ_0[2] & _T_2646; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2643 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 437:104] + wire _T_2644 = buf_rspageQ_0[1] & _T_2643; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2640 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 437:104] + wire _T_2641 = buf_rspageQ_0[0] & _T_2640; // @[el2_lsu_bus_buffer.scala 437:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2650,_T_2647,_T_2644,_T_2641}; // @[Cat.scala 29:58] + wire _T_1960 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 408:62] + wire _T_1961 = ~_T_1960; // @[el2_lsu_bus_buffer.scala 408:41] + wire _T_1963 = _T_1961 & _T_2640; // @[el2_lsu_bus_buffer.scala 408:67] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 523:63] + wire _T_2665 = buf_rspageQ_1[3] & _T_2649; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2662 = buf_rspageQ_1[2] & _T_2646; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2659 = buf_rspageQ_1[1] & _T_2643; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2656 = buf_rspageQ_1[0] & _T_2640; // @[el2_lsu_bus_buffer.scala 437:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2665,_T_2662,_T_2659,_T_2656}; // @[Cat.scala 29:58] + wire _T_1964 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 408:62] + wire _T_1965 = ~_T_1964; // @[el2_lsu_bus_buffer.scala 408:41] + wire _T_1967 = _T_1965 & _T_2643; // @[el2_lsu_bus_buffer.scala 408:67] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 523:63] + wire _T_2680 = buf_rspageQ_2[3] & _T_2649; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2677 = buf_rspageQ_2[2] & _T_2646; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2674 = buf_rspageQ_2[1] & _T_2643; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2671 = buf_rspageQ_2[0] & _T_2640; // @[el2_lsu_bus_buffer.scala 437:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2680,_T_2677,_T_2674,_T_2671}; // @[Cat.scala 29:58] + wire _T_1968 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 408:62] + wire _T_1969 = ~_T_1968; // @[el2_lsu_bus_buffer.scala 408:41] + wire _T_1971 = _T_1969 & _T_2646; // @[el2_lsu_bus_buffer.scala 408:67] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 523:63] + wire _T_2695 = buf_rspageQ_3[3] & _T_2649; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2692 = buf_rspageQ_3[2] & _T_2646; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2689 = buf_rspageQ_3[1] & _T_2643; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2686 = buf_rspageQ_3[0] & _T_2640; // @[el2_lsu_bus_buffer.scala 437:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2695,_T_2692,_T_2689,_T_2686}; // @[Cat.scala 29:58] + wire _T_1972 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 408:62] + wire _T_1973 = ~_T_1972; // @[el2_lsu_bus_buffer.scala 408:41] + wire _T_1975 = _T_1973 & _T_2649; // @[el2_lsu_bus_buffer.scala 408:67] + wire [3:0] _T_1978 = {_T_1975,_T_1971,_T_1967,_T_1963}; // @[Cat.scala 29:58] + wire _T_1983 = CmdPtr0Dec[4] | CmdPtr0Dec[5]; // @[el2_lsu_bus_buffer.scala 412:42] + wire _T_1985 = _T_1983 | CmdPtr0Dec[6]; // @[el2_lsu_bus_buffer.scala 412:48] + wire _T_1987 = _T_1985 | CmdPtr0Dec[7]; // @[el2_lsu_bus_buffer.scala 412:54] + wire _T_1990 = CmdPtr0Dec[2] | CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 412:67] + wire _T_1992 = _T_1990 | CmdPtr0Dec[6]; // @[el2_lsu_bus_buffer.scala 412:73] + wire _T_1994 = _T_1992 | CmdPtr0Dec[7]; // @[el2_lsu_bus_buffer.scala 412:79] + wire _T_1997 = CmdPtr0Dec[1] | CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 412:92] + wire _T_1999 = _T_1997 | CmdPtr0Dec[5]; // @[el2_lsu_bus_buffer.scala 412:98] + wire _T_2001 = _T_1999 | CmdPtr0Dec[7]; // @[el2_lsu_bus_buffer.scala 412:104] + wire [1:0] _T_2002 = {_T_1987,_T_1994}; // @[Cat.scala 29:58] + wire [7:0] RspPtrDec = {{4'd0}, _T_1978}; // @[el2_lsu_bus_buffer.scala 408:13] + wire _T_2027 = RspPtrDec[4] | RspPtrDec[5]; // @[el2_lsu_bus_buffer.scala 412:42] + wire _T_2029 = _T_2027 | RspPtrDec[6]; // @[el2_lsu_bus_buffer.scala 412:48] + wire _T_2031 = _T_2029 | RspPtrDec[7]; // @[el2_lsu_bus_buffer.scala 412:54] + wire _T_2034 = RspPtrDec[2] | RspPtrDec[3]; // @[el2_lsu_bus_buffer.scala 412:67] + wire _T_2036 = _T_2034 | RspPtrDec[6]; // @[el2_lsu_bus_buffer.scala 412:73] + wire _T_2038 = _T_2036 | RspPtrDec[7]; // @[el2_lsu_bus_buffer.scala 412:79] + wire _T_2041 = RspPtrDec[1] | RspPtrDec[3]; // @[el2_lsu_bus_buffer.scala 412:92] + wire _T_2043 = _T_2041 | RspPtrDec[5]; // @[el2_lsu_bus_buffer.scala 412:98] + wire _T_2045 = _T_2043 | RspPtrDec[7]; // @[el2_lsu_bus_buffer.scala 412:104] + wire [2:0] RspPtr = {_T_2031,_T_2038,_T_2045}; // @[Cat.scala 29:58] + wire _T_3435 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 466:77] + wire _T_3436 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 466:97] + wire _T_3437 = _T_3435 & _T_3436; // @[el2_lsu_bus_buffer.scala 466:95] + wire _T_3438 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 466:117] + wire _T_3439 = _T_3437 & _T_3438; // @[el2_lsu_bus_buffer.scala 466:112] + wire _T_3440 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 466:144] + wire _T_3441 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 466:166] + wire _T_3442 = _T_3440 & _T_3441; // @[el2_lsu_bus_buffer.scala 466:161] + wire _T_3443 = _T_3439 | _T_3442; // @[el2_lsu_bus_buffer.scala 466:132] + wire _T_3444 = _T_766 & _T_3443; // @[el2_lsu_bus_buffer.scala 466:63] + wire _T_3445 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 466:206] + wire _T_3446 = ibuf_drain_vld & _T_3445; // @[el2_lsu_bus_buffer.scala 466:201] + wire _T_3447 = _T_3444 | _T_3446; // @[el2_lsu_bus_buffer.scala 466:183] + wire _T_3457 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:46] + wire _T_3492 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 581:38] + wire _T_3537 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 491:73] + wire _T_3538 = bus_rsp_write & _T_3537; // @[el2_lsu_bus_buffer.scala 491:52] + wire _T_3539 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 492:46] + reg _T_4210; // @[Reg.scala 27:20] + reg _T_4208; // @[Reg.scala 27:20] + reg _T_4206; // @[Reg.scala 27:20] + reg _T_4204; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4210,_T_4208,_T_4206,_T_4204}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3490 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3491 = buf_ldfwd[0] & _T_3490; // @[el2_lsu_bus_buffer.scala 488:27] - wire _T_3492 = _T_3488 | _T_3491; // @[el2_lsu_bus_buffer.scala 487:77] - wire _T_3493 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 489:26] - wire _T_3496 = _T_3493 & _T_1130; // @[el2_lsu_bus_buffer.scala 489:42] - wire _T_3497 = _T_3496 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3541 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3542 = buf_ldfwd[0] & _T_3541; // @[el2_lsu_bus_buffer.scala 493:27] + wire _T_3543 = _T_3539 | _T_3542; // @[el2_lsu_bus_buffer.scala 492:77] + wire _T_3544 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 494:26] + wire _T_3547 = _T_3544 & _T_1130; // @[el2_lsu_bus_buffer.scala 494:42] + wire _T_3548 = _T_3547 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 494:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3498 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3499 = _T_3497 & _T_3498; // @[el2_lsu_bus_buffer.scala 489:74] - wire _T_3500 = _T_3492 | _T_3499; // @[el2_lsu_bus_buffer.scala 488:71] - wire _T_3501 = bus_rsp_read & _T_3500; // @[el2_lsu_bus_buffer.scala 487:25] - wire _T_3502 = _T_3487 | _T_3501; // @[el2_lsu_bus_buffer.scala 486:105] - wire _GEN_42 = _T_3441 & _T_3502; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3407 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3403 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3528 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3538 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 501:21] + wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3549 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3550 = _T_3548 & _T_3549; // @[el2_lsu_bus_buffer.scala 494:74] + wire _T_3551 = _T_3543 | _T_3550; // @[el2_lsu_bus_buffer.scala 493:71] + wire _T_3552 = bus_rsp_read & _T_3551; // @[el2_lsu_bus_buffer.scala 492:25] + wire _T_3553 = _T_3538 | _T_3552; // @[el2_lsu_bus_buffer.scala 491:105] + wire _GEN_42 = _T_3492 & _T_3553; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3458 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3454 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3431 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3579 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3589 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 506:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 501:58] - wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3540 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3541 = _T_3538[0] & _T_3540; // @[el2_lsu_bus_buffer.scala 501:38] - wire _T_3542 = _T_3498 | _T_3541; // @[el2_lsu_bus_buffer.scala 500:95] - wire _T_3543 = bus_rsp_read & _T_3542; // @[el2_lsu_bus_buffer.scala 500:45] - wire _GEN_36 = _T_3528 & _T_3543; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3441 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3407 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3403 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3420 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] - wire _T_3421 = _T_3420 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] - wire _T_3546 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3549 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 506:37] - wire _T_3550 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] - wire _T_3551 = buf_dual_0 & _T_3550; // @[el2_lsu_bus_buffer.scala 506:80] - wire _T_3552 = _T_3549 | _T_3551; // @[el2_lsu_bus_buffer.scala 506:65] - wire _T_3553 = _T_3552 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_3554 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3546 ? _T_3553 : _T_3554; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3528 ? _T_3421 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3441 ? _T_3421 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3407 ? _T_3421 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3403 ? _T_3406 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3380 ? _T_3396 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_1997 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 424:94] - wire _T_1998 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] - wire _T_2001 = _T_2462 & _T_4277; // @[el2_lsu_bus_buffer.scala 425:57] - wire _T_2002 = _T_1998 | _T_2001; // @[el2_lsu_bus_buffer.scala 425:31] - wire _T_2003 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 426:23] - wire _T_2005 = _T_2003 & _T_3384; // @[el2_lsu_bus_buffer.scala 426:41] - wire _T_2007 = _T_2005 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:71] - wire _T_2009 = _T_2007 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2010 = _T_2002 | _T_2009; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2011 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 427:17] - wire _T_2012 = _T_2011 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 427:35] - wire _T_2014 = _T_2012 & _T_1785; // @[el2_lsu_bus_buffer.scala 427:52] - wire _T_2016 = _T_2014 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2017 = _T_2010 | _T_2016; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2018 = _T_1997 & _T_2017; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2020 = _T_2018 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2023 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] - wire _T_2026 = _T_2466 & _T_4283; // @[el2_lsu_bus_buffer.scala 425:57] - wire _T_2027 = _T_2023 | _T_2026; // @[el2_lsu_bus_buffer.scala 425:31] - wire _T_2034 = _T_2007 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2035 = _T_2027 | _T_2034; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2041 = _T_2014 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2042 = _T_2035 | _T_2041; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2043 = _T_1997 & _T_2042; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2045 = _T_2043 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2048 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] - wire _T_2051 = _T_2470 & _T_4289; // @[el2_lsu_bus_buffer.scala 425:57] - wire _T_2052 = _T_2048 | _T_2051; // @[el2_lsu_bus_buffer.scala 425:31] - wire _T_2059 = _T_2007 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2060 = _T_2052 | _T_2059; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2066 = _T_2014 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2067 = _T_2060 | _T_2066; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2068 = _T_1997 & _T_2067; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2070 = _T_2068 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2073 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] - wire _T_2076 = _T_2474 & _T_4295; // @[el2_lsu_bus_buffer.scala 425:57] - wire _T_2077 = _T_2073 | _T_2076; // @[el2_lsu_bus_buffer.scala 425:31] - wire _T_2084 = _T_2007 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2085 = _T_2077 | _T_2084; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2091 = _T_2014 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2092 = _T_2085 | _T_2091; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2093 = _T_1997 & _T_2092; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2095 = _T_2093 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 427:97] - wire [2:0] _T_2097 = {_T_2095,_T_2070,_T_2045}; // @[Cat.scala 29:58] - wire _T_3580 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] - wire _T_3581 = _T_3386 & _T_3580; // @[el2_lsu_bus_buffer.scala 461:112] - wire _T_3583 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] - wire _T_3584 = _T_3389 & _T_3583; // @[el2_lsu_bus_buffer.scala 461:161] - wire _T_3585 = _T_3581 | _T_3584; // @[el2_lsu_bus_buffer.scala 461:132] - wire _T_3586 = _T_766 & _T_3585; // @[el2_lsu_bus_buffer.scala 461:63] - wire _T_3587 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] - wire _T_3588 = ibuf_drain_vld & _T_3587; // @[el2_lsu_bus_buffer.scala 461:201] - wire _T_3589 = _T_3586 | _T_3588; // @[el2_lsu_bus_buffer.scala 461:183] - wire _T_3634 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3679 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3680 = bus_rsp_write & _T_3679; // @[el2_lsu_bus_buffer.scala 486:52] - wire _T_3681 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 487:46] - wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3683 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3684 = buf_ldfwd[1] & _T_3683; // @[el2_lsu_bus_buffer.scala 488:27] - wire _T_3685 = _T_3681 | _T_3684; // @[el2_lsu_bus_buffer.scala 487:77] - wire _T_3686 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 489:26] - wire _T_3688 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 489:44] - wire _T_3689 = _T_3686 & _T_3688; // @[el2_lsu_bus_buffer.scala 489:42] - wire _T_3690 = _T_3689 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_394 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3591 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3592 = _T_3589[0] & _T_3591; // @[el2_lsu_bus_buffer.scala 506:38] + wire _T_3593 = _T_3549 | _T_3592; // @[el2_lsu_bus_buffer.scala 505:95] + wire _T_3594 = bus_rsp_read & _T_3593; // @[el2_lsu_bus_buffer.scala 505:45] + wire _GEN_36 = _T_3579 & _T_3594; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3492 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3458 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3454 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3431 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3471 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 479:49] + wire _T_3472 = _T_3471 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 479:70] + wire _T_3597 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3600 = RspPtr == 3'h0; // @[el2_lsu_bus_buffer.scala 511:37] + wire _T_3601 = _GEN_392 == RspPtr; // @[el2_lsu_bus_buffer.scala 511:98] + wire _T_3602 = buf_dual_0 & _T_3601; // @[el2_lsu_bus_buffer.scala 511:80] + wire _T_3603 = _T_3600 | _T_3602; // @[el2_lsu_bus_buffer.scala 511:65] + wire _T_3604 = _T_3603 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:112] + wire _T_3605 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3597 ? _T_3604 : _T_3605; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3579 ? _T_3472 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3492 ? _T_3472 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3458 ? _T_3472 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3454 ? _T_3457 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3431 ? _T_3447 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2048 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2049 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 430:20] + wire _T_2052 = _T_2513 & _T_4328; // @[el2_lsu_bus_buffer.scala 430:57] + wire _T_2053 = _T_2049 | _T_2052; // @[el2_lsu_bus_buffer.scala 430:31] + wire _T_2054 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 431:23] + wire _T_2056 = _T_2054 & _T_3435; // @[el2_lsu_bus_buffer.scala 431:41] + wire _T_2058 = _T_2056 & _T_1782; // @[el2_lsu_bus_buffer.scala 431:71] + wire _T_2060 = _T_2058 & _T_1780; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2061 = _T_2053 | _T_2060; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2062 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 432:17] + wire _T_2063 = _T_2062 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 432:35] + wire _T_2065 = _T_2063 & _T_1785; // @[el2_lsu_bus_buffer.scala 432:52] + wire _T_2067 = _T_2065 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2068 = _T_2061 | _T_2067; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2069 = _T_2048 & _T_2068; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2071 = _T_2069 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2074 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 430:20] + wire _T_2077 = _T_2517 & _T_4334; // @[el2_lsu_bus_buffer.scala 430:57] + wire _T_2078 = _T_2074 | _T_2077; // @[el2_lsu_bus_buffer.scala 430:31] + wire _T_2085 = _T_2058 & _T_1791; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2086 = _T_2078 | _T_2085; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2092 = _T_2065 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2093 = _T_2086 | _T_2092; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2094 = _T_2048 & _T_2093; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2096 = _T_2094 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2099 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 430:20] + wire _T_2102 = _T_2521 & _T_4340; // @[el2_lsu_bus_buffer.scala 430:57] + wire _T_2103 = _T_2099 | _T_2102; // @[el2_lsu_bus_buffer.scala 430:31] + wire _T_2110 = _T_2058 & _T_1802; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2111 = _T_2103 | _T_2110; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2117 = _T_2065 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2118 = _T_2111 | _T_2117; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2119 = _T_2048 & _T_2118; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2121 = _T_2119 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2124 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 430:20] + wire _T_2127 = _T_2525 & _T_4346; // @[el2_lsu_bus_buffer.scala 430:57] + wire _T_2128 = _T_2124 | _T_2127; // @[el2_lsu_bus_buffer.scala 430:31] + wire _T_2135 = _T_2058 & _T_1813; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2136 = _T_2128 | _T_2135; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2142 = _T_2065 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2143 = _T_2136 | _T_2142; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2144 = _T_2048 & _T_2143; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2146 = _T_2144 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 432:97] + wire [2:0] _T_2148 = {_T_2146,_T_2121,_T_2096}; // @[Cat.scala 29:58] + wire _T_3631 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 466:117] + wire _T_3632 = _T_3437 & _T_3631; // @[el2_lsu_bus_buffer.scala 466:112] + wire _T_3634 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 466:166] + wire _T_3635 = _T_3440 & _T_3634; // @[el2_lsu_bus_buffer.scala 466:161] + wire _T_3636 = _T_3632 | _T_3635; // @[el2_lsu_bus_buffer.scala 466:132] + wire _T_3637 = _T_766 & _T_3636; // @[el2_lsu_bus_buffer.scala 466:63] + wire _T_3638 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 466:206] + wire _T_3639 = ibuf_drain_vld & _T_3638; // @[el2_lsu_bus_buffer.scala 466:201] + wire _T_3640 = _T_3637 | _T_3639; // @[el2_lsu_bus_buffer.scala 466:183] + wire _T_3685 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3730 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 491:73] + wire _T_3731 = bus_rsp_write & _T_3730; // @[el2_lsu_bus_buffer.scala 491:52] + wire _T_3732 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 492:46] + wire [2:0] _GEN_396 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3734 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3735 = buf_ldfwd[1] & _T_3734; // @[el2_lsu_bus_buffer.scala 493:27] + wire _T_3736 = _T_3732 | _T_3735; // @[el2_lsu_bus_buffer.scala 492:77] + wire _T_3737 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 494:26] + wire _T_3739 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 494:44] + wire _T_3740 = _T_3737 & _T_3739; // @[el2_lsu_bus_buffer.scala 494:42] + wire _T_3741 = _T_3740 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 494:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3691 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3692 = _T_3690 & _T_3691; // @[el2_lsu_bus_buffer.scala 489:74] - wire _T_3693 = _T_3685 | _T_3692; // @[el2_lsu_bus_buffer.scala 488:71] - wire _T_3694 = bus_rsp_read & _T_3693; // @[el2_lsu_bus_buffer.scala 487:25] - wire _T_3695 = _T_3680 | _T_3694; // @[el2_lsu_bus_buffer.scala 486:105] - wire _GEN_118 = _T_3634 & _T_3695; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3600 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3596 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3721 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3731 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 501:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 501:58] - wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3733 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3734 = _T_3731[0] & _T_3733; // @[el2_lsu_bus_buffer.scala 501:38] - wire _T_3735 = _T_3691 | _T_3734; // @[el2_lsu_bus_buffer.scala 500:95] - wire _T_3736 = bus_rsp_read & _T_3735; // @[el2_lsu_bus_buffer.scala 500:45] - wire _GEN_112 = _T_3721 & _T_3736; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3634 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3600 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3596 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3613 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] - wire _T_3614 = _T_3613 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] - wire _T_3739 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3742 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 506:37] - wire _T_3743 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] - wire _T_3744 = buf_dual_1 & _T_3743; // @[el2_lsu_bus_buffer.scala 506:80] - wire _T_3745 = _T_3742 | _T_3744; // @[el2_lsu_bus_buffer.scala 506:65] - wire _T_3746 = _T_3745 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_3747 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3739 ? _T_3746 : _T_3747; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3721 ? _T_3614 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3634 ? _T_3614 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3600 ? _T_3614 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3596 ? _T_3406 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3573 ? _T_3589 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2099 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 424:94] - wire _T_2109 = _T_2005 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:71] - wire _T_2111 = _T_2109 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2112 = _T_2002 | _T_2111; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2116 = _T_2012 & _T_1796; // @[el2_lsu_bus_buffer.scala 427:52] - wire _T_2118 = _T_2116 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2119 = _T_2112 | _T_2118; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2120 = _T_2099 & _T_2119; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2122 = _T_2120 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2136 = _T_2109 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2137 = _T_2027 | _T_2136; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2143 = _T_2116 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2144 = _T_2137 | _T_2143; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2145 = _T_2099 & _T_2144; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2147 = _T_2145 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2161 = _T_2109 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2162 = _T_2052 | _T_2161; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2168 = _T_2116 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2169 = _T_2162 | _T_2168; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2170 = _T_2099 & _T_2169; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2172 = _T_2170 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2186 = _T_2109 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2187 = _T_2077 | _T_2186; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2193 = _T_2116 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2194 = _T_2187 | _T_2193; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2195 = _T_2099 & _T_2194; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2197 = _T_2195 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 427:97] - wire [2:0] _T_2199 = {_T_2197,_T_2172,_T_2147}; // @[Cat.scala 29:58] - wire _T_3773 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] - wire _T_3774 = _T_3386 & _T_3773; // @[el2_lsu_bus_buffer.scala 461:112] - wire _T_3776 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] - wire _T_3777 = _T_3389 & _T_3776; // @[el2_lsu_bus_buffer.scala 461:161] - wire _T_3778 = _T_3774 | _T_3777; // @[el2_lsu_bus_buffer.scala 461:132] - wire _T_3779 = _T_766 & _T_3778; // @[el2_lsu_bus_buffer.scala 461:63] - wire _T_3780 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] - wire _T_3781 = ibuf_drain_vld & _T_3780; // @[el2_lsu_bus_buffer.scala 461:201] - wire _T_3782 = _T_3779 | _T_3781; // @[el2_lsu_bus_buffer.scala 461:183] - wire _T_3827 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3872 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3873 = bus_rsp_write & _T_3872; // @[el2_lsu_bus_buffer.scala 486:52] - wire _T_3874 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 487:46] - wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3876 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3877 = buf_ldfwd[2] & _T_3876; // @[el2_lsu_bus_buffer.scala 488:27] - wire _T_3878 = _T_3874 | _T_3877; // @[el2_lsu_bus_buffer.scala 487:77] - wire _T_3879 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 489:26] - wire _T_3881 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 489:44] - wire _T_3882 = _T_3879 & _T_3881; // @[el2_lsu_bus_buffer.scala 489:42] - wire _T_3883 = _T_3882 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_397 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3742 = io_lsu_axi_rid == _GEN_397; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3743 = _T_3741 & _T_3742; // @[el2_lsu_bus_buffer.scala 494:74] + wire _T_3744 = _T_3736 | _T_3743; // @[el2_lsu_bus_buffer.scala 493:71] + wire _T_3745 = bus_rsp_read & _T_3744; // @[el2_lsu_bus_buffer.scala 492:25] + wire _T_3746 = _T_3731 | _T_3745; // @[el2_lsu_bus_buffer.scala 491:105] + wire _GEN_118 = _T_3685 & _T_3746; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3651 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3647 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3624 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3772 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3782 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 506:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_399 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3784 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3785 = _T_3782[0] & _T_3784; // @[el2_lsu_bus_buffer.scala 506:38] + wire _T_3786 = _T_3742 | _T_3785; // @[el2_lsu_bus_buffer.scala 505:95] + wire _T_3787 = bus_rsp_read & _T_3786; // @[el2_lsu_bus_buffer.scala 505:45] + wire _GEN_112 = _T_3772 & _T_3787; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3685 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3651 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3647 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3624 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3664 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 479:49] + wire _T_3665 = _T_3664 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 479:70] + wire _T_3790 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3793 = RspPtr == 3'h1; // @[el2_lsu_bus_buffer.scala 511:37] + wire _T_3794 = _GEN_397 == RspPtr; // @[el2_lsu_bus_buffer.scala 511:98] + wire _T_3795 = buf_dual_1 & _T_3794; // @[el2_lsu_bus_buffer.scala 511:80] + wire _T_3796 = _T_3793 | _T_3795; // @[el2_lsu_bus_buffer.scala 511:65] + wire _T_3797 = _T_3796 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:112] + wire _T_3798 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3790 ? _T_3797 : _T_3798; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3772 ? _T_3665 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3685 ? _T_3665 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3651 ? _T_3665 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3647 ? _T_3457 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3624 ? _T_3640 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2150 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2160 = _T_2056 & _T_1793; // @[el2_lsu_bus_buffer.scala 431:71] + wire _T_2162 = _T_2160 & _T_1780; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2163 = _T_2053 | _T_2162; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2167 = _T_2063 & _T_1796; // @[el2_lsu_bus_buffer.scala 432:52] + wire _T_2169 = _T_2167 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2170 = _T_2163 | _T_2169; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2171 = _T_2150 & _T_2170; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2173 = _T_2171 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2187 = _T_2160 & _T_1791; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2188 = _T_2078 | _T_2187; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2194 = _T_2167 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2195 = _T_2188 | _T_2194; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2196 = _T_2150 & _T_2195; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2198 = _T_2196 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2212 = _T_2160 & _T_1802; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2213 = _T_2103 | _T_2212; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2219 = _T_2167 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2220 = _T_2213 | _T_2219; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2221 = _T_2150 & _T_2220; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2223 = _T_2221 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2237 = _T_2160 & _T_1813; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2238 = _T_2128 | _T_2237; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2244 = _T_2167 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2245 = _T_2238 | _T_2244; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2246 = _T_2150 & _T_2245; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2248 = _T_2246 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 432:97] + wire [2:0] _T_2250 = {_T_2248,_T_2223,_T_2198}; // @[Cat.scala 29:58] + wire _T_3824 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 466:117] + wire _T_3825 = _T_3437 & _T_3824; // @[el2_lsu_bus_buffer.scala 466:112] + wire _T_3827 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 466:166] + wire _T_3828 = _T_3440 & _T_3827; // @[el2_lsu_bus_buffer.scala 466:161] + wire _T_3829 = _T_3825 | _T_3828; // @[el2_lsu_bus_buffer.scala 466:132] + wire _T_3830 = _T_766 & _T_3829; // @[el2_lsu_bus_buffer.scala 466:63] + wire _T_3831 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 466:206] + wire _T_3832 = ibuf_drain_vld & _T_3831; // @[el2_lsu_bus_buffer.scala 466:201] + wire _T_3833 = _T_3830 | _T_3832; // @[el2_lsu_bus_buffer.scala 466:183] + wire _T_3878 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3923 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 491:73] + wire _T_3924 = bus_rsp_write & _T_3923; // @[el2_lsu_bus_buffer.scala 491:52] + wire _T_3925 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 492:46] + wire [2:0] _GEN_401 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3927 = io_lsu_axi_rid == _GEN_401; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3928 = buf_ldfwd[2] & _T_3927; // @[el2_lsu_bus_buffer.scala 493:27] + wire _T_3929 = _T_3925 | _T_3928; // @[el2_lsu_bus_buffer.scala 492:77] + wire _T_3930 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 494:26] + wire _T_3932 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 494:44] + wire _T_3933 = _T_3930 & _T_3932; // @[el2_lsu_bus_buffer.scala 494:42] + wire _T_3934 = _T_3933 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 494:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3884 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3885 = _T_3883 & _T_3884; // @[el2_lsu_bus_buffer.scala 489:74] - wire _T_3886 = _T_3878 | _T_3885; // @[el2_lsu_bus_buffer.scala 488:71] - wire _T_3887 = bus_rsp_read & _T_3886; // @[el2_lsu_bus_buffer.scala 487:25] - wire _T_3888 = _T_3873 | _T_3887; // @[el2_lsu_bus_buffer.scala 486:105] - wire _GEN_194 = _T_3827 & _T_3888; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3793 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3789 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_3914 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3924 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 501:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 501:58] - wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3926 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3927 = _T_3924[0] & _T_3926; // @[el2_lsu_bus_buffer.scala 501:38] - wire _T_3928 = _T_3884 | _T_3927; // @[el2_lsu_bus_buffer.scala 500:95] - wire _T_3929 = bus_rsp_read & _T_3928; // @[el2_lsu_bus_buffer.scala 500:45] - wire _GEN_188 = _T_3914 & _T_3929; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3827 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3793 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3789 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3806 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] - wire _T_3807 = _T_3806 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] - wire _T_3932 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3935 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 506:37] - wire _T_3936 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] - wire _T_3937 = buf_dual_2 & _T_3936; // @[el2_lsu_bus_buffer.scala 506:80] - wire _T_3938 = _T_3935 | _T_3937; // @[el2_lsu_bus_buffer.scala 506:65] - wire _T_3939 = _T_3938 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_3940 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_3932 ? _T_3939 : _T_3940; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_3914 ? _T_3807 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3827 ? _T_3807 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3793 ? _T_3807 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3789 ? _T_3406 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3766 ? _T_3782 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2201 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 424:94] - wire _T_2211 = _T_2005 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:71] - wire _T_2213 = _T_2211 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2214 = _T_2002 | _T_2213; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2218 = _T_2012 & _T_1807; // @[el2_lsu_bus_buffer.scala 427:52] - wire _T_2220 = _T_2218 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2221 = _T_2214 | _T_2220; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2222 = _T_2201 & _T_2221; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2224 = _T_2222 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2238 = _T_2211 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2239 = _T_2027 | _T_2238; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2245 = _T_2218 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2246 = _T_2239 | _T_2245; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2247 = _T_2201 & _T_2246; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2249 = _T_2247 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2263 = _T_2211 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2264 = _T_2052 | _T_2263; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2270 = _T_2218 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2271 = _T_2264 | _T_2270; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2272 = _T_2201 & _T_2271; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2274 = _T_2272 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2288 = _T_2211 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2289 = _T_2077 | _T_2288; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2295 = _T_2218 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2296 = _T_2289 | _T_2295; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2297 = _T_2201 & _T_2296; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2299 = _T_2297 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 427:97] - wire [2:0] _T_2301 = {_T_2299,_T_2274,_T_2249}; // @[Cat.scala 29:58] - wire _T_3966 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] - wire _T_3967 = _T_3386 & _T_3966; // @[el2_lsu_bus_buffer.scala 461:112] - wire _T_3969 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] - wire _T_3970 = _T_3389 & _T_3969; // @[el2_lsu_bus_buffer.scala 461:161] - wire _T_3971 = _T_3967 | _T_3970; // @[el2_lsu_bus_buffer.scala 461:132] - wire _T_3972 = _T_766 & _T_3971; // @[el2_lsu_bus_buffer.scala 461:63] - wire _T_3973 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] - wire _T_3974 = ibuf_drain_vld & _T_3973; // @[el2_lsu_bus_buffer.scala 461:201] - wire _T_3975 = _T_3972 | _T_3974; // @[el2_lsu_bus_buffer.scala 461:183] - wire _T_4020 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4065 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_4066 = bus_rsp_write & _T_4065; // @[el2_lsu_bus_buffer.scala 486:52] - wire _T_4067 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 487:46] - wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_4069 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_4070 = buf_ldfwd[3] & _T_4069; // @[el2_lsu_bus_buffer.scala 488:27] - wire _T_4071 = _T_4067 | _T_4070; // @[el2_lsu_bus_buffer.scala 487:77] - wire _T_4072 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 489:26] - wire _T_4074 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 489:44] - wire _T_4075 = _T_4072 & _T_4074; // @[el2_lsu_bus_buffer.scala 489:42] - wire _T_4076 = _T_4075 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_402 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3935 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3936 = _T_3934 & _T_3935; // @[el2_lsu_bus_buffer.scala 494:74] + wire _T_3937 = _T_3929 | _T_3936; // @[el2_lsu_bus_buffer.scala 493:71] + wire _T_3938 = bus_rsp_read & _T_3937; // @[el2_lsu_bus_buffer.scala 492:25] + wire _T_3939 = _T_3924 | _T_3938; // @[el2_lsu_bus_buffer.scala 491:105] + wire _GEN_194 = _T_3878 & _T_3939; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3844 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3840 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3817 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_3965 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_3975 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 506:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_404 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3977 = io_lsu_axi_rid == _GEN_404; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3978 = _T_3975[0] & _T_3977; // @[el2_lsu_bus_buffer.scala 506:38] + wire _T_3979 = _T_3935 | _T_3978; // @[el2_lsu_bus_buffer.scala 505:95] + wire _T_3980 = bus_rsp_read & _T_3979; // @[el2_lsu_bus_buffer.scala 505:45] + wire _GEN_188 = _T_3965 & _T_3980; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3878 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3844 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3840 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3817 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3857 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 479:49] + wire _T_3858 = _T_3857 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 479:70] + wire _T_3983 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3986 = RspPtr == 3'h2; // @[el2_lsu_bus_buffer.scala 511:37] + wire _T_3987 = _GEN_402 == RspPtr; // @[el2_lsu_bus_buffer.scala 511:98] + wire _T_3988 = buf_dual_2 & _T_3987; // @[el2_lsu_bus_buffer.scala 511:80] + wire _T_3989 = _T_3986 | _T_3988; // @[el2_lsu_bus_buffer.scala 511:65] + wire _T_3990 = _T_3989 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:112] + wire _T_3991 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_3983 ? _T_3990 : _T_3991; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_3965 ? _T_3858 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3878 ? _T_3858 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3844 ? _T_3858 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3840 ? _T_3457 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3817 ? _T_3833 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2252 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2262 = _T_2056 & _T_1804; // @[el2_lsu_bus_buffer.scala 431:71] + wire _T_2264 = _T_2262 & _T_1780; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2265 = _T_2053 | _T_2264; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2269 = _T_2063 & _T_1807; // @[el2_lsu_bus_buffer.scala 432:52] + wire _T_2271 = _T_2269 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2272 = _T_2265 | _T_2271; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2273 = _T_2252 & _T_2272; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2275 = _T_2273 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2289 = _T_2262 & _T_1791; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2290 = _T_2078 | _T_2289; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2296 = _T_2269 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2297 = _T_2290 | _T_2296; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2298 = _T_2252 & _T_2297; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2300 = _T_2298 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2314 = _T_2262 & _T_1802; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2315 = _T_2103 | _T_2314; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2321 = _T_2269 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2322 = _T_2315 | _T_2321; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2323 = _T_2252 & _T_2322; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2325 = _T_2323 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2339 = _T_2262 & _T_1813; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2340 = _T_2128 | _T_2339; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2346 = _T_2269 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2347 = _T_2340 | _T_2346; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2348 = _T_2252 & _T_2347; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2350 = _T_2348 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 432:97] + wire [2:0] _T_2352 = {_T_2350,_T_2325,_T_2300}; // @[Cat.scala 29:58] + wire _T_4017 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 466:117] + wire _T_4018 = _T_3437 & _T_4017; // @[el2_lsu_bus_buffer.scala 466:112] + wire _T_4020 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 466:166] + wire _T_4021 = _T_3440 & _T_4020; // @[el2_lsu_bus_buffer.scala 466:161] + wire _T_4022 = _T_4018 | _T_4021; // @[el2_lsu_bus_buffer.scala 466:132] + wire _T_4023 = _T_766 & _T_4022; // @[el2_lsu_bus_buffer.scala 466:63] + wire _T_4024 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 466:206] + wire _T_4025 = ibuf_drain_vld & _T_4024; // @[el2_lsu_bus_buffer.scala 466:201] + wire _T_4026 = _T_4023 | _T_4025; // @[el2_lsu_bus_buffer.scala 466:183] + wire _T_4071 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4116 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 491:73] + wire _T_4117 = bus_rsp_write & _T_4116; // @[el2_lsu_bus_buffer.scala 491:52] + wire _T_4118 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 492:46] + wire [2:0] _GEN_406 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_4120 = io_lsu_axi_rid == _GEN_406; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_4121 = buf_ldfwd[3] & _T_4120; // @[el2_lsu_bus_buffer.scala 493:27] + wire _T_4122 = _T_4118 | _T_4121; // @[el2_lsu_bus_buffer.scala 492:77] + wire _T_4123 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 494:26] + wire _T_4125 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 494:44] + wire _T_4126 = _T_4123 & _T_4125; // @[el2_lsu_bus_buffer.scala 494:42] + wire _T_4127 = _T_4126 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 494:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_4077 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_4078 = _T_4076 & _T_4077; // @[el2_lsu_bus_buffer.scala 489:74] - wire _T_4079 = _T_4071 | _T_4078; // @[el2_lsu_bus_buffer.scala 488:71] - wire _T_4080 = bus_rsp_read & _T_4079; // @[el2_lsu_bus_buffer.scala 487:25] - wire _T_4081 = _T_4066 | _T_4080; // @[el2_lsu_bus_buffer.scala 486:105] - wire _GEN_270 = _T_4020 & _T_4081; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_3986 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_3982 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4107 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4117 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 501:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 501:58] - wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_4119 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_4120 = _T_4117[0] & _T_4119; // @[el2_lsu_bus_buffer.scala 501:38] - wire _T_4121 = _T_4077 | _T_4120; // @[el2_lsu_bus_buffer.scala 500:95] - wire _T_4122 = bus_rsp_read & _T_4121; // @[el2_lsu_bus_buffer.scala 500:45] - wire _GEN_264 = _T_4107 & _T_4122; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4020 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_3986 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_3982 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_3999 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] - wire _T_4000 = _T_3999 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] - wire _T_4125 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4128 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 506:37] - wire _T_4129 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] - wire _T_4130 = buf_dual_3 & _T_4129; // @[el2_lsu_bus_buffer.scala 506:80] - wire _T_4131 = _T_4128 | _T_4130; // @[el2_lsu_bus_buffer.scala 506:65] - wire _T_4132 = _T_4131 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_4133 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4125 ? _T_4132 : _T_4133; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4107 ? _T_4000 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4020 ? _T_4000 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_3986 ? _T_4000 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_3982 ? _T_3406 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_3959 ? _T_3975 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2303 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 424:94] - wire _T_2313 = _T_2005 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:71] - wire _T_2315 = _T_2313 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2316 = _T_2002 | _T_2315; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2320 = _T_2012 & _T_1818; // @[el2_lsu_bus_buffer.scala 427:52] - wire _T_2322 = _T_2320 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2323 = _T_2316 | _T_2322; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2324 = _T_2303 & _T_2323; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2326 = _T_2324 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2340 = _T_2313 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2341 = _T_2027 | _T_2340; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2347 = _T_2320 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2348 = _T_2341 | _T_2347; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2349 = _T_2303 & _T_2348; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2351 = _T_2349 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2365 = _T_2313 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2366 = _T_2052 | _T_2365; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2372 = _T_2320 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2373 = _T_2366 | _T_2372; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2374 = _T_2303 & _T_2373; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2376 = _T_2374 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2390 = _T_2313 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2391 = _T_2077 | _T_2390; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2397 = _T_2320 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2398 = _T_2391 | _T_2397; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2399 = _T_2303 & _T_2398; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2401 = _T_2399 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 427:97] - wire [2:0] _T_2403 = {_T_2401,_T_2376,_T_2351}; // @[Cat.scala 29:58] - wire _T_2651 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] - wire _T_2652 = _T_1779 | _T_2651; // @[el2_lsu_bus_buffer.scala 435:34] - wire _T_2653 = ~_T_2652; // @[el2_lsu_bus_buffer.scala 435:8] - wire _T_2661 = _T_2653 | _T_2009; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2668 = _T_2661 | _T_2016; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2669 = _T_1997 & _T_2668; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2673 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] - wire _T_2674 = _T_1790 | _T_2673; // @[el2_lsu_bus_buffer.scala 435:34] - wire _T_2675 = ~_T_2674; // @[el2_lsu_bus_buffer.scala 435:8] - wire _T_2683 = _T_2675 | _T_2034; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2690 = _T_2683 | _T_2041; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2691 = _T_1997 & _T_2690; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2695 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] - wire _T_2696 = _T_1801 | _T_2695; // @[el2_lsu_bus_buffer.scala 435:34] - wire _T_2697 = ~_T_2696; // @[el2_lsu_bus_buffer.scala 435:8] - wire _T_2705 = _T_2697 | _T_2059; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2712 = _T_2705 | _T_2066; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2713 = _T_1997 & _T_2712; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2717 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] - wire _T_2718 = _T_1812 | _T_2717; // @[el2_lsu_bus_buffer.scala 435:34] - wire _T_2719 = ~_T_2718; // @[el2_lsu_bus_buffer.scala 435:8] - wire _T_2727 = _T_2719 | _T_2084; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2734 = _T_2727 | _T_2091; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2735 = _T_1997 & _T_2734; // @[el2_lsu_bus_buffer.scala 434:114] - wire [3:0] buf_rspage_set_0 = {_T_2735,_T_2713,_T_2691,_T_2669}; // @[Cat.scala 29:58] - wire _T_2752 = _T_2653 | _T_2111; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2759 = _T_2752 | _T_2118; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2760 = _T_2099 & _T_2759; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2774 = _T_2675 | _T_2136; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2781 = _T_2774 | _T_2143; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2782 = _T_2099 & _T_2781; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2796 = _T_2697 | _T_2161; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2803 = _T_2796 | _T_2168; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2804 = _T_2099 & _T_2803; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2818 = _T_2719 | _T_2186; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2825 = _T_2818 | _T_2193; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2826 = _T_2099 & _T_2825; // @[el2_lsu_bus_buffer.scala 434:114] - wire [3:0] buf_rspage_set_1 = {_T_2826,_T_2804,_T_2782,_T_2760}; // @[Cat.scala 29:58] - wire _T_2843 = _T_2653 | _T_2213; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2850 = _T_2843 | _T_2220; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2851 = _T_2201 & _T_2850; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2865 = _T_2675 | _T_2238; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2872 = _T_2865 | _T_2245; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2873 = _T_2201 & _T_2872; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2887 = _T_2697 | _T_2263; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2894 = _T_2887 | _T_2270; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2895 = _T_2201 & _T_2894; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2909 = _T_2719 | _T_2288; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2916 = _T_2909 | _T_2295; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2917 = _T_2201 & _T_2916; // @[el2_lsu_bus_buffer.scala 434:114] - wire [3:0] buf_rspage_set_2 = {_T_2917,_T_2895,_T_2873,_T_2851}; // @[Cat.scala 29:58] - wire _T_2934 = _T_2653 | _T_2315; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2941 = _T_2934 | _T_2322; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2942 = _T_2303 & _T_2941; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2956 = _T_2675 | _T_2340; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2963 = _T_2956 | _T_2347; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2964 = _T_2303 & _T_2963; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2978 = _T_2697 | _T_2365; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2985 = _T_2978 | _T_2372; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2986 = _T_2303 & _T_2985; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_3000 = _T_2719 | _T_2390; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_3007 = _T_3000 | _T_2397; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_3008 = _T_2303 & _T_3007; // @[el2_lsu_bus_buffer.scala 434:114] - wire [3:0] buf_rspage_set_3 = {_T_3008,_T_2986,_T_2964,_T_2942}; // @[Cat.scala 29:58] - wire _T_3093 = _T_2717 | _T_1812; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3094 = ~_T_3093; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_3095 = buf_rspageQ_0[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3087 = _T_2695 | _T_1801; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3088 = ~_T_3087; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_3089 = buf_rspageQ_0[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3081 = _T_2673 | _T_1790; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3082 = ~_T_3081; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_3083 = buf_rspageQ_0[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3075 = _T_2651 | _T_1779; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3076 = ~_T_3075; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_3077 = buf_rspageQ_0[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] - wire [3:0] buf_rspage_0 = {_T_3095,_T_3089,_T_3083,_T_3077}; // @[Cat.scala 29:58] - wire _T_3014 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3017 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3020 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3023 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 438:90] - wire [2:0] _T_3025 = {_T_3023,_T_3020,_T_3017}; // @[Cat.scala 29:58] - wire _T_3122 = buf_rspageQ_1[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3116 = buf_rspageQ_1[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3110 = buf_rspageQ_1[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3104 = buf_rspageQ_1[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] - wire [3:0] buf_rspage_1 = {_T_3122,_T_3116,_T_3110,_T_3104}; // @[Cat.scala 29:58] - wire _T_3029 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3032 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3035 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3038 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 438:90] - wire [2:0] _T_3040 = {_T_3038,_T_3035,_T_3032}; // @[Cat.scala 29:58] - wire _T_3149 = buf_rspageQ_2[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3143 = buf_rspageQ_2[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3137 = buf_rspageQ_2[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3131 = buf_rspageQ_2[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] - wire [3:0] buf_rspage_2 = {_T_3149,_T_3143,_T_3137,_T_3131}; // @[Cat.scala 29:58] - wire _T_3044 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3047 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3050 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3053 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 438:90] - wire [2:0] _T_3055 = {_T_3053,_T_3050,_T_3047}; // @[Cat.scala 29:58] - wire _T_3176 = buf_rspageQ_3[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3170 = buf_rspageQ_3[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3164 = buf_rspageQ_3[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3158 = buf_rspageQ_3[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] - wire [3:0] buf_rspage_3 = {_T_3176,_T_3170,_T_3164,_T_3158}; // @[Cat.scala 29:58] - wire _T_3059 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3062 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3065 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3068 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 438:90] - wire [2:0] _T_3070 = {_T_3068,_T_3065,_T_3062}; // @[Cat.scala 29:58] - wire _T_3181 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 444:65] - wire _T_3183 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 444:65] - wire _T_3185 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 444:65] - wire _T_3187 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 444:65] - wire [3:0] ibuf_drainvec_vld = {_T_3187,_T_3185,_T_3183,_T_3181}; // @[Cat.scala 29:58] - wire _T_3195 = _T_3389 & _T_1785; // @[el2_lsu_bus_buffer.scala 445:123] - wire [3:0] _T_3198 = _T_3195 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] - wire [3:0] _T_3199 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3198; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_3204 = _T_3389 & _T_1796; // @[el2_lsu_bus_buffer.scala 445:123] - wire [3:0] _T_3207 = _T_3204 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] - wire [3:0] _T_3208 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3207; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_3213 = _T_3389 & _T_1807; // @[el2_lsu_bus_buffer.scala 445:123] - wire [3:0] _T_3216 = _T_3213 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] - wire [3:0] _T_3217 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3216; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_3222 = _T_3389 & _T_1818; // @[el2_lsu_bus_buffer.scala 445:123] - wire [3:0] _T_3225 = _T_3222 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] - wire [3:0] _T_3226 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3225; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_3252 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] - wire _T_3254 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] - wire _T_3256 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] - wire _T_3258 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] - wire [3:0] buf_dual_in = {_T_3258,_T_3256,_T_3254,_T_3252}; // @[Cat.scala 29:58] - wire _T_3263 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] - wire _T_3265 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] - wire _T_3267 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] - wire _T_3269 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] - wire [3:0] buf_samedw_in = {_T_3269,_T_3267,_T_3265,_T_3263}; // @[Cat.scala 29:58] - wire _T_3274 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 449:86] - wire _T_3275 = ibuf_drainvec_vld[0] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] - wire _T_3278 = ibuf_drainvec_vld[1] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] - wire _T_3281 = ibuf_drainvec_vld[2] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] - wire _T_3284 = ibuf_drainvec_vld[3] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] - wire [3:0] buf_nomerge_in = {_T_3284,_T_3281,_T_3278,_T_3275}; // @[Cat.scala 29:58] - wire _T_3292 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3195; // @[el2_lsu_bus_buffer.scala 450:49] - wire _T_3297 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3204; // @[el2_lsu_bus_buffer.scala 450:49] - wire _T_3302 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3213; // @[el2_lsu_bus_buffer.scala 450:49] - wire _T_3307 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3222; // @[el2_lsu_bus_buffer.scala 450:49] - wire [3:0] buf_dualhi_in = {_T_3307,_T_3302,_T_3297,_T_3292}; // @[Cat.scala 29:58] - wire _T_3336 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] - wire _T_3338 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] - wire _T_3340 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] - wire _T_3342 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] - wire [3:0] buf_sideeffect_in = {_T_3342,_T_3340,_T_3338,_T_3336}; // @[Cat.scala 29:58] - wire _T_3347 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3349 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3351 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3353 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] - wire [3:0] buf_unsign_in = {_T_3353,_T_3351,_T_3349,_T_3347}; // @[Cat.scala 29:58] - wire _T_3370 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] - wire _T_3372 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] - wire _T_3374 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] - wire _T_3376 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] - wire [3:0] buf_write_in = {_T_3376,_T_3374,_T_3372,_T_3370}; // @[Cat.scala 29:58] - wire [31:0] _T_3402 = _T_3395 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] - wire _T_3409 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 471:89] - wire _T_3411 = _T_3409 & _T_1277; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3424 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 476:44] - wire _T_3425 = _T_3424 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] - wire _T_3427 = _T_3425 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] - wire _T_3430 = _T_3420 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] - wire _T_3431 = _T_3430 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] - wire _T_4728 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 580:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4728; // @[el2_lsu_bus_buffer.scala 580:38] - wire _T_3434 = _T_3430 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] - wire [31:0] _T_3439 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] - wire _T_3509 = bus_rsp_read_error & _T_3488; // @[el2_lsu_bus_buffer.scala 493:91] - wire _T_3511 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3513 = _T_3511 & _T_3490; // @[el2_lsu_bus_buffer.scala 494:46] - wire _T_3514 = _T_3509 | _T_3513; // @[el2_lsu_bus_buffer.scala 493:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4728; // @[el2_lsu_bus_buffer.scala 579:40] - wire _T_3517 = bus_rsp_write_error & _T_3486; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_3518 = _T_3514 | _T_3517; // @[el2_lsu_bus_buffer.scala 494:88] - wire _T_3519 = _T_3420 & _T_3518; // @[el2_lsu_bus_buffer.scala 493:68] - wire _GEN_46 = _T_3441 & _T_3519; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3407 ? _T_3434 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3403 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3380 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3440 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3439; // @[el2_lsu_bus_buffer.scala 480:30] - wire _T_3444 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_3445 = buf_write[0] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] - wire _T_3446 = io_dec_tlu_force_halt | _T_3445; // @[el2_lsu_bus_buffer.scala 483:55] - wire _T_3448 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 484:30] - wire _T_3449 = buf_dual_0 & _T_3448; // @[el2_lsu_bus_buffer.scala 484:28] - wire _T_3452 = _T_3449 & _T_1130; // @[el2_lsu_bus_buffer.scala 484:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3453 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3454 = _T_3452 & _T_3453; // @[el2_lsu_bus_buffer.scala 484:61] - wire _T_4354 = _T_2598 | _T_2595; // @[el2_lsu_bus_buffer.scala 541:93] - wire _T_4355 = _T_4354 | _T_2592; // @[el2_lsu_bus_buffer.scala 541:93] - wire any_done_wait_state = _T_4355 | _T_2589; // @[el2_lsu_bus_buffer.scala 541:93] - wire _T_3456 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] - wire _T_3462 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3464 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3466 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3468 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3470 = _T_3462 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3471 = _T_3464 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3472 = _T_3466 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3473 = _T_3468 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3474 = _T_3470 | _T_3471; // @[Mux.scala 27:72] - wire _T_3475 = _T_3474 | _T_3472; // @[Mux.scala 27:72] - wire _T_3476 = _T_3475 | _T_3473; // @[Mux.scala 27:72] - wire _T_3478 = _T_3452 & _T_3476; // @[el2_lsu_bus_buffer.scala 485:101] - wire _T_3479 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] - wire _T_3480 = _T_3478 & _T_3479; // @[el2_lsu_bus_buffer.scala 485:138] - wire _T_3481 = _T_3480 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] - wire _T_3482 = _T_3456 | _T_3481; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3505 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_3506 = _T_3505 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] - wire _T_3520 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 496:50] - wire _T_3521 = buf_state_en_0 & _T_3520; // @[el2_lsu_bus_buffer.scala 496:48] - wire [31:0] _T_3527 = _T_3521 ? _T_3439 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] - wire _T_3533 = buf_ldfwd[0] | _T_3538[0]; // @[el2_lsu_bus_buffer.scala 499:90] - wire _T_3534 = _T_3533 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] - wire _GEN_29 = _T_3554 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3546 ? 1'h0 : _T_3554; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3546 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3528 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3528 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3441 & _T_3506; // @[Conditional.scala 39:67] - wire [31:0] _GEN_47 = _T_3441 ? _T_3527 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3441 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3441 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3407 ? _T_3427 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3407 ? _T_3431 : _GEN_45; // @[Conditional.scala 39:67] - wire [31:0] _GEN_60 = _T_3407 ? _T_3440 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3407 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3403 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3403 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire [31:0] _GEN_72 = _T_3403 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3403 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3380 & buf_state_en_0; // @[Conditional.scala 40:58] - wire [31:0] _GEN_79 = _T_3380 ? _T_3402 : _GEN_72; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3380 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3380 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3595 = _T_3588 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] - wire _T_3617 = buf_state_en_1 & _T_3688; // @[el2_lsu_bus_buffer.scala 476:44] - wire _T_3618 = _T_3617 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] - wire _T_3620 = _T_3618 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] - wire _T_3623 = _T_3613 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] - wire _T_3624 = _T_3623 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] - wire _T_3627 = _T_3623 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] - wire [31:0] _T_3632 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] - wire _T_3702 = bus_rsp_read_error & _T_3681; // @[el2_lsu_bus_buffer.scala 493:91] - wire _T_3704 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3706 = _T_3704 & _T_3683; // @[el2_lsu_bus_buffer.scala 494:46] - wire _T_3707 = _T_3702 | _T_3706; // @[el2_lsu_bus_buffer.scala 493:143] - wire _T_3710 = bus_rsp_write_error & _T_3679; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_3711 = _T_3707 | _T_3710; // @[el2_lsu_bus_buffer.scala 494:88] - wire _T_3712 = _T_3613 & _T_3711; // @[el2_lsu_bus_buffer.scala 493:68] - wire _GEN_122 = _T_3634 & _T_3712; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3600 ? _T_3627 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3596 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3573 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3633 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3632; // @[el2_lsu_bus_buffer.scala 480:30] - wire _T_3638 = buf_write[1] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] - wire _T_3639 = io_dec_tlu_force_halt | _T_3638; // @[el2_lsu_bus_buffer.scala 483:55] - wire _T_3641 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 484:30] - wire _T_3642 = buf_dual_1 & _T_3641; // @[el2_lsu_bus_buffer.scala 484:28] - wire _T_3645 = _T_3642 & _T_3688; // @[el2_lsu_bus_buffer.scala 484:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3646 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3647 = _T_3645 & _T_3646; // @[el2_lsu_bus_buffer.scala 484:61] - wire _T_3649 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] - wire _T_3655 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3657 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3659 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3661 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3663 = _T_3655 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3664 = _T_3657 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3665 = _T_3659 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3666 = _T_3661 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3667 = _T_3663 | _T_3664; // @[Mux.scala 27:72] - wire _T_3668 = _T_3667 | _T_3665; // @[Mux.scala 27:72] - wire _T_3669 = _T_3668 | _T_3666; // @[Mux.scala 27:72] - wire _T_3671 = _T_3645 & _T_3669; // @[el2_lsu_bus_buffer.scala 485:101] - wire _T_3672 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] - wire _T_3673 = _T_3671 & _T_3672; // @[el2_lsu_bus_buffer.scala 485:138] - wire _T_3674 = _T_3673 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] - wire _T_3675 = _T_3649 | _T_3674; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3698 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_3699 = _T_3698 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] - wire _T_3713 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 496:50] - wire _T_3714 = buf_state_en_1 & _T_3713; // @[el2_lsu_bus_buffer.scala 496:48] - wire [31:0] _T_3720 = _T_3714 ? _T_3632 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] - wire _T_3726 = buf_ldfwd[1] | _T_3731[0]; // @[el2_lsu_bus_buffer.scala 499:90] - wire _T_3727 = _T_3726 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] - wire _GEN_105 = _T_3747 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3739 ? 1'h0 : _T_3747; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3739 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3721 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3721 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3634 & _T_3699; // @[Conditional.scala 39:67] - wire [31:0] _GEN_123 = _T_3634 ? _T_3720 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3634 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3634 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3600 ? _T_3620 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3600 ? _T_3624 : _GEN_121; // @[Conditional.scala 39:67] - wire [31:0] _GEN_136 = _T_3600 ? _T_3633 : _GEN_123; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3600 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3596 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3596 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire [31:0] _GEN_148 = _T_3596 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3596 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3573 & buf_state_en_1; // @[Conditional.scala 40:58] - wire [31:0] _GEN_155 = _T_3573 ? _T_3595 : _GEN_148; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3573 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3573 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3788 = _T_3781 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] - wire _T_3810 = buf_state_en_2 & _T_3881; // @[el2_lsu_bus_buffer.scala 476:44] - wire _T_3811 = _T_3810 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] - wire _T_3813 = _T_3811 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] - wire _T_3816 = _T_3806 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] - wire _T_3817 = _T_3816 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] - wire _T_3820 = _T_3816 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] - wire [31:0] _T_3825 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] - wire _T_3895 = bus_rsp_read_error & _T_3874; // @[el2_lsu_bus_buffer.scala 493:91] - wire _T_3897 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3899 = _T_3897 & _T_3876; // @[el2_lsu_bus_buffer.scala 494:46] - wire _T_3900 = _T_3895 | _T_3899; // @[el2_lsu_bus_buffer.scala 493:143] - wire _T_3903 = bus_rsp_write_error & _T_3872; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_3904 = _T_3900 | _T_3903; // @[el2_lsu_bus_buffer.scala 494:88] - wire _T_3905 = _T_3806 & _T_3904; // @[el2_lsu_bus_buffer.scala 493:68] - wire _GEN_198 = _T_3827 & _T_3905; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3793 ? _T_3820 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3789 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3766 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3826 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3825; // @[el2_lsu_bus_buffer.scala 480:30] - wire _T_3831 = buf_write[2] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] - wire _T_3832 = io_dec_tlu_force_halt | _T_3831; // @[el2_lsu_bus_buffer.scala 483:55] - wire _T_3834 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 484:30] - wire _T_3835 = buf_dual_2 & _T_3834; // @[el2_lsu_bus_buffer.scala 484:28] - wire _T_3838 = _T_3835 & _T_3881; // @[el2_lsu_bus_buffer.scala 484:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3839 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3840 = _T_3838 & _T_3839; // @[el2_lsu_bus_buffer.scala 484:61] - wire _T_3842 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] - wire _T_3848 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3850 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3852 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3854 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3856 = _T_3848 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3857 = _T_3850 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3858 = _T_3852 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3859 = _T_3854 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3860 = _T_3856 | _T_3857; // @[Mux.scala 27:72] - wire _T_3861 = _T_3860 | _T_3858; // @[Mux.scala 27:72] - wire _T_3862 = _T_3861 | _T_3859; // @[Mux.scala 27:72] - wire _T_3864 = _T_3838 & _T_3862; // @[el2_lsu_bus_buffer.scala 485:101] - wire _T_3865 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] - wire _T_3866 = _T_3864 & _T_3865; // @[el2_lsu_bus_buffer.scala 485:138] - wire _T_3867 = _T_3866 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] - wire _T_3868 = _T_3842 | _T_3867; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3891 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_3892 = _T_3891 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] - wire _T_3906 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 496:50] - wire _T_3907 = buf_state_en_2 & _T_3906; // @[el2_lsu_bus_buffer.scala 496:48] - wire [31:0] _T_3913 = _T_3907 ? _T_3825 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] - wire _T_3919 = buf_ldfwd[2] | _T_3924[0]; // @[el2_lsu_bus_buffer.scala 499:90] - wire _T_3920 = _T_3919 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] - wire _GEN_181 = _T_3940 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_3932 ? 1'h0 : _T_3940; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_3932 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_3914 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_3914 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3827 & _T_3892; // @[Conditional.scala 39:67] - wire [31:0] _GEN_199 = _T_3827 ? _T_3913 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3827 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3827 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3793 ? _T_3813 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3793 ? _T_3817 : _GEN_197; // @[Conditional.scala 39:67] - wire [31:0] _GEN_212 = _T_3793 ? _T_3826 : _GEN_199; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3793 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3789 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3789 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire [31:0] _GEN_224 = _T_3789 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3789 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3766 & buf_state_en_2; // @[Conditional.scala 40:58] - wire [31:0] _GEN_231 = _T_3766 ? _T_3788 : _GEN_224; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3766 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3766 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_3981 = _T_3974 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] - wire _T_4003 = buf_state_en_3 & _T_4074; // @[el2_lsu_bus_buffer.scala 476:44] - wire _T_4004 = _T_4003 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] - wire _T_4006 = _T_4004 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] - wire _T_4009 = _T_3999 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] - wire _T_4010 = _T_4009 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] - wire _T_4013 = _T_4009 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] - wire [31:0] _T_4018 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] - wire _T_4088 = bus_rsp_read_error & _T_4067; // @[el2_lsu_bus_buffer.scala 493:91] - wire _T_4090 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_4092 = _T_4090 & _T_4069; // @[el2_lsu_bus_buffer.scala 494:46] - wire _T_4093 = _T_4088 | _T_4092; // @[el2_lsu_bus_buffer.scala 493:143] - wire _T_4096 = bus_rsp_write_error & _T_4065; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_4097 = _T_4093 | _T_4096; // @[el2_lsu_bus_buffer.scala 494:88] - wire _T_4098 = _T_3999 & _T_4097; // @[el2_lsu_bus_buffer.scala 493:68] - wire _GEN_274 = _T_4020 & _T_4098; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_3986 ? _T_4013 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_3982 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_3959 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4019 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4018; // @[el2_lsu_bus_buffer.scala 480:30] - wire _T_4024 = buf_write[3] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] - wire _T_4025 = io_dec_tlu_force_halt | _T_4024; // @[el2_lsu_bus_buffer.scala 483:55] - wire _T_4027 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 484:30] - wire _T_4028 = buf_dual_3 & _T_4027; // @[el2_lsu_bus_buffer.scala 484:28] - wire _T_4031 = _T_4028 & _T_4074; // @[el2_lsu_bus_buffer.scala 484:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_4032 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_4033 = _T_4031 & _T_4032; // @[el2_lsu_bus_buffer.scala 484:61] - wire _T_4035 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] - wire _T_4041 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4043 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4045 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4047 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4049 = _T_4041 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4050 = _T_4043 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4051 = _T_4045 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4052 = _T_4047 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4053 = _T_4049 | _T_4050; // @[Mux.scala 27:72] - wire _T_4054 = _T_4053 | _T_4051; // @[Mux.scala 27:72] - wire _T_4055 = _T_4054 | _T_4052; // @[Mux.scala 27:72] - wire _T_4057 = _T_4031 & _T_4055; // @[el2_lsu_bus_buffer.scala 485:101] - wire _T_4058 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] - wire _T_4059 = _T_4057 & _T_4058; // @[el2_lsu_bus_buffer.scala 485:138] - wire _T_4060 = _T_4059 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] - wire _T_4061 = _T_4035 | _T_4060; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_4084 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_4085 = _T_4084 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] - wire _T_4099 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 496:50] - wire _T_4100 = buf_state_en_3 & _T_4099; // @[el2_lsu_bus_buffer.scala 496:48] - wire [31:0] _T_4106 = _T_4100 ? _T_4018 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] - wire _T_4112 = buf_ldfwd[3] | _T_4117[0]; // @[el2_lsu_bus_buffer.scala 499:90] - wire _T_4113 = _T_4112 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] - wire _GEN_257 = _T_4133 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4125 ? 1'h0 : _T_4133; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4125 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4107 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4107 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4020 & _T_4085; // @[Conditional.scala 39:67] - wire [31:0] _GEN_275 = _T_4020 ? _T_4106 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4020 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4020 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_3986 ? _T_4006 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_3986 ? _T_4010 : _GEN_273; // @[Conditional.scala 39:67] - wire [31:0] _GEN_288 = _T_3986 ? _T_4019 : _GEN_275; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_3986 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_3982 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_3982 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire [31:0] _GEN_300 = _T_3982 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_3982 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_3959 & buf_state_en_3; // @[Conditional.scala 40:58] - wire [31:0] _GEN_307 = _T_3959 ? _T_3981 : _GEN_300; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_3959 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_3959 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4188; // @[Reg.scala 27:20] - reg _T_4191; // @[Reg.scala 27:20] - reg _T_4194; // @[Reg.scala 27:20] - reg _T_4197; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4197,_T_4194,_T_4191,_T_4188}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3199[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] - wire [2:0] buf_byteen_in_1 = _T_3208[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] - wire [2:0] buf_byteen_in_2 = _T_3217[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] - wire [2:0] buf_byteen_in_3 = _T_3226[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] - reg _T_4263; // @[el2_lsu_bus_buffer.scala 535:82] - reg _T_4258; // @[el2_lsu_bus_buffer.scala 535:82] - reg _T_4253; // @[el2_lsu_bus_buffer.scala 535:82] - reg _T_4248; // @[el2_lsu_bus_buffer.scala 535:82] - wire [3:0] buf_error = {_T_4263,_T_4258,_T_4253,_T_4248}; // @[Cat.scala 29:58] - wire _T_4245 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 535:86] - wire _T_4246 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 535:128] - wire _T_4250 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 535:86] - wire _T_4251 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 535:128] - wire _T_4255 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 535:86] - wire _T_4256 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 535:128] - wire _T_4260 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 535:86] - wire _T_4261 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 535:128] - wire [1:0] _T_4271 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 537:96] - wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 537:96] - wire [2:0] _T_4272 = _T_4271 + _GEN_407; // @[el2_lsu_bus_buffer.scala 537:96] - wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 537:96] - wire [3:0] buf_numvld_any = _T_4272 + _GEN_408; // @[el2_lsu_bus_buffer.scala 537:96] - wire _T_4358 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4359 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 543:92] - wire _T_4360 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 543:119] - wire _T_4362 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 544:52] - wire _T_4363 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 544:52] - wire _T_4364 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 544:52] - wire _T_4365 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 544:52] - wire _T_4366 = _T_4362 | _T_4363; // @[el2_lsu_bus_buffer.scala 544:65] - wire _T_4367 = _T_4366 | _T_4364; // @[el2_lsu_bus_buffer.scala 544:65] - wire _T_4368 = _T_4367 | _T_4365; // @[el2_lsu_bus_buffer.scala 544:65] - wire _T_4369 = ~_T_4368; // @[el2_lsu_bus_buffer.scala 544:34] - wire _T_4371 = _T_4369 & _T_765; // @[el2_lsu_bus_buffer.scala 544:70] - wire _T_4374 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 546:51] - wire _T_4375 = _T_4374 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 546:72] - wire _T_4376 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 546:94] - wire _T_4377 = _T_4375 & _T_4376; // @[el2_lsu_bus_buffer.scala 546:92] - wire _T_4378 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 546:111] - wire _T_4380 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 549:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 633:66] - wire _T_4398 = _T_2651 & _T_1130; // @[Mux.scala 27:72] - wire _T_4399 = _T_2673 & _T_3688; // @[Mux.scala 27:72] - wire _T_4400 = _T_2695 & _T_3881; // @[Mux.scala 27:72] - wire _T_4401 = _T_2717 & _T_4074; // @[Mux.scala 27:72] - wire _T_4402 = _T_4398 | _T_4399; // @[Mux.scala 27:72] - wire _T_4403 = _T_4402 | _T_4400; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4403 | _T_4401; // @[Mux.scala 27:72] - wire _T_4409 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 552:108] - wire _T_4414 = buf_error[1] & _T_3688; // @[el2_lsu_bus_buffer.scala 552:108] - wire _T_4419 = buf_error[2] & _T_3881; // @[el2_lsu_bus_buffer.scala 552:108] - wire _T_4424 = buf_error[3] & _T_4074; // @[el2_lsu_bus_buffer.scala 552:108] - wire _T_4425 = _T_2651 & _T_4409; // @[Mux.scala 27:72] - wire _T_4426 = _T_2673 & _T_4414; // @[Mux.scala 27:72] - wire _T_4427 = _T_2695 & _T_4419; // @[Mux.scala 27:72] - wire _T_4428 = _T_2717 & _T_4424; // @[Mux.scala 27:72] - wire _T_4429 = _T_4425 | _T_4426; // @[Mux.scala 27:72] - wire _T_4430 = _T_4429 | _T_4427; // @[Mux.scala 27:72] - wire _T_4437 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 553:109] - wire _T_4438 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 553:124] - wire _T_4439 = _T_4437 | _T_4438; // @[el2_lsu_bus_buffer.scala 553:122] - wire _T_4440 = _T_4398 & _T_4439; // @[el2_lsu_bus_buffer.scala 553:106] - wire _T_4445 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 553:109] - wire _T_4446 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 553:124] - wire _T_4447 = _T_4445 | _T_4446; // @[el2_lsu_bus_buffer.scala 553:122] - wire _T_4448 = _T_4399 & _T_4447; // @[el2_lsu_bus_buffer.scala 553:106] - wire _T_4453 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 553:109] - wire _T_4454 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 553:124] - wire _T_4455 = _T_4453 | _T_4454; // @[el2_lsu_bus_buffer.scala 553:122] - wire _T_4456 = _T_4400 & _T_4455; // @[el2_lsu_bus_buffer.scala 553:106] - wire _T_4461 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 553:109] - wire _T_4462 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 553:124] - wire _T_4463 = _T_4461 | _T_4462; // @[el2_lsu_bus_buffer.scala 553:122] - wire _T_4464 = _T_4401 & _T_4463; // @[el2_lsu_bus_buffer.scala 553:106] - wire [1:0] _T_4467 = _T_4456 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4468 = _T_4464 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_409 = {{1'd0}, _T_4448}; // @[Mux.scala 27:72] - wire [1:0] _T_4470 = _GEN_409 | _T_4467; // @[Mux.scala 27:72] - wire [31:0] _T_4505 = _T_4440 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4506 = _T_4448 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4507 = _T_4456 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4508 = _T_4464 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4509 = _T_4505 | _T_4506; // @[Mux.scala 27:72] - wire [31:0] _T_4510 = _T_4509 | _T_4507; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4510 | _T_4508; // @[Mux.scala 27:72] - wire _T_4516 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 555:120] - wire _T_4517 = _T_4398 & _T_4516; // @[el2_lsu_bus_buffer.scala 555:105] - wire _T_4522 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 555:120] - wire _T_4523 = _T_4399 & _T_4522; // @[el2_lsu_bus_buffer.scala 555:105] - wire _T_4528 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 555:120] - wire _T_4529 = _T_4400 & _T_4528; // @[el2_lsu_bus_buffer.scala 555:105] - wire _T_4534 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 555:120] - wire _T_4535 = _T_4401 & _T_4534; // @[el2_lsu_bus_buffer.scala 555:105] - wire [31:0] _T_4536 = _T_4517 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4537 = _T_4523 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4538 = _T_4529 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4539 = _T_4535 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4540 = _T_4536 | _T_4537; // @[Mux.scala 27:72] - wire [31:0] _T_4541 = _T_4540 | _T_4538; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4541 | _T_4539; // @[Mux.scala 27:72] - wire _T_4543 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4544 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4545 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4546 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4547 = _T_4543 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4548 = _T_4544 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4549 = _T_4545 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4550 = _T_4546 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4551 = _T_4547 | _T_4548; // @[Mux.scala 27:72] - wire [31:0] _T_4552 = _T_4551 | _T_4549; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_addr_offset = _T_4552 | _T_4550; // @[Mux.scala 27:72] - wire [1:0] _T_4558 = _T_4543 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4559 = _T_4544 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4560 = _T_4545 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4561 = _T_4546 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4562 = _T_4558 | _T_4559; // @[Mux.scala 27:72] - wire [1:0] _T_4563 = _T_4562 | _T_4560; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4563 | _T_4561; // @[Mux.scala 27:72] - wire _T_4573 = _T_4543 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4574 = _T_4544 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4575 = _T_4545 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4576 = _T_4546 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4577 = _T_4573 | _T_4574; // @[Mux.scala 27:72] - wire _T_4578 = _T_4577 | _T_4575; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4578 | _T_4576; // @[Mux.scala 27:72] - wire [63:0] _T_4598 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [35:0] _T_4599 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 560:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4598 >> _T_4599; // @[el2_lsu_bus_buffer.scala 560:92] - wire _T_4600 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 561:69] - wire _T_4602 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 562:81] - wire _T_4603 = lsu_nonblock_unsign & _T_4602; // @[el2_lsu_bus_buffer.scala 562:63] - wire [31:0] _T_4605 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4606 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 563:45] - wire _T_4607 = lsu_nonblock_unsign & _T_4606; // @[el2_lsu_bus_buffer.scala 563:26] - wire [31:0] _T_4609 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4610 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 564:6] - wire _T_4612 = _T_4610 & _T_4602; // @[el2_lsu_bus_buffer.scala 564:27] - wire [23:0] _T_4615 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4617 = {_T_4615,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4620 = _T_4610 & _T_4606; // @[el2_lsu_bus_buffer.scala 565:27] - wire [15:0] _T_4623 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4625 = {_T_4623,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4626 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 566:21] - wire [31:0] _T_4627 = _T_4603 ? _T_4605 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4628 = _T_4607 ? _T_4609 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4629 = _T_4612 ? _T_4617 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4630 = _T_4620 ? _T_4625 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4631 = _T_4626 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4632 = _T_4627 | _T_4628; // @[Mux.scala 27:72] - wire [31:0] _T_4633 = _T_4632 | _T_4629; // @[Mux.scala 27:72] - wire [31:0] _T_4634 = _T_4633 | _T_4630; // @[Mux.scala 27:72] - wire [63:0] _GEN_410 = {{32'd0}, _T_4634}; // @[Mux.scala 27:72] - wire [63:0] _T_4635 = _GEN_410 | _T_4631; // @[Mux.scala 27:72] - wire _T_4730 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 584:36] - wire _T_4731 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 584:51] - wire _T_4732 = _T_4730 & _T_4731; // @[el2_lsu_bus_buffer.scala 584:49] - wire [31:0] _T_4736 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4738 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4743 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 596:50] - wire _T_4744 = _T_4730 & _T_4743; // @[el2_lsu_bus_buffer.scala 596:48] - wire [7:0] _T_4748 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4751 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 601:36] - wire _T_4753 = _T_4751 & _T_1275; // @[el2_lsu_bus_buffer.scala 601:50] - wire _T_4765 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4767 = _T_4765 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 614:129] - wire _T_4770 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4772 = _T_4770 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 614:129] - wire _T_4775 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4777 = _T_4775 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 614:129] - wire _T_4780 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4782 = _T_4780 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 614:129] - wire _T_4783 = _T_2651 & _T_4767; // @[Mux.scala 27:72] - wire _T_4784 = _T_2673 & _T_4772; // @[Mux.scala 27:72] - wire _T_4785 = _T_2695 & _T_4777; // @[Mux.scala 27:72] - wire _T_4786 = _T_2717 & _T_4782; // @[Mux.scala 27:72] - wire _T_4787 = _T_4783 | _T_4784; // @[Mux.scala 27:72] - wire _T_4788 = _T_4787 | _T_4785; // @[Mux.scala 27:72] - wire _T_4798 = _T_2673 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 615:98] - wire lsu_imprecise_error_store_tag = _T_4798 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 615:113] - wire _T_4804 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 617:72] - wire _T_4806 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4808 = _T_4806 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4809 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4810 = _T_4808 | _T_4809; // @[Mux.scala 27:72] - wire _T_4827 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 624:68] - wire _T_4830 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 625:48] - wire _T_4833 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 628:48] - wire _T_4834 = io_lsu_axi_awvalid & _T_4833; // @[el2_lsu_bus_buffer.scala 628:46] - wire _T_4835 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 628:92] - wire _T_4836 = io_lsu_axi_wvalid & _T_4835; // @[el2_lsu_bus_buffer.scala 628:90] - wire _T_4837 = _T_4834 | _T_4836; // @[el2_lsu_bus_buffer.scala 628:69] - wire _T_4838 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 628:136] - wire _T_4839 = io_lsu_axi_arvalid & _T_4838; // @[el2_lsu_bus_buffer.scala 628:134] - wire _T_4843 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 632:75] - wire _T_4844 = io_lsu_busreq_m & _T_4843; // @[el2_lsu_bus_buffer.scala 632:73] - reg _T_4847; // @[el2_lsu_bus_buffer.scala 632:56] + wire [2:0] _GEN_407 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_4128 = io_lsu_axi_rid == _GEN_407; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_4129 = _T_4127 & _T_4128; // @[el2_lsu_bus_buffer.scala 494:74] + wire _T_4130 = _T_4122 | _T_4129; // @[el2_lsu_bus_buffer.scala 493:71] + wire _T_4131 = bus_rsp_read & _T_4130; // @[el2_lsu_bus_buffer.scala 492:25] + wire _T_4132 = _T_4117 | _T_4131; // @[el2_lsu_bus_buffer.scala 491:105] + wire _GEN_270 = _T_4071 & _T_4132; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4037 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4033 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4010 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4158 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4168 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 506:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_409 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_4170 = io_lsu_axi_rid == _GEN_409; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_4171 = _T_4168[0] & _T_4170; // @[el2_lsu_bus_buffer.scala 506:38] + wire _T_4172 = _T_4128 | _T_4171; // @[el2_lsu_bus_buffer.scala 505:95] + wire _T_4173 = bus_rsp_read & _T_4172; // @[el2_lsu_bus_buffer.scala 505:45] + wire _GEN_264 = _T_4158 & _T_4173; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4071 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4037 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4033 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4010 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4050 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 479:49] + wire _T_4051 = _T_4050 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 479:70] + wire _T_4176 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4179 = RspPtr == 3'h3; // @[el2_lsu_bus_buffer.scala 511:37] + wire _T_4180 = _GEN_407 == RspPtr; // @[el2_lsu_bus_buffer.scala 511:98] + wire _T_4181 = buf_dual_3 & _T_4180; // @[el2_lsu_bus_buffer.scala 511:80] + wire _T_4182 = _T_4179 | _T_4181; // @[el2_lsu_bus_buffer.scala 511:65] + wire _T_4183 = _T_4182 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:112] + wire _T_4184 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4176 ? _T_4183 : _T_4184; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4158 ? _T_4051 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4071 ? _T_4051 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4037 ? _T_4051 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4033 ? _T_3457 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4010 ? _T_4026 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2354 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2364 = _T_2056 & _T_1815; // @[el2_lsu_bus_buffer.scala 431:71] + wire _T_2366 = _T_2364 & _T_1780; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2367 = _T_2053 | _T_2366; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2371 = _T_2063 & _T_1818; // @[el2_lsu_bus_buffer.scala 432:52] + wire _T_2373 = _T_2371 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2374 = _T_2367 | _T_2373; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2375 = _T_2354 & _T_2374; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2377 = _T_2375 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2391 = _T_2364 & _T_1791; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2392 = _T_2078 | _T_2391; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2398 = _T_2371 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2399 = _T_2392 | _T_2398; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2400 = _T_2354 & _T_2399; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2402 = _T_2400 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2416 = _T_2364 & _T_1802; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2417 = _T_2103 | _T_2416; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2423 = _T_2371 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2424 = _T_2417 | _T_2423; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2425 = _T_2354 & _T_2424; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2427 = _T_2425 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2441 = _T_2364 & _T_1813; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2442 = _T_2128 | _T_2441; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2448 = _T_2371 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2449 = _T_2442 | _T_2448; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2450 = _T_2354 & _T_2449; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2452 = _T_2450 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 432:97] + wire [2:0] _T_2454 = {_T_2452,_T_2427,_T_2402}; // @[Cat.scala 29:58] + wire _T_2702 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 440:49] + wire _T_2703 = _T_1779 | _T_2702; // @[el2_lsu_bus_buffer.scala 440:34] + wire _T_2704 = ~_T_2703; // @[el2_lsu_bus_buffer.scala 440:8] + wire _T_2712 = _T_2704 | _T_2060; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2719 = _T_2712 | _T_2067; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2720 = _T_2048 & _T_2719; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2724 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 440:49] + wire _T_2725 = _T_1790 | _T_2724; // @[el2_lsu_bus_buffer.scala 440:34] + wire _T_2726 = ~_T_2725; // @[el2_lsu_bus_buffer.scala 440:8] + wire _T_2734 = _T_2726 | _T_2085; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2741 = _T_2734 | _T_2092; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2742 = _T_2048 & _T_2741; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2746 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 440:49] + wire _T_2747 = _T_1801 | _T_2746; // @[el2_lsu_bus_buffer.scala 440:34] + wire _T_2748 = ~_T_2747; // @[el2_lsu_bus_buffer.scala 440:8] + wire _T_2756 = _T_2748 | _T_2110; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2763 = _T_2756 | _T_2117; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2764 = _T_2048 & _T_2763; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2768 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 440:49] + wire _T_2769 = _T_1812 | _T_2768; // @[el2_lsu_bus_buffer.scala 440:34] + wire _T_2770 = ~_T_2769; // @[el2_lsu_bus_buffer.scala 440:8] + wire _T_2778 = _T_2770 | _T_2135; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2785 = _T_2778 | _T_2142; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2786 = _T_2048 & _T_2785; // @[el2_lsu_bus_buffer.scala 439:114] + wire [3:0] buf_rspage_set_0 = {_T_2786,_T_2764,_T_2742,_T_2720}; // @[Cat.scala 29:58] + wire _T_2803 = _T_2704 | _T_2162; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2810 = _T_2803 | _T_2169; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2811 = _T_2150 & _T_2810; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2825 = _T_2726 | _T_2187; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2832 = _T_2825 | _T_2194; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2833 = _T_2150 & _T_2832; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2847 = _T_2748 | _T_2212; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2854 = _T_2847 | _T_2219; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2855 = _T_2150 & _T_2854; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2869 = _T_2770 | _T_2237; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2876 = _T_2869 | _T_2244; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2877 = _T_2150 & _T_2876; // @[el2_lsu_bus_buffer.scala 439:114] + wire [3:0] buf_rspage_set_1 = {_T_2877,_T_2855,_T_2833,_T_2811}; // @[Cat.scala 29:58] + wire _T_2894 = _T_2704 | _T_2264; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2901 = _T_2894 | _T_2271; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2902 = _T_2252 & _T_2901; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2916 = _T_2726 | _T_2289; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2923 = _T_2916 | _T_2296; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2924 = _T_2252 & _T_2923; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2938 = _T_2748 | _T_2314; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2945 = _T_2938 | _T_2321; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2946 = _T_2252 & _T_2945; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2960 = _T_2770 | _T_2339; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2967 = _T_2960 | _T_2346; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2968 = _T_2252 & _T_2967; // @[el2_lsu_bus_buffer.scala 439:114] + wire [3:0] buf_rspage_set_2 = {_T_2968,_T_2946,_T_2924,_T_2902}; // @[Cat.scala 29:58] + wire _T_2985 = _T_2704 | _T_2366; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2992 = _T_2985 | _T_2373; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2993 = _T_2354 & _T_2992; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_3007 = _T_2726 | _T_2391; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_3014 = _T_3007 | _T_2398; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_3015 = _T_2354 & _T_3014; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_3029 = _T_2748 | _T_2416; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_3036 = _T_3029 | _T_2423; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_3037 = _T_2354 & _T_3036; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_3051 = _T_2770 | _T_2441; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_3058 = _T_3051 | _T_2448; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_3059 = _T_2354 & _T_3058; // @[el2_lsu_bus_buffer.scala 439:114] + wire [3:0] buf_rspage_set_3 = {_T_3059,_T_3037,_T_3015,_T_2993}; // @[Cat.scala 29:58] + wire _T_3144 = _T_2768 | _T_1812; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 444:86] + wire _T_3146 = buf_rspageQ_0[3] & _T_3145; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3138 = _T_2746 | _T_1801; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 444:86] + wire _T_3140 = buf_rspageQ_0[2] & _T_3139; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3132 = _T_2724 | _T_1790; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 444:86] + wire _T_3134 = buf_rspageQ_0[1] & _T_3133; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3126 = _T_2702 | _T_1779; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3127 = ~_T_3126; // @[el2_lsu_bus_buffer.scala 444:86] + wire _T_3128 = buf_rspageQ_0[0] & _T_3127; // @[el2_lsu_bus_buffer.scala 444:84] + wire [3:0] buf_rspage_0 = {_T_3146,_T_3140,_T_3134,_T_3128}; // @[Cat.scala 29:58] + wire _T_3065 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3068 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3071 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3074 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 443:90] + wire [2:0] _T_3076 = {_T_3074,_T_3071,_T_3068}; // @[Cat.scala 29:58] + wire _T_3173 = buf_rspageQ_1[3] & _T_3145; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3167 = buf_rspageQ_1[2] & _T_3139; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3161 = buf_rspageQ_1[1] & _T_3133; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3155 = buf_rspageQ_1[0] & _T_3127; // @[el2_lsu_bus_buffer.scala 444:84] + wire [3:0] buf_rspage_1 = {_T_3173,_T_3167,_T_3161,_T_3155}; // @[Cat.scala 29:58] + wire _T_3080 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3083 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3086 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3089 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 443:90] + wire [2:0] _T_3091 = {_T_3089,_T_3086,_T_3083}; // @[Cat.scala 29:58] + wire _T_3200 = buf_rspageQ_2[3] & _T_3145; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3194 = buf_rspageQ_2[2] & _T_3139; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3188 = buf_rspageQ_2[1] & _T_3133; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3182 = buf_rspageQ_2[0] & _T_3127; // @[el2_lsu_bus_buffer.scala 444:84] + wire [3:0] buf_rspage_2 = {_T_3200,_T_3194,_T_3188,_T_3182}; // @[Cat.scala 29:58] + wire _T_3095 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3098 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3101 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3104 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 443:90] + wire [2:0] _T_3106 = {_T_3104,_T_3101,_T_3098}; // @[Cat.scala 29:58] + wire _T_3227 = buf_rspageQ_3[3] & _T_3145; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3221 = buf_rspageQ_3[2] & _T_3139; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3215 = buf_rspageQ_3[1] & _T_3133; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3209 = buf_rspageQ_3[0] & _T_3127; // @[el2_lsu_bus_buffer.scala 444:84] + wire [3:0] buf_rspage_3 = {_T_3227,_T_3221,_T_3215,_T_3209}; // @[Cat.scala 29:58] + wire _T_3110 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3113 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3116 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3119 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 443:90] + wire [2:0] _T_3121 = {_T_3119,_T_3116,_T_3113}; // @[Cat.scala 29:58] + wire _T_3232 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 449:65] + wire _T_3234 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 449:65] + wire _T_3236 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 449:65] + wire _T_3238 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 449:65] + wire [3:0] ibuf_drainvec_vld = {_T_3238,_T_3236,_T_3234,_T_3232}; // @[Cat.scala 29:58] + wire _T_3246 = _T_3440 & _T_1785; // @[el2_lsu_bus_buffer.scala 450:123] + wire [3:0] _T_3249 = _T_3246 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 450:96] + wire [3:0] _T_3250 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3249; // @[el2_lsu_bus_buffer.scala 450:48] + wire _T_3255 = _T_3440 & _T_1796; // @[el2_lsu_bus_buffer.scala 450:123] + wire [3:0] _T_3258 = _T_3255 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 450:96] + wire [3:0] _T_3259 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3258; // @[el2_lsu_bus_buffer.scala 450:48] + wire _T_3264 = _T_3440 & _T_1807; // @[el2_lsu_bus_buffer.scala 450:123] + wire [3:0] _T_3267 = _T_3264 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 450:96] + wire [3:0] _T_3268 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3267; // @[el2_lsu_bus_buffer.scala 450:48] + wire _T_3273 = _T_3440 & _T_1818; // @[el2_lsu_bus_buffer.scala 450:123] + wire [3:0] _T_3276 = _T_3273 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 450:96] + wire [3:0] _T_3277 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3276; // @[el2_lsu_bus_buffer.scala 450:48] + wire _T_3303 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 452:47] + wire _T_3305 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 452:47] + wire _T_3307 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 452:47] + wire _T_3309 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 452:47] + wire [3:0] buf_dual_in = {_T_3309,_T_3307,_T_3305,_T_3303}; // @[Cat.scala 29:58] + wire _T_3314 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3316 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3318 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3320 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 453:49] + wire [3:0] buf_samedw_in = {_T_3320,_T_3318,_T_3316,_T_3314}; // @[Cat.scala 29:58] + wire _T_3325 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 454:86] + wire _T_3326 = ibuf_drainvec_vld[0] ? _T_3325 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 454:50] + wire _T_3329 = ibuf_drainvec_vld[1] ? _T_3325 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 454:50] + wire _T_3332 = ibuf_drainvec_vld[2] ? _T_3325 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 454:50] + wire _T_3335 = ibuf_drainvec_vld[3] ? _T_3325 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 454:50] + wire [3:0] buf_nomerge_in = {_T_3335,_T_3332,_T_3329,_T_3326}; // @[Cat.scala 29:58] + wire _T_3343 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3246; // @[el2_lsu_bus_buffer.scala 455:49] + wire _T_3348 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3255; // @[el2_lsu_bus_buffer.scala 455:49] + wire _T_3353 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3264; // @[el2_lsu_bus_buffer.scala 455:49] + wire _T_3358 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3273; // @[el2_lsu_bus_buffer.scala 455:49] + wire [3:0] buf_dualhi_in = {_T_3358,_T_3353,_T_3348,_T_3343}; // @[Cat.scala 29:58] + wire _T_3387 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 457:53] + wire _T_3389 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 457:53] + wire _T_3391 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 457:53] + wire _T_3393 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 457:53] + wire [3:0] buf_sideeffect_in = {_T_3393,_T_3391,_T_3389,_T_3387}; // @[Cat.scala 29:58] + wire _T_3398 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3400 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3402 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3404 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 458:49] + wire [3:0] buf_unsign_in = {_T_3404,_T_3402,_T_3400,_T_3398}; // @[Cat.scala 29:58] + wire _T_3421 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 460:48] + wire _T_3423 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 460:48] + wire _T_3425 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 460:48] + wire _T_3427 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 460:48] + wire [3:0] buf_write_in = {_T_3427,_T_3425,_T_3423,_T_3421}; // @[Cat.scala 29:58] + wire [31:0] _T_3453 = _T_3446 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 469:30] + wire _T_3460 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 476:89] + wire _T_3462 = _T_3460 & _T_1277; // @[el2_lsu_bus_buffer.scala 476:104] + wire _T_3475 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 481:44] + wire _T_3476 = _T_3475 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:60] + wire _T_3478 = _T_3476 & _T_1259; // @[el2_lsu_bus_buffer.scala 481:74] + wire _T_3481 = _T_3471 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 483:67] + wire _T_3482 = _T_3481 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 483:81] + wire _T_4779 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 585:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4779; // @[el2_lsu_bus_buffer.scala 585:38] + wire _T_3485 = _T_3481 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 484:82] + wire [31:0] _T_3490 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3560 = bus_rsp_read_error & _T_3539; // @[el2_lsu_bus_buffer.scala 498:91] + wire _T_3562 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3564 = _T_3562 & _T_3541; // @[el2_lsu_bus_buffer.scala 499:46] + wire _T_3565 = _T_3560 | _T_3564; // @[el2_lsu_bus_buffer.scala 498:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4779; // @[el2_lsu_bus_buffer.scala 584:40] + wire _T_3568 = bus_rsp_write_error & _T_3537; // @[el2_lsu_bus_buffer.scala 500:53] + wire _T_3569 = _T_3565 | _T_3568; // @[el2_lsu_bus_buffer.scala 499:88] + wire _T_3570 = _T_3471 & _T_3569; // @[el2_lsu_bus_buffer.scala 498:68] + wire _GEN_46 = _T_3492 & _T_3570; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3458 ? _T_3485 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3454 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3431 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire [31:0] _T_3491 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3490; // @[el2_lsu_bus_buffer.scala 485:30] + wire _T_3495 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 488:73] + wire _T_3496 = buf_write[0] & _T_3495; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3497 = io_dec_tlu_force_halt | _T_3496; // @[el2_lsu_bus_buffer.scala 488:55] + wire _T_3499 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_3500 = buf_dual_0 & _T_3499; // @[el2_lsu_bus_buffer.scala 489:28] + wire _T_3503 = _T_3500 & _T_1130; // @[el2_lsu_bus_buffer.scala 489:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3504 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3505 = _T_3503 & _T_3504; // @[el2_lsu_bus_buffer.scala 489:61] + wire _T_4405 = _T_2649 | _T_2646; // @[el2_lsu_bus_buffer.scala 546:93] + wire _T_4406 = _T_4405 | _T_2643; // @[el2_lsu_bus_buffer.scala 546:93] + wire any_done_wait_state = _T_4406 | _T_2640; // @[el2_lsu_bus_buffer.scala 546:93] + wire _T_3507 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:31] + wire _T_3513 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3515 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3517 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3519 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3521 = _T_3513 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3522 = _T_3515 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3523 = _T_3517 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3524 = _T_3519 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3525 = _T_3521 | _T_3522; // @[Mux.scala 27:72] + wire _T_3526 = _T_3525 | _T_3523; // @[Mux.scala 27:72] + wire _T_3527 = _T_3526 | _T_3524; // @[Mux.scala 27:72] + wire _T_3529 = _T_3503 & _T_3527; // @[el2_lsu_bus_buffer.scala 490:101] + wire _T_3530 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 490:167] + wire _T_3531 = _T_3529 & _T_3530; // @[el2_lsu_bus_buffer.scala 490:138] + wire _T_3532 = _T_3531 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:187] + wire _T_3533 = _T_3507 | _T_3532; // @[el2_lsu_bus_buffer.scala 490:53] + wire _T_3556 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3557 = _T_3556 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 497:62] + wire _T_3571 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 501:50] + wire _T_3572 = buf_state_en_0 & _T_3571; // @[el2_lsu_bus_buffer.scala 501:48] + wire [31:0] _T_3578 = _T_3572 ? _T_3490 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_3584 = buf_ldfwd[0] | _T_3589[0]; // @[el2_lsu_bus_buffer.scala 504:90] + wire _T_3585 = _T_3584 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 504:118] + wire _GEN_29 = _T_3605 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3597 ? 1'h0 : _T_3605; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3597 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3579 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3579 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3492 & _T_3557; // @[Conditional.scala 39:67] + wire [31:0] _GEN_47 = _T_3492 ? _T_3578 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3492 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3492 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3458 ? _T_3478 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3458 ? _T_3482 : _GEN_45; // @[Conditional.scala 39:67] + wire [31:0] _GEN_60 = _T_3458 ? _T_3491 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3458 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3454 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3454 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire [31:0] _GEN_72 = _T_3454 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3454 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3431 & buf_state_en_0; // @[Conditional.scala 40:58] + wire [31:0] _GEN_79 = _T_3431 ? _T_3453 : _GEN_72; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3431 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3431 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire [31:0] _T_3646 = _T_3639 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 469:30] + wire _T_3668 = buf_state_en_1 & _T_3739; // @[el2_lsu_bus_buffer.scala 481:44] + wire _T_3669 = _T_3668 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:60] + wire _T_3671 = _T_3669 & _T_1259; // @[el2_lsu_bus_buffer.scala 481:74] + wire _T_3674 = _T_3664 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 483:67] + wire _T_3675 = _T_3674 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 483:81] + wire _T_3678 = _T_3674 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 484:82] + wire [31:0] _T_3683 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3753 = bus_rsp_read_error & _T_3732; // @[el2_lsu_bus_buffer.scala 498:91] + wire _T_3755 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3757 = _T_3755 & _T_3734; // @[el2_lsu_bus_buffer.scala 499:46] + wire _T_3758 = _T_3753 | _T_3757; // @[el2_lsu_bus_buffer.scala 498:143] + wire _T_3761 = bus_rsp_write_error & _T_3730; // @[el2_lsu_bus_buffer.scala 500:53] + wire _T_3762 = _T_3758 | _T_3761; // @[el2_lsu_bus_buffer.scala 499:88] + wire _T_3763 = _T_3664 & _T_3762; // @[el2_lsu_bus_buffer.scala 498:68] + wire _GEN_122 = _T_3685 & _T_3763; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3651 ? _T_3678 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3647 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3624 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire [31:0] _T_3684 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3683; // @[el2_lsu_bus_buffer.scala 485:30] + wire _T_3689 = buf_write[1] & _T_3495; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3690 = io_dec_tlu_force_halt | _T_3689; // @[el2_lsu_bus_buffer.scala 488:55] + wire _T_3692 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_3693 = buf_dual_1 & _T_3692; // @[el2_lsu_bus_buffer.scala 489:28] + wire _T_3696 = _T_3693 & _T_3739; // @[el2_lsu_bus_buffer.scala 489:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3697 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3698 = _T_3696 & _T_3697; // @[el2_lsu_bus_buffer.scala 489:61] + wire _T_3700 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:31] + wire _T_3706 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3708 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3710 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3712 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3714 = _T_3706 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3715 = _T_3708 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3716 = _T_3710 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3717 = _T_3712 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3718 = _T_3714 | _T_3715; // @[Mux.scala 27:72] + wire _T_3719 = _T_3718 | _T_3716; // @[Mux.scala 27:72] + wire _T_3720 = _T_3719 | _T_3717; // @[Mux.scala 27:72] + wire _T_3722 = _T_3696 & _T_3720; // @[el2_lsu_bus_buffer.scala 490:101] + wire _T_3723 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 490:167] + wire _T_3724 = _T_3722 & _T_3723; // @[el2_lsu_bus_buffer.scala 490:138] + wire _T_3725 = _T_3724 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:187] + wire _T_3726 = _T_3700 | _T_3725; // @[el2_lsu_bus_buffer.scala 490:53] + wire _T_3749 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3750 = _T_3749 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 497:62] + wire _T_3764 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 501:50] + wire _T_3765 = buf_state_en_1 & _T_3764; // @[el2_lsu_bus_buffer.scala 501:48] + wire [31:0] _T_3771 = _T_3765 ? _T_3683 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_3777 = buf_ldfwd[1] | _T_3782[0]; // @[el2_lsu_bus_buffer.scala 504:90] + wire _T_3778 = _T_3777 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 504:118] + wire _GEN_105 = _T_3798 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3790 ? 1'h0 : _T_3798; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3790 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3772 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3772 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3685 & _T_3750; // @[Conditional.scala 39:67] + wire [31:0] _GEN_123 = _T_3685 ? _T_3771 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3685 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3685 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3651 ? _T_3671 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3651 ? _T_3675 : _GEN_121; // @[Conditional.scala 39:67] + wire [31:0] _GEN_136 = _T_3651 ? _T_3684 : _GEN_123; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3651 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3647 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3647 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire [31:0] _GEN_148 = _T_3647 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3647 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3624 & buf_state_en_1; // @[Conditional.scala 40:58] + wire [31:0] _GEN_155 = _T_3624 ? _T_3646 : _GEN_148; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3624 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3624 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire [31:0] _T_3839 = _T_3832 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 469:30] + wire _T_3861 = buf_state_en_2 & _T_3932; // @[el2_lsu_bus_buffer.scala 481:44] + wire _T_3862 = _T_3861 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:60] + wire _T_3864 = _T_3862 & _T_1259; // @[el2_lsu_bus_buffer.scala 481:74] + wire _T_3867 = _T_3857 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 483:67] + wire _T_3868 = _T_3867 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 483:81] + wire _T_3871 = _T_3867 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 484:82] + wire [31:0] _T_3876 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3946 = bus_rsp_read_error & _T_3925; // @[el2_lsu_bus_buffer.scala 498:91] + wire _T_3948 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3950 = _T_3948 & _T_3927; // @[el2_lsu_bus_buffer.scala 499:46] + wire _T_3951 = _T_3946 | _T_3950; // @[el2_lsu_bus_buffer.scala 498:143] + wire _T_3954 = bus_rsp_write_error & _T_3923; // @[el2_lsu_bus_buffer.scala 500:53] + wire _T_3955 = _T_3951 | _T_3954; // @[el2_lsu_bus_buffer.scala 499:88] + wire _T_3956 = _T_3857 & _T_3955; // @[el2_lsu_bus_buffer.scala 498:68] + wire _GEN_198 = _T_3878 & _T_3956; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3844 ? _T_3871 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3840 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3817 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire [31:0] _T_3877 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3876; // @[el2_lsu_bus_buffer.scala 485:30] + wire _T_3882 = buf_write[2] & _T_3495; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3883 = io_dec_tlu_force_halt | _T_3882; // @[el2_lsu_bus_buffer.scala 488:55] + wire _T_3885 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_3886 = buf_dual_2 & _T_3885; // @[el2_lsu_bus_buffer.scala 489:28] + wire _T_3889 = _T_3886 & _T_3932; // @[el2_lsu_bus_buffer.scala 489:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3890 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3891 = _T_3889 & _T_3890; // @[el2_lsu_bus_buffer.scala 489:61] + wire _T_3893 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:31] + wire _T_3899 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3901 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3903 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3905 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3907 = _T_3899 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3908 = _T_3901 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3909 = _T_3903 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3910 = _T_3905 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3911 = _T_3907 | _T_3908; // @[Mux.scala 27:72] + wire _T_3912 = _T_3911 | _T_3909; // @[Mux.scala 27:72] + wire _T_3913 = _T_3912 | _T_3910; // @[Mux.scala 27:72] + wire _T_3915 = _T_3889 & _T_3913; // @[el2_lsu_bus_buffer.scala 490:101] + wire _T_3916 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 490:167] + wire _T_3917 = _T_3915 & _T_3916; // @[el2_lsu_bus_buffer.scala 490:138] + wire _T_3918 = _T_3917 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:187] + wire _T_3919 = _T_3893 | _T_3918; // @[el2_lsu_bus_buffer.scala 490:53] + wire _T_3942 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3943 = _T_3942 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 497:62] + wire _T_3957 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 501:50] + wire _T_3958 = buf_state_en_2 & _T_3957; // @[el2_lsu_bus_buffer.scala 501:48] + wire [31:0] _T_3964 = _T_3958 ? _T_3876 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_3970 = buf_ldfwd[2] | _T_3975[0]; // @[el2_lsu_bus_buffer.scala 504:90] + wire _T_3971 = _T_3970 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 504:118] + wire _GEN_181 = _T_3991 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_3983 ? 1'h0 : _T_3991; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_3983 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_3965 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_3965 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3878 & _T_3943; // @[Conditional.scala 39:67] + wire [31:0] _GEN_199 = _T_3878 ? _T_3964 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3878 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3878 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3844 ? _T_3864 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3844 ? _T_3868 : _GEN_197; // @[Conditional.scala 39:67] + wire [31:0] _GEN_212 = _T_3844 ? _T_3877 : _GEN_199; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3844 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3840 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3840 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire [31:0] _GEN_224 = _T_3840 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3840 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3817 & buf_state_en_2; // @[Conditional.scala 40:58] + wire [31:0] _GEN_231 = _T_3817 ? _T_3839 : _GEN_224; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3817 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3817 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire [31:0] _T_4032 = _T_4025 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 469:30] + wire _T_4054 = buf_state_en_3 & _T_4125; // @[el2_lsu_bus_buffer.scala 481:44] + wire _T_4055 = _T_4054 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:60] + wire _T_4057 = _T_4055 & _T_1259; // @[el2_lsu_bus_buffer.scala 481:74] + wire _T_4060 = _T_4050 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 483:67] + wire _T_4061 = _T_4060 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 483:81] + wire _T_4064 = _T_4060 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 484:82] + wire [31:0] _T_4069 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_4139 = bus_rsp_read_error & _T_4118; // @[el2_lsu_bus_buffer.scala 498:91] + wire _T_4141 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_4143 = _T_4141 & _T_4120; // @[el2_lsu_bus_buffer.scala 499:46] + wire _T_4144 = _T_4139 | _T_4143; // @[el2_lsu_bus_buffer.scala 498:143] + wire _T_4147 = bus_rsp_write_error & _T_4116; // @[el2_lsu_bus_buffer.scala 500:53] + wire _T_4148 = _T_4144 | _T_4147; // @[el2_lsu_bus_buffer.scala 499:88] + wire _T_4149 = _T_4050 & _T_4148; // @[el2_lsu_bus_buffer.scala 498:68] + wire _GEN_274 = _T_4071 & _T_4149; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4037 ? _T_4064 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4033 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4010 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire [31:0] _T_4070 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4069; // @[el2_lsu_bus_buffer.scala 485:30] + wire _T_4075 = buf_write[3] & _T_3495; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_4076 = io_dec_tlu_force_halt | _T_4075; // @[el2_lsu_bus_buffer.scala 488:55] + wire _T_4078 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_4079 = buf_dual_3 & _T_4078; // @[el2_lsu_bus_buffer.scala 489:28] + wire _T_4082 = _T_4079 & _T_4125; // @[el2_lsu_bus_buffer.scala 489:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_4083 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_4084 = _T_4082 & _T_4083; // @[el2_lsu_bus_buffer.scala 489:61] + wire _T_4086 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:31] + wire _T_4092 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4094 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4096 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4098 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4100 = _T_4092 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4101 = _T_4094 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4102 = _T_4096 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4103 = _T_4098 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4104 = _T_4100 | _T_4101; // @[Mux.scala 27:72] + wire _T_4105 = _T_4104 | _T_4102; // @[Mux.scala 27:72] + wire _T_4106 = _T_4105 | _T_4103; // @[Mux.scala 27:72] + wire _T_4108 = _T_4082 & _T_4106; // @[el2_lsu_bus_buffer.scala 490:101] + wire _T_4109 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 490:167] + wire _T_4110 = _T_4108 & _T_4109; // @[el2_lsu_bus_buffer.scala 490:138] + wire _T_4111 = _T_4110 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:187] + wire _T_4112 = _T_4086 | _T_4111; // @[el2_lsu_bus_buffer.scala 490:53] + wire _T_4135 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_4136 = _T_4135 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 497:62] + wire _T_4150 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 501:50] + wire _T_4151 = buf_state_en_3 & _T_4150; // @[el2_lsu_bus_buffer.scala 501:48] + wire [31:0] _T_4157 = _T_4151 ? _T_4069 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_4163 = buf_ldfwd[3] | _T_4168[0]; // @[el2_lsu_bus_buffer.scala 504:90] + wire _T_4164 = _T_4163 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 504:118] + wire _GEN_257 = _T_4184 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4176 ? 1'h0 : _T_4184; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4176 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4158 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4158 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4071 & _T_4136; // @[Conditional.scala 39:67] + wire [31:0] _GEN_275 = _T_4071 ? _T_4157 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4071 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4071 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4037 ? _T_4057 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4037 ? _T_4061 : _GEN_273; // @[Conditional.scala 39:67] + wire [31:0] _GEN_288 = _T_4037 ? _T_4070 : _GEN_275; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4037 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4033 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4033 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire [31:0] _GEN_300 = _T_4033 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4033 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4010 & buf_state_en_3; // @[Conditional.scala 40:58] + wire [31:0] _GEN_307 = _T_4010 ? _T_4032 : _GEN_300; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4010 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4010 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4239; // @[Reg.scala 27:20] + reg _T_4242; // @[Reg.scala 27:20] + reg _T_4245; // @[Reg.scala 27:20] + reg _T_4248; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4248,_T_4245,_T_4242,_T_4239}; // @[Cat.scala 29:58] + wire [2:0] buf_byteen_in_0 = _T_3250[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 450:19] + wire [2:0] buf_byteen_in_1 = _T_3259[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 450:19] + wire [2:0] buf_byteen_in_2 = _T_3268[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 450:19] + wire [2:0] buf_byteen_in_3 = _T_3277[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 450:19] + reg _T_4314; // @[el2_lsu_bus_buffer.scala 540:82] + reg _T_4309; // @[el2_lsu_bus_buffer.scala 540:82] + reg _T_4304; // @[el2_lsu_bus_buffer.scala 540:82] + reg _T_4299; // @[el2_lsu_bus_buffer.scala 540:82] + wire [3:0] buf_error = {_T_4314,_T_4309,_T_4304,_T_4299}; // @[Cat.scala 29:58] + wire _T_4296 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 540:86] + wire _T_4297 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 540:128] + wire _T_4301 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 540:86] + wire _T_4302 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 540:128] + wire _T_4306 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 540:86] + wire _T_4307 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 540:128] + wire _T_4311 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 540:86] + wire _T_4312 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 540:128] + wire [1:0] _T_4322 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 542:96] + wire [1:0] _GEN_415 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 542:96] + wire [2:0] _T_4323 = _T_4322 + _GEN_415; // @[el2_lsu_bus_buffer.scala 542:96] + wire [2:0] _GEN_416 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 542:96] + wire [3:0] buf_numvld_any = _T_4323 + _GEN_416; // @[el2_lsu_bus_buffer.scala 542:96] + wire _T_4409 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 548:52] + wire _T_4410 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 548:92] + wire _T_4411 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 548:119] + wire _T_4413 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4414 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4415 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4416 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4417 = _T_4413 | _T_4414; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4418 = _T_4417 | _T_4415; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4419 = _T_4418 | _T_4416; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4420 = ~_T_4419; // @[el2_lsu_bus_buffer.scala 549:34] + wire _T_4422 = _T_4420 & _T_765; // @[el2_lsu_bus_buffer.scala 549:70] + wire _T_4425 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 551:51] + wire _T_4426 = _T_4425 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 551:72] + wire _T_4427 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 551:94] + wire _T_4428 = _T_4426 & _T_4427; // @[el2_lsu_bus_buffer.scala 551:92] + wire _T_4429 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 551:111] + wire _T_4431 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 554:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 638:66] + wire _T_4449 = _T_2702 & _T_1130; // @[Mux.scala 27:72] + wire _T_4450 = _T_2724 & _T_3739; // @[Mux.scala 27:72] + wire _T_4451 = _T_2746 & _T_3932; // @[Mux.scala 27:72] + wire _T_4452 = _T_2768 & _T_4125; // @[Mux.scala 27:72] + wire _T_4453 = _T_4449 | _T_4450; // @[Mux.scala 27:72] + wire _T_4454 = _T_4453 | _T_4451; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4454 | _T_4452; // @[Mux.scala 27:72] + wire _T_4460 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 557:108] + wire _T_4465 = buf_error[1] & _T_3739; // @[el2_lsu_bus_buffer.scala 557:108] + wire _T_4470 = buf_error[2] & _T_3932; // @[el2_lsu_bus_buffer.scala 557:108] + wire _T_4475 = buf_error[3] & _T_4125; // @[el2_lsu_bus_buffer.scala 557:108] + wire _T_4476 = _T_2702 & _T_4460; // @[Mux.scala 27:72] + wire _T_4477 = _T_2724 & _T_4465; // @[Mux.scala 27:72] + wire _T_4478 = _T_2746 & _T_4470; // @[Mux.scala 27:72] + wire _T_4479 = _T_2768 & _T_4475; // @[Mux.scala 27:72] + wire _T_4480 = _T_4476 | _T_4477; // @[Mux.scala 27:72] + wire _T_4481 = _T_4480 | _T_4478; // @[Mux.scala 27:72] + wire _T_4488 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 558:109] + wire _T_4489 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 558:124] + wire _T_4490 = _T_4488 | _T_4489; // @[el2_lsu_bus_buffer.scala 558:122] + wire _T_4491 = _T_4449 & _T_4490; // @[el2_lsu_bus_buffer.scala 558:106] + wire _T_4496 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 558:109] + wire _T_4497 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 558:124] + wire _T_4498 = _T_4496 | _T_4497; // @[el2_lsu_bus_buffer.scala 558:122] + wire _T_4499 = _T_4450 & _T_4498; // @[el2_lsu_bus_buffer.scala 558:106] + wire _T_4504 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 558:109] + wire _T_4505 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 558:124] + wire _T_4506 = _T_4504 | _T_4505; // @[el2_lsu_bus_buffer.scala 558:122] + wire _T_4507 = _T_4451 & _T_4506; // @[el2_lsu_bus_buffer.scala 558:106] + wire _T_4512 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 558:109] + wire _T_4513 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 558:124] + wire _T_4514 = _T_4512 | _T_4513; // @[el2_lsu_bus_buffer.scala 558:122] + wire _T_4515 = _T_4452 & _T_4514; // @[el2_lsu_bus_buffer.scala 558:106] + wire [1:0] _T_4518 = _T_4507 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4519 = _T_4515 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_417 = {{1'd0}, _T_4499}; // @[Mux.scala 27:72] + wire [1:0] _T_4521 = _GEN_417 | _T_4518; // @[Mux.scala 27:72] + wire [31:0] _T_4556 = _T_4491 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4557 = _T_4499 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4558 = _T_4507 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4559 = _T_4515 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] + wire [31:0] _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4561 | _T_4559; // @[Mux.scala 27:72] + wire _T_4567 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 560:120] + wire _T_4568 = _T_4449 & _T_4567; // @[el2_lsu_bus_buffer.scala 560:105] + wire _T_4573 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 560:120] + wire _T_4574 = _T_4450 & _T_4573; // @[el2_lsu_bus_buffer.scala 560:105] + wire _T_4579 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 560:120] + wire _T_4580 = _T_4451 & _T_4579; // @[el2_lsu_bus_buffer.scala 560:105] + wire _T_4585 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 560:120] + wire _T_4586 = _T_4452 & _T_4585; // @[el2_lsu_bus_buffer.scala 560:105] + wire [31:0] _T_4587 = _T_4568 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4588 = _T_4574 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4589 = _T_4580 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4590 = _T_4586 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4591 = _T_4587 | _T_4588; // @[Mux.scala 27:72] + wire [31:0] _T_4592 = _T_4591 | _T_4589; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4592 | _T_4590; // @[Mux.scala 27:72] + wire _T_4594 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4595 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4596 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4597 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4598 = _T_4594 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4599 = _T_4595 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4600 = _T_4596 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4601 = _T_4597 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4602 = _T_4598 | _T_4599; // @[Mux.scala 27:72] + wire [31:0] _T_4603 = _T_4602 | _T_4600; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_addr_offset = _T_4603 | _T_4601; // @[Mux.scala 27:72] + wire [1:0] _T_4609 = _T_4594 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4610 = _T_4595 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4611 = _T_4596 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4612 = _T_4597 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4613 = _T_4609 | _T_4610; // @[Mux.scala 27:72] + wire [1:0] _T_4614 = _T_4613 | _T_4611; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4614 | _T_4612; // @[Mux.scala 27:72] + wire _T_4624 = _T_4594 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4625 = _T_4595 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4626 = _T_4596 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4627 = _T_4597 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4628 = _T_4624 | _T_4625; // @[Mux.scala 27:72] + wire _T_4629 = _T_4628 | _T_4626; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4629 | _T_4627; // @[Mux.scala 27:72] + wire [63:0] _T_4649 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [35:0] _T_4650 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 565:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4649 >> _T_4650; // @[el2_lsu_bus_buffer.scala 565:92] + wire _T_4651 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 566:69] + wire _T_4653 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 567:81] + wire _T_4654 = lsu_nonblock_unsign & _T_4653; // @[el2_lsu_bus_buffer.scala 567:63] + wire [31:0] _T_4656 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4657 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 568:45] + wire _T_4658 = lsu_nonblock_unsign & _T_4657; // @[el2_lsu_bus_buffer.scala 568:26] + wire [31:0] _T_4660 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4661 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 569:6] + wire _T_4663 = _T_4661 & _T_4653; // @[el2_lsu_bus_buffer.scala 569:27] + wire [23:0] _T_4666 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4668 = {_T_4666,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4671 = _T_4661 & _T_4657; // @[el2_lsu_bus_buffer.scala 570:27] + wire [15:0] _T_4674 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4676 = {_T_4674,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4677 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 571:21] + wire [31:0] _T_4678 = _T_4654 ? _T_4656 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4658 ? _T_4660 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4663 ? _T_4668 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4671 ? _T_4676 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4682 = _T_4677 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4683 = _T_4678 | _T_4679; // @[Mux.scala 27:72] + wire [31:0] _T_4684 = _T_4683 | _T_4680; // @[Mux.scala 27:72] + wire [31:0] _T_4685 = _T_4684 | _T_4681; // @[Mux.scala 27:72] + wire [63:0] _GEN_418 = {{32'd0}, _T_4685}; // @[Mux.scala 27:72] + wire [63:0] _T_4686 = _GEN_418 | _T_4682; // @[Mux.scala 27:72] + wire _T_4781 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 589:36] + wire _T_4782 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 589:51] + wire _T_4783 = _T_4781 & _T_4782; // @[el2_lsu_bus_buffer.scala 589:49] + wire [31:0] _T_4787 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4789 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4794 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 601:50] + wire _T_4795 = _T_4781 & _T_4794; // @[el2_lsu_bus_buffer.scala 601:48] + wire [7:0] _T_4799 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4802 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 606:36] + wire _T_4804 = _T_4802 & _T_1275; // @[el2_lsu_bus_buffer.scala 606:50] + wire _T_4816 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 619:114] + wire _T_4818 = _T_4816 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 619:129] + wire _T_4821 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 619:114] + wire _T_4823 = _T_4821 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 619:129] + wire _T_4826 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 619:114] + wire _T_4828 = _T_4826 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 619:129] + wire _T_4831 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 619:114] + wire _T_4833 = _T_4831 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 619:129] + wire _T_4834 = _T_2702 & _T_4818; // @[Mux.scala 27:72] + wire _T_4835 = _T_2724 & _T_4823; // @[Mux.scala 27:72] + wire _T_4836 = _T_2746 & _T_4828; // @[Mux.scala 27:72] + wire _T_4837 = _T_2768 & _T_4833; // @[Mux.scala 27:72] + wire _T_4838 = _T_4834 | _T_4835; // @[Mux.scala 27:72] + wire _T_4839 = _T_4838 | _T_4836; // @[Mux.scala 27:72] + wire _T_4849 = _T_2724 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 620:98] + wire lsu_imprecise_error_store_tag = _T_4849 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 620:113] + wire _T_4855 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 622:72] + wire _T_4857 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4859 = _T_4857 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4860 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4861 = _T_4859 | _T_4860; // @[Mux.scala 27:72] + wire _T_4878 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 629:68] + wire _T_4881 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 630:48] + wire _T_4884 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 633:48] + wire _T_4885 = io_lsu_axi_awvalid & _T_4884; // @[el2_lsu_bus_buffer.scala 633:46] + wire _T_4886 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 633:92] + wire _T_4887 = io_lsu_axi_wvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 633:90] + wire _T_4888 = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 633:69] + wire _T_4889 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 633:136] + wire _T_4890 = io_lsu_axi_arvalid & _T_4889; // @[el2_lsu_bus_buffer.scala 633:134] + wire _T_4894 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 637:75] + wire _T_4895 = io_lsu_busreq_m & _T_4894; // @[el2_lsu_bus_buffer.scala 637:73] + reg _T_4898; // @[el2_lsu_bus_buffer.scala 637:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2580,59 +2602,59 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4847; // @[el2_lsu_bus_buffer.scala 632:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 542:30] - assign io_lsu_bus_buffer_full_any = _T_4358 ? _T_4359 : _T_4360; // @[el2_lsu_bus_buffer.scala 543:30] - assign io_lsu_bus_buffer_empty_any = _T_4371 & _T_1157; // @[el2_lsu_bus_buffer.scala 544:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 621:23] + assign io_lsu_busreq_r = _T_4898; // @[el2_lsu_bus_buffer.scala 637:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 547:30] + assign io_lsu_bus_buffer_full_any = _T_4409 ? _T_4410 : _T_4411; // @[el2_lsu_bus_buffer.scala 548:30] + assign io_lsu_bus_buffer_empty_any = _T_4422 & _T_1157; // @[el2_lsu_bus_buffer.scala 549:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 626:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4804; // @[el2_lsu_bus_buffer.scala 617:35] - assign io_lsu_imprecise_error_store_any = _T_4788 | _T_4786; // @[el2_lsu_bus_buffer.scala 614:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4810 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 618:35] - assign io_lsu_nonblock_load_valid_m = _T_4377 & _T_4378; // @[el2_lsu_bus_buffer.scala 546:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 547:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4380; // @[el2_lsu_bus_buffer.scala 549:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 550:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4600; // @[el2_lsu_bus_buffer.scala 561:35] - assign io_lsu_nonblock_load_data_error = _T_4430 | _T_4428; // @[el2_lsu_bus_buffer.scala 552:35] - assign io_lsu_nonblock_load_data_tag = _T_4470 | _T_4468; // @[el2_lsu_bus_buffer.scala 553:33] - assign io_lsu_nonblock_load_data = _T_4635[31:0]; // @[el2_lsu_bus_buffer.scala 562:29] - assign io_lsu_pmu_bus_trxn = _T_4827 | _T_4722; // @[el2_lsu_bus_buffer.scala 624:23] - assign io_lsu_pmu_bus_misaligned = _T_4830 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 625:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 626:24] - assign io_lsu_pmu_bus_busy = _T_4837 | _T_4839; // @[el2_lsu_bus_buffer.scala 628:23] - assign io_lsu_axi_awvalid = _T_4732 & _T_1165; // @[el2_lsu_bus_buffer.scala 584:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 585:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 586:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 590:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 591:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4738 : 3'h2; // @[el2_lsu_bus_buffer.scala 587:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 592:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 594:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 589:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 588:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 593:20] - assign io_lsu_axi_wvalid = _T_4744 & _T_1165; // @[el2_lsu_bus_buffer.scala 596:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 598:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4748; // @[el2_lsu_bus_buffer.scala 597:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 599:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 612:21] - assign io_lsu_axi_arvalid = _T_4753 & _T_1165; // @[el2_lsu_bus_buffer.scala 601:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 602:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 603:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 607:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 608:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4738 : 3'h3; // @[el2_lsu_bus_buffer.scala 604:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 609:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 611:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 606:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 605:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 610:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 613:21] - assign io_test = CmdPtr0Dec[0] ? 2'h0 : _T_1983; // @[el2_lsu_bus_buffer.scala 410:11] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4855; // @[el2_lsu_bus_buffer.scala 622:35] + assign io_lsu_imprecise_error_store_any = _T_4839 | _T_4837; // @[el2_lsu_bus_buffer.scala 619:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4861 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 623:35] + assign io_lsu_nonblock_load_valid_m = _T_4428 & _T_4429; // @[el2_lsu_bus_buffer.scala 551:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 552:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4431; // @[el2_lsu_bus_buffer.scala 554:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 555:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4651; // @[el2_lsu_bus_buffer.scala 566:35] + assign io_lsu_nonblock_load_data_error = _T_4481 | _T_4479; // @[el2_lsu_bus_buffer.scala 557:35] + assign io_lsu_nonblock_load_data_tag = _T_4521 | _T_4519; // @[el2_lsu_bus_buffer.scala 558:33] + assign io_lsu_nonblock_load_data = _T_4686[31:0]; // @[el2_lsu_bus_buffer.scala 567:29] + assign io_lsu_pmu_bus_trxn = _T_4878 | _T_4773; // @[el2_lsu_bus_buffer.scala 629:23] + assign io_lsu_pmu_bus_misaligned = _T_4881 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 630:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 631:24] + assign io_lsu_pmu_bus_busy = _T_4888 | _T_4890; // @[el2_lsu_bus_buffer.scala 633:23] + assign io_lsu_axi_awvalid = _T_4783 & _T_1165; // @[el2_lsu_bus_buffer.scala 589:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 590:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4787; // @[el2_lsu_bus_buffer.scala 591:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 595:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 596:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4789 : 3'h2; // @[el2_lsu_bus_buffer.scala 592:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 597:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 599:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 594:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 593:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 598:20] + assign io_lsu_axi_wvalid = _T_4795 & _T_1165; // @[el2_lsu_bus_buffer.scala 601:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 603:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4799; // @[el2_lsu_bus_buffer.scala 602:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 604:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 617:21] + assign io_lsu_axi_arvalid = _T_4804 & _T_1165; // @[el2_lsu_bus_buffer.scala 606:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 607:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4787; // @[el2_lsu_bus_buffer.scala 608:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 612:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 613:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4789 : 3'h3; // @[el2_lsu_bus_buffer.scala 609:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 614:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 616:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 611:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 610:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 615:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 618:21] + assign io_test = {_T_2002,_T_2001}; // @[el2_lsu_bus_buffer.scala 415:11] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] @@ -2646,28 +2668,28 @@ module el2_lsu_bus_buffer( assign rvclkhdr_3_io_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3380 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3431 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3573 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3624 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3766 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3817 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_3959 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4010 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3380 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3431 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3573 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3624 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3766 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3817 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_3959 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4010 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2707,13 +2729,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4212 = _RAND_1[0:0]; + _T_4263 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4209 = _RAND_2[0:0]; + _T_4260 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4206 = _RAND_3[0:0]; + _T_4257 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4203 = _RAND_4[0:0]; + _T_4254 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2729,13 +2751,13 @@ initial begin _RAND_11 = {1{`RANDOM}}; buf_state_3 = _RAND_11[2:0]; _RAND_12 = {1{`RANDOM}}; - _T_4239 = _RAND_12[2:0]; + _T_4290 = _RAND_12[2:0]; _RAND_13 = {1{`RANDOM}}; - _T_4237 = _RAND_13[2:0]; + _T_4288 = _RAND_13[2:0]; _RAND_14 = {1{`RANDOM}}; - _T_4235 = _RAND_14[2:0]; + _T_4286 = _RAND_14[2:0]; _RAND_15 = {1{`RANDOM}}; - _T_4233 = _RAND_15[2:0]; + _T_4284 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; @@ -2763,13 +2785,13 @@ initial begin _RAND_28 = {1{`RANDOM}}; buf_ageQ_0 = _RAND_28[3:0]; _RAND_29 = {1{`RANDOM}}; - _T_4240 = _RAND_29[3:0]; + _T_4291 = _RAND_29[3:0]; _RAND_30 = {1{`RANDOM}}; - _T_4241 = _RAND_30[3:0]; + _T_4292 = _RAND_30[3:0]; _RAND_31 = {1{`RANDOM}}; - _T_4242 = _RAND_31[3:0]; + _T_4293 = _RAND_31[3:0]; _RAND_32 = {1{`RANDOM}}; - _T_4243 = _RAND_32[3:0]; + _T_4294 = _RAND_32[3:0]; _RAND_33 = {1{`RANDOM}}; ibuf_timer = _RAND_33[2:0]; _RAND_34 = {1{`RANDOM}}; @@ -2805,13 +2827,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4182 = _RAND_50[0:0]; + _T_4233 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4179 = _RAND_51[0:0]; + _T_4230 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4176 = _RAND_52[0:0]; + _T_4227 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4173 = _RAND_53[0:0]; + _T_4224 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -2875,13 +2897,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4159 = _RAND_85[0:0]; + _T_4210 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4157 = _RAND_86[0:0]; + _T_4208 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4155 = _RAND_87[0:0]; + _T_4206 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4153 = _RAND_88[0:0]; + _T_4204 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -2899,40 +2921,40 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4188 = _RAND_97[0:0]; + _T_4239 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4191 = _RAND_98[0:0]; + _T_4242 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4194 = _RAND_99[0:0]; + _T_4245 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4197 = _RAND_100[0:0]; + _T_4248 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4263 = _RAND_101[0:0]; + _T_4314 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4258 = _RAND_102[0:0]; + _T_4309 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4253 = _RAND_103[0:0]; + _T_4304 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4248 = _RAND_104[0:0]; + _T_4299 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4847 = _RAND_106[0:0]; + _T_4898 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4212 = 1'h0; + _T_4263 = 1'h0; end if (reset) begin - _T_4209 = 1'h0; + _T_4260 = 1'h0; end if (reset) begin - _T_4206 = 1'h0; + _T_4257 = 1'h0; end if (reset) begin - _T_4203 = 1'h0; + _T_4254 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -2956,16 +2978,16 @@ initial begin buf_state_3 = 3'h0; end if (reset) begin - _T_4239 = 3'h0; + _T_4290 = 3'h0; end if (reset) begin - _T_4237 = 3'h0; + _T_4288 = 3'h0; end if (reset) begin - _T_4235 = 3'h0; + _T_4286 = 3'h0; end if (reset) begin - _T_4233 = 3'h0; + _T_4284 = 3'h0; end if (reset) begin buf_ageQ_3 = 4'h0; @@ -3007,16 +3029,16 @@ initial begin buf_ageQ_0 = 4'h0; end if (reset) begin - _T_4240 = 4'h0; + _T_4291 = 4'h0; end if (reset) begin - _T_4241 = 4'h0; + _T_4292 = 4'h0; end if (reset) begin - _T_4242 = 4'h0; + _T_4293 = 4'h0; end if (reset) begin - _T_4243 = 4'h0; + _T_4294 = 4'h0; end if (reset) begin ibuf_timer = 3'h0; @@ -3070,16 +3092,16 @@ initial begin buf_nomerge_3 = 1'h0; end if (reset) begin - _T_4182 = 1'h0; + _T_4233 = 1'h0; end if (reset) begin - _T_4179 = 1'h0; + _T_4230 = 1'h0; end if (reset) begin - _T_4176 = 1'h0; + _T_4227 = 1'h0; end if (reset) begin - _T_4173 = 1'h0; + _T_4224 = 1'h0; end if (reset) begin buf_dual_3 = 1'h0; @@ -3175,16 +3197,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4159 = 1'h0; + _T_4210 = 1'h0; end if (reset) begin - _T_4157 = 1'h0; + _T_4208 = 1'h0; end if (reset) begin - _T_4155 = 1'h0; + _T_4206 = 1'h0; end if (reset) begin - _T_4153 = 1'h0; + _T_4204 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3211,34 +3233,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4188 = 1'h0; + _T_4239 = 1'h0; end if (reset) begin - _T_4191 = 1'h0; + _T_4242 = 1'h0; end if (reset) begin - _T_4194 = 1'h0; - end - if (reset) begin - _T_4197 = 1'h0; - end - if (reset) begin - _T_4263 = 1'h0; - end - if (reset) begin - _T_4258 = 1'h0; - end - if (reset) begin - _T_4253 = 1'h0; + _T_4245 = 1'h0; end if (reset) begin _T_4248 = 1'h0; end + if (reset) begin + _T_4314 = 1'h0; + end + if (reset) begin + _T_4309 = 1'h0; + end + if (reset) begin + _T_4304 = 1'h0; + end + if (reset) begin + _T_4299 = 1'h0; + end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4847 = 1'h0; + _T_4898 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3251,7 +3273,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3195) begin + end else if (_T_3246) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3259,75 +3281,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4212 <= 1'h0; + _T_4263 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4212 <= buf_write_in[3]; + _T_4263 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4209 <= 1'h0; + _T_4260 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4209 <= buf_write_in[2]; + _T_4260 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4206 <= 1'h0; + _T_4257 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4206 <= buf_write_in[1]; + _T_4257 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4203 <= 1'h0; + _T_4254 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4203 <= buf_write_in[0]; + _T_4254 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3380) begin + if (_T_3431) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3403) begin + end else if (_T_3454) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3407) begin + end else if (_T_3458) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3411) begin + end else if (_T_3462) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3441) begin - if (_T_3446) begin + end else if (_T_3492) begin + if (_T_3497) begin buf_state_0 <= 3'h0; - end else if (_T_3454) begin + end else if (_T_3505) begin buf_state_0 <= 3'h4; - end else if (_T_3482) begin + end else if (_T_3533) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3528) begin + end else if (_T_3579) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3534) begin + end else if (_T_3585) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3546) begin + end else if (_T_3597) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3343,7 +3365,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3204) begin + end else if (_T_3255) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3353,45 +3375,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3573) begin + if (_T_3624) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3596) begin + end else if (_T_3647) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3600) begin + end else if (_T_3651) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3411) begin + end else if (_T_3462) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3634) begin - if (_T_3639) begin + end else if (_T_3685) begin + if (_T_3690) begin buf_state_1 <= 3'h0; - end else if (_T_3647) begin + end else if (_T_3698) begin buf_state_1 <= 3'h4; - end else if (_T_3675) begin + end else if (_T_3726) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3721) begin + end else if (_T_3772) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3727) begin + end else if (_T_3778) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3739) begin + end else if (_T_3790) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3407,7 +3429,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3213) begin + end else if (_T_3264) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3417,45 +3439,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3766) begin + if (_T_3817) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3789) begin + end else if (_T_3840) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3793) begin + end else if (_T_3844) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3411) begin + end else if (_T_3462) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3827) begin - if (_T_3832) begin + end else if (_T_3878) begin + if (_T_3883) begin buf_state_2 <= 3'h0; - end else if (_T_3840) begin + end else if (_T_3891) begin buf_state_2 <= 3'h4; - end else if (_T_3868) begin + end else if (_T_3919) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3914) begin + end else if (_T_3965) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3920) begin + end else if (_T_3971) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3932) begin + end else if (_T_3983) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3471,7 +3493,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3222) begin + end else if (_T_3273) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3481,45 +3503,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_3959) begin + if (_T_4010) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_3982) begin + end else if (_T_4033) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_3986) begin + end else if (_T_4037) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3411) begin + end else if (_T_3462) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4020) begin - if (_T_4025) begin + end else if (_T_4071) begin + if (_T_4076) begin buf_state_3 <= 3'h0; - end else if (_T_4033) begin + end else if (_T_4084) begin buf_state_3 <= 3'h4; - end else if (_T_4061) begin + end else if (_T_4112) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4107) begin + end else if (_T_4158) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4113) begin + end else if (_T_4164) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4125) begin + end else if (_T_4176) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3532,37 +3554,37 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4239 <= 3'h0; + _T_4290 <= 3'h0; end else if (buf_wr_en_3) begin - _T_4239 <= buf_byteen_in_3; + _T_4290 <= buf_byteen_in_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4237 <= 3'h0; + _T_4288 <= 3'h0; end else if (buf_wr_en_2) begin - _T_4237 <= buf_byteen_in_2; + _T_4288 <= buf_byteen_in_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4235 <= 3'h0; + _T_4286 <= 3'h0; end else if (buf_wr_en_1) begin - _T_4235 <= buf_byteen_in_1; + _T_4286 <= buf_byteen_in_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4233 <= 3'h0; + _T_4284 <= 3'h0; end else if (buf_wr_en_0) begin - _T_4233 <= buf_byteen_in_0; + _T_4284 <= buf_byteen_in_0; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2403,_T_2326}; + buf_ageQ_3 <= {_T_2454,_T_2377}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin @@ -3648,49 +3670,49 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2301,_T_2224}; + buf_ageQ_2 <= {_T_2352,_T_2275}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2199,_T_2122}; + buf_ageQ_1 <= {_T_2250,_T_2173}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2097,_T_2020}; + buf_ageQ_0 <= {_T_2148,_T_2071}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - _T_4240 <= 4'h0; + _T_4291 <= 4'h0; end else begin - _T_4240 <= _GEN_79[3:0]; + _T_4291 <= _GEN_79[3:0]; end end always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin - _T_4241 <= 4'h0; + _T_4292 <= 4'h0; end else begin - _T_4241 <= _GEN_155[3:0]; + _T_4292 <= _GEN_155[3:0]; end end always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin - _T_4242 <= 4'h0; + _T_4293 <= 4'h0; end else begin - _T_4242 <= _GEN_231[3:0]; + _T_4293 <= _GEN_231[3:0]; end end always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin - _T_4243 <= 4'h0; + _T_4294 <= 4'h0; end else begin - _T_4243 <= _GEN_307[3:0]; + _T_4294 <= _GEN_307[3:0]; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin @@ -3840,30 +3862,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4182 <= 1'h0; + _T_4233 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4182 <= buf_sideeffect_in[3]; + _T_4233 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4179 <= 1'h0; + _T_4230 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4179 <= buf_sideeffect_in[2]; + _T_4230 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4176 <= 1'h0; + _T_4227 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4176 <= buf_sideeffect_in[1]; + _T_4227 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4173 <= 1'h0; + _T_4224 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4173 <= buf_sideeffect_in[0]; + _T_4224 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -3937,14 +3959,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1231 & _T_4719; + obuf_cmd_done <= _T_1231 & _T_4770; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1231 & _T_4720; + obuf_data_done <= _T_1231 & _T_4771; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4089,79 +4111,79 @@ end // initial if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3025,_T_3014}; + buf_rspageQ_0 <= {_T_3076,_T_3065}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3040,_T_3029}; + buf_rspageQ_1 <= {_T_3091,_T_3080}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3055,_T_3044}; + buf_rspageQ_2 <= {_T_3106,_T_3095}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3070,_T_3059}; + buf_rspageQ_3 <= {_T_3121,_T_3110}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4159 <= 1'h0; + _T_4210 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_3959) begin - _T_4159 <= 1'h0; - end else if (_T_3982) begin - _T_4159 <= 1'h0; + if (_T_4010) begin + _T_4210 <= 1'h0; + end else if (_T_4033) begin + _T_4210 <= 1'h0; end else begin - _T_4159 <= _T_3986; + _T_4210 <= _T_4037; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4157 <= 1'h0; + _T_4208 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3766) begin - _T_4157 <= 1'h0; - end else if (_T_3789) begin - _T_4157 <= 1'h0; + if (_T_3817) begin + _T_4208 <= 1'h0; + end else if (_T_3840) begin + _T_4208 <= 1'h0; end else begin - _T_4157 <= _T_3793; + _T_4208 <= _T_3844; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4155 <= 1'h0; + _T_4206 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3573) begin - _T_4155 <= 1'h0; - end else if (_T_3596) begin - _T_4155 <= 1'h0; + if (_T_3624) begin + _T_4206 <= 1'h0; + end else if (_T_3647) begin + _T_4206 <= 1'h0; end else begin - _T_4155 <= _T_3600; + _T_4206 <= _T_3651; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4153 <= 1'h0; + _T_4204 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3380) begin - _T_4153 <= 1'h0; - end else if (_T_3403) begin - _T_4153 <= 1'h0; + if (_T_3431) begin + _T_4204 <= 1'h0; + end else if (_T_3454) begin + _T_4204 <= 1'h0; end else begin - _T_4153 <= _T_3407; + _T_4204 <= _T_3458; end end end @@ -4169,11 +4191,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3380) begin + if (_T_3431) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3403) begin + end else if (_T_3454) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3407) begin + end else if (_T_3458) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4186,7 +4208,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3195) begin + end else if (_T_3246) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4197,11 +4219,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_3959) begin + if (_T_4010) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_3982) begin + end else if (_T_4033) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_3986) begin + end else if (_T_4037) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4212,11 +4234,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3766) begin + if (_T_3817) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3789) begin + end else if (_T_3840) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3793) begin + end else if (_T_3844) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4227,11 +4249,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3573) begin + if (_T_3624) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3596) begin + end else if (_T_3647) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3600) begin + end else if (_T_3651) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4244,7 +4266,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3204) begin + end else if (_T_3255) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4257,7 +4279,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3213) begin + end else if (_T_3264) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4270,7 +4292,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3222) begin + end else if (_T_3273) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4279,58 +4301,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4188 <= 1'h0; + _T_4239 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4188 <= buf_unsign_in[0]; + _T_4239 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4191 <= 1'h0; + _T_4242 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4191 <= buf_unsign_in[1]; + _T_4242 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4194 <= 1'h0; + _T_4245 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4194 <= buf_unsign_in[2]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4197 <= 1'h0; - end else if (buf_wr_en_3) begin - _T_4197 <= buf_unsign_in[3]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4263 <= 1'h0; - end else begin - _T_4263 <= _T_4260 & _T_4261; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4258 <= 1'h0; - end else begin - _T_4258 <= _T_4255 & _T_4256; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4253 <= 1'h0; - end else begin - _T_4253 <= _T_4250 & _T_4251; + _T_4245 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4248 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4248 <= buf_unsign_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4314 <= 1'h0; end else begin - _T_4248 <= _T_4245 & _T_4246; + _T_4314 <= _T_4311 & _T_4312; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4309 <= 1'h0; + end else begin + _T_4309 <= _T_4306 & _T_4307; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4304 <= 1'h0; + end else begin + _T_4304 <= _T_4301 & _T_4302; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4299 <= 1'h0; + end else begin + _T_4299 <= _T_4296 & _T_4297; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4342,9 +4364,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4847 <= 1'h0; + _T_4898 <= 1'h0; end else begin - _T_4847 <= _T_4844 & _T_4378; + _T_4898 <= _T_4895 & _T_4429; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 2a261d26..9a25dc9e 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -398,18 +398,23 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { //io.test := WrPtr1_m val buf_age = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_age := buf_age.map(i=> 0.U) - val CmdPtr0Dec = (0 until DEPTH).map(i=> (!(buf_age(i).orR) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) - val CmdPtr1Dec = (0 until DEPTH).map(i=> (!((buf_age(i) & (~CmdPtr0Dec)).orR) & !CmdPtr0Dec(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) + val CmdPtr0Dec = WireInit(UInt(8.W), 0.U) + val CmdPtr1Dec = WireInit(UInt(8.W), 0.U) + CmdPtr0Dec := (0 until DEPTH).map(i=> (!(buf_age(i).orR) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) + CmdPtr1Dec := (0 until DEPTH).map(i=> (!((buf_age(i) & (~CmdPtr0Dec)).orR) & !CmdPtr0Dec(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) val buf_rsp_pickage = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_rsp_pickage := buf_rsp_pickage.map(i=> 0.U) - val RspPtrDec = (0 until DEPTH).map(i=> (!(buf_rsp_pickage(i).orR) & (buf_state(i)===done_wait_C)).asUInt).reverse.reduce(Cat(_,_)) + val RspPtrDec = WireInit(UInt(8.W), 0.U) + RspPtrDec := (0 until DEPTH).map(i=> (!(buf_rsp_pickage(i).orR) & (buf_state(i)===done_wait_C)).asUInt).reverse.reduce(Cat(_,_)) found_cmdptr0 := CmdPtr0Dec.orR found_cmdptr1 := CmdPtr1Dec.orR - val CmdPtr0 = PriorityEncoder(CmdPtr0Dec) + def Enc8x3(in: UInt) : UInt = Cat(in(4)|in(5)|in(6)|in(7), in(2)|in(3)|in(6)|in(7), in(1)|in(3)|in(5)|in(7)) + + val CmdPtr0 = Enc8x3(CmdPtr0Dec) io.test := CmdPtr0 - val CmdPtr1 = PriorityEncoder(CmdPtr1Dec) - val RspPtr = PriorityEncoder(RspPtrDec) + val CmdPtr1 = Enc8x3(CmdPtr1Dec) + val RspPtr = Enc8x3(RspPtrDec) val buf_state_en = Wire(Vec(DEPTH, Bool())) buf_state_en := buf_state_en.map(i=> false.B) val buf_rspageQ = Wire(Vec(DEPTH, UInt(DEPTH.W))) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 07ffed62..2a4d8961 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$.class and b/target/scala-2.12/classes/lsu/BusBufmain$.class differ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 1889ab59..8a5b90f9 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class and b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 6fb641e4..fe23ed5b 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class differ