From 409e11b10aa16e3190a6623f5f7ac9b02b8aa4df Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sat, 7 Nov 2020 18:02:03 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.fir | 7073 +++++++++-------- el2_lsu_bus_buffer.v | 3408 ++++---- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 17 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 494075 -> 495323 bytes 6 files changed, 5291 insertions(+), 5207 deletions(-) diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 224edea7..7918a6e7 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2706,3664 +2706,3721 @@ circuit el2_lsu_bus_buffer : buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] - node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 401:88] + wire CmdPtr0Dec : UInt<8> + CmdPtr0Dec <= UInt<1>("h00") + wire CmdPtr1Dec : UInt<8> + CmdPtr1Dec <= UInt<1>("h00") + node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 403:55] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:42] + node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:75] + node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 403:60] + node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:87] + node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 403:85] + node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 403:55] + node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:42] + node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:75] + node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 403:60] + node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:87] + node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 403:85] + node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 403:55] + node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:42] + node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:75] + node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 403:60] + node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:87] + node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 403:85] + node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 403:55] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:42] + node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:75] + node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 403:60] + node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:87] + node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 403:85] node _T_1910 = cat(_T_1909, _T_1903) @[Cat.scala 29:58] node _T_1911 = cat(_T_1910, _T_1897) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_1911, _T_1891) @[Cat.scala 29:58] - node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1956 = cat(_T_1955, _T_1944) @[Cat.scala 29:58] - node _T_1957 = cat(_T_1956, _T_1933) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_1957, _T_1922) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 403:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] - node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1974 = cat(_T_1973, _T_1969) @[Cat.scala 29:58] - node _T_1975 = cat(_T_1974, _T_1965) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_1975, _T_1961) @[Cat.scala 29:58] - node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:31] - found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 406:17] - node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 407:31] - found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 407:17] - node _T_1978 = bits(CmdPtr0Dec, 0, 0) @[OneHot.scala 47:40] - node _T_1979 = bits(CmdPtr0Dec, 1, 1) @[OneHot.scala 47:40] - node _T_1980 = bits(CmdPtr0Dec, 2, 2) @[OneHot.scala 47:40] - node _T_1981 = bits(CmdPtr0Dec, 3, 3) @[OneHot.scala 47:40] - node _T_1982 = mux(_T_1980, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] - node _T_1983 = mux(_T_1979, UInt<1>("h01"), _T_1982) @[Mux.scala 47:69] - node CmdPtr0 = mux(_T_1978, UInt<1>("h00"), _T_1983) @[Mux.scala 47:69] - io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 410:11] - node _T_1984 = bits(CmdPtr1Dec, 0, 0) @[OneHot.scala 47:40] - node _T_1985 = bits(CmdPtr1Dec, 1, 1) @[OneHot.scala 47:40] - node _T_1986 = bits(CmdPtr1Dec, 2, 2) @[OneHot.scala 47:40] - node _T_1987 = bits(CmdPtr1Dec, 3, 3) @[OneHot.scala 47:40] - node _T_1988 = mux(_T_1986, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] - node _T_1989 = mux(_T_1985, UInt<1>("h01"), _T_1988) @[Mux.scala 47:69] - node CmdPtr1 = mux(_T_1984, UInt<1>("h00"), _T_1989) @[Mux.scala 47:69] - node _T_1990 = bits(RspPtrDec, 0, 0) @[OneHot.scala 47:40] - node _T_1991 = bits(RspPtrDec, 1, 1) @[OneHot.scala 47:40] - node _T_1992 = bits(RspPtrDec, 2, 2) @[OneHot.scala 47:40] - node _T_1993 = bits(RspPtrDec, 3, 3) @[OneHot.scala 47:40] - node _T_1994 = mux(_T_1992, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] - node _T_1995 = mux(_T_1991, UInt<1>("h01"), _T_1994) @[Mux.scala 47:69] - node RspPtr = mux(_T_1990, UInt<1>("h00"), _T_1995) @[Mux.scala 47:69] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 413:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 415:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 417:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 419:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 421:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] - node _T_1996 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_1997 = and(_T_1996, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_1998 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_1999 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2000 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2001 = and(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2002 = or(_T_1998, _T_2001) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2003 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2004 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2006 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2008 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2010 = or(_T_2002, _T_2009) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2011 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2012 = and(_T_2011, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2013 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2014 = and(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2015 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2017 = or(_T_2010, _T_2016) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2018 = and(_T_1997, _T_2017) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2019 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2020 = or(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2021 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2022 = and(_T_2021, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2023 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2024 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2025 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2026 = and(_T_2024, _T_2025) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2027 = or(_T_2023, _T_2026) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2031 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2033 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2035 = or(_T_2027, _T_2034) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2037 = and(_T_2036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2038 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2039 = and(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2040 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2041 = and(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2042 = or(_T_2035, _T_2041) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2043 = and(_T_2022, _T_2042) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2044 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2045 = or(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2046 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2047 = and(_T_2046, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2048 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2049 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2050 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2052 = or(_T_2048, _T_2051) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2053 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2054 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2056 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2058 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2060 = or(_T_2052, _T_2059) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2061 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2062 = and(_T_2061, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2063 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2067 = or(_T_2060, _T_2066) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2068 = and(_T_2047, _T_2067) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2069 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2070 = or(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2071 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2072 = and(_T_2071, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2073 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2074 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2075 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2076 = and(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2077 = or(_T_2073, _T_2076) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2078 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2079 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2081 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2082 = and(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2083 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2084 = and(_T_2082, _T_2083) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2085 = or(_T_2077, _T_2084) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2086 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2087 = and(_T_2086, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2088 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2092 = or(_T_2085, _T_2091) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2093 = and(_T_2072, _T_2092) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2094 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2096 = cat(_T_2095, _T_2070) @[Cat.scala 29:58] - node _T_2097 = cat(_T_2096, _T_2045) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2097, _T_2020) @[Cat.scala 29:58] - node _T_2098 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2099 = and(_T_2098, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2100 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2101 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2102 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2103 = and(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2104 = or(_T_2100, _T_2103) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2106 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2107 = and(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2108 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2110 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2111 = and(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2112 = or(_T_2104, _T_2111) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2113 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2114 = and(_T_2113, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2115 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2117 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2118 = and(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2119 = or(_T_2112, _T_2118) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2120 = and(_T_2099, _T_2119) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2121 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2123 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2124 = and(_T_2123, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2125 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2126 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2127 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2128 = and(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2129 = or(_T_2125, _T_2128) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2130 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2131 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2132 = and(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2133 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2135 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2136 = and(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2137 = or(_T_2129, _T_2136) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2138 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2139 = and(_T_2138, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2140 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2142 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2144 = or(_T_2137, _T_2143) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2145 = and(_T_2124, _T_2144) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2146 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2147 = or(_T_2145, _T_2146) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2148 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2149 = and(_T_2148, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2150 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2151 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2152 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2154 = or(_T_2150, _T_2153) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2155 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2156 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2158 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2159 = and(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2160 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2162 = or(_T_2154, _T_2161) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2163 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2164 = and(_T_2163, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2165 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2167 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2169 = or(_T_2162, _T_2168) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2170 = and(_T_2149, _T_2169) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2171 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2172 = or(_T_2170, _T_2171) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2173 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2174 = and(_T_2173, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2175 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2176 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2177 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2179 = or(_T_2175, _T_2178) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2180 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2181 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2183 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2185 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2187 = or(_T_2179, _T_2186) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2188 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2189 = and(_T_2188, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2190 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2192 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2194 = or(_T_2187, _T_2193) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2195 = and(_T_2174, _T_2194) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2196 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2197 = or(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2198 = cat(_T_2197, _T_2172) @[Cat.scala 29:58] - node _T_2199 = cat(_T_2198, _T_2147) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2199, _T_2122) @[Cat.scala 29:58] - node _T_2200 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2201 = and(_T_2200, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2202 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2203 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2204 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2205 = and(_T_2203, _T_2204) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2206 = or(_T_2202, _T_2205) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2207 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2208 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2210 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2212 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2214 = or(_T_2206, _T_2213) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2215 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2216 = and(_T_2215, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2217 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2219 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2221 = or(_T_2214, _T_2220) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2222 = and(_T_2201, _T_2221) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2223 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2224 = or(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2225 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2226 = and(_T_2225, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2227 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2228 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2229 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2230 = and(_T_2228, _T_2229) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2231 = or(_T_2227, _T_2230) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2232 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2233 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2235 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2237 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2239 = or(_T_2231, _T_2238) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2240 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2241 = and(_T_2240, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2242 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2244 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2246 = or(_T_2239, _T_2245) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2247 = and(_T_2226, _T_2246) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2248 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2249 = or(_T_2247, _T_2248) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2250 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2251 = and(_T_2250, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2252 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2253 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2254 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2256 = or(_T_2252, _T_2255) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2257 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2258 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2260 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2262 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2264 = or(_T_2256, _T_2263) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2265 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2266 = and(_T_2265, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2267 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2269 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2271 = or(_T_2264, _T_2270) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2272 = and(_T_2251, _T_2271) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2273 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2274 = or(_T_2272, _T_2273) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2275 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2276 = and(_T_2275, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2277 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2278 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2279 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2281 = or(_T_2277, _T_2280) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2282 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2283 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2285 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2287 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2289 = or(_T_2281, _T_2288) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2290 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2291 = and(_T_2290, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2292 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2294 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2296 = or(_T_2289, _T_2295) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2297 = and(_T_2276, _T_2296) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2298 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2299 = or(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2300 = cat(_T_2299, _T_2274) @[Cat.scala 29:58] - node _T_2301 = cat(_T_2300, _T_2249) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2301, _T_2224) @[Cat.scala 29:58] - node _T_2302 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2303 = and(_T_2302, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2304 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2305 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2306 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2307 = and(_T_2305, _T_2306) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2308 = or(_T_2304, _T_2307) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2309 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2310 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2312 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2314 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2316 = or(_T_2308, _T_2315) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2317 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2318 = and(_T_2317, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2319 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2321 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2323 = or(_T_2316, _T_2322) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2324 = and(_T_2303, _T_2323) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2325 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2326 = or(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2327 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2328 = and(_T_2327, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2329 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2330 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2332 = and(_T_2330, _T_2331) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2333 = or(_T_2329, _T_2332) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2334 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2335 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2337 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2339 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2341 = or(_T_2333, _T_2340) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2342 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2343 = and(_T_2342, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2344 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2346 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2348 = or(_T_2341, _T_2347) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2349 = and(_T_2328, _T_2348) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2350 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2351 = or(_T_2349, _T_2350) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2352 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2353 = and(_T_2352, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2354 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2355 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2356 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2358 = or(_T_2354, _T_2357) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2359 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2360 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2362 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2364 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2366 = or(_T_2358, _T_2365) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2367 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2368 = and(_T_2367, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2369 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2371 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2373 = or(_T_2366, _T_2372) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2374 = and(_T_2353, _T_2373) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2375 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2376 = or(_T_2374, _T_2375) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2377 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2378 = and(_T_2377, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] - node _T_2379 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] - node _T_2380 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] - node _T_2381 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] - node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 425:57] - node _T_2383 = or(_T_2379, _T_2382) @[el2_lsu_bus_buffer.scala 425:31] - node _T_2384 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] - node _T_2385 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] - node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 426:41] - node _T_2387 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] - node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 426:71] - node _T_2389 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 426:92] - node _T_2391 = or(_T_2383, _T_2390) @[el2_lsu_bus_buffer.scala 425:86] - node _T_2392 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] - node _T_2393 = and(_T_2392, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] - node _T_2394 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 427:52] - node _T_2396 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 427:73] - node _T_2398 = or(_T_2391, _T_2397) @[el2_lsu_bus_buffer.scala 426:114] - node _T_2399 = and(_T_2378, _T_2398) @[el2_lsu_bus_buffer.scala 424:113] - node _T_2400 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] - node _T_2401 = or(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 427:97] - node _T_2402 = cat(_T_2401, _T_2376) @[Cat.scala 29:58] - node _T_2403 = cat(_T_2402, _T_2351) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2403, _T_2326) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 428:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] - node _T_2404 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2405 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2406 = and(_T_2405, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2407 = and(_T_2404, _T_2406) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2408 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2409 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2410 = and(_T_2409, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2411 = and(_T_2408, _T_2410) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2412 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2413 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2414 = and(_T_2413, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2415 = and(_T_2412, _T_2414) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2416 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2417 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2418 = and(_T_2417, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2419 = and(_T_2416, _T_2418) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2420 = cat(_T_2419, _T_2415) @[Cat.scala 29:58] - node _T_2421 = cat(_T_2420, _T_2411) @[Cat.scala 29:58] - node _T_2422 = cat(_T_2421, _T_2407) @[Cat.scala 29:58] - node _T_2423 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2424 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2425 = and(_T_2424, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2426 = and(_T_2423, _T_2425) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2427 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2428 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2429 = and(_T_2428, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2430 = and(_T_2427, _T_2429) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2431 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2432 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2433 = and(_T_2432, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2434 = and(_T_2431, _T_2433) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2435 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2437 = and(_T_2436, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2438 = and(_T_2435, _T_2437) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2439 = cat(_T_2438, _T_2434) @[Cat.scala 29:58] - node _T_2440 = cat(_T_2439, _T_2430) @[Cat.scala 29:58] - node _T_2441 = cat(_T_2440, _T_2426) @[Cat.scala 29:58] - node _T_2442 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2444 = and(_T_2443, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2445 = and(_T_2442, _T_2444) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2446 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2447 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2448 = and(_T_2447, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2449 = and(_T_2446, _T_2448) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2450 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2451 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2452 = and(_T_2451, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2453 = and(_T_2450, _T_2452) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2454 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2456 = and(_T_2455, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2457 = and(_T_2454, _T_2456) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2458 = cat(_T_2457, _T_2453) @[Cat.scala 29:58] - node _T_2459 = cat(_T_2458, _T_2449) @[Cat.scala 29:58] - node _T_2460 = cat(_T_2459, _T_2445) @[Cat.scala 29:58] - node _T_2461 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2465 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2469 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2473 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] - node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] - node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - buf_age[0] <= _T_2422 @[el2_lsu_bus_buffer.scala 430:13] - buf_age[1] <= _T_2441 @[el2_lsu_bus_buffer.scala 430:13] - buf_age[2] <= _T_2460 @[el2_lsu_bus_buffer.scala 430:13] - buf_age[3] <= _T_2479 @[el2_lsu_bus_buffer.scala 430:13] - node _T_2480 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2481 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2482 = eq(_T_2481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2483 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2485 = mux(_T_2480, UInt<1>("h00"), _T_2484) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2486 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2487 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2488 = eq(_T_2487, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2489 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2490 = and(_T_2488, _T_2489) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2491 = mux(_T_2486, UInt<1>("h00"), _T_2490) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2492 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2493 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2494 = eq(_T_2493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2495 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2496 = and(_T_2494, _T_2495) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2497 = mux(_T_2492, UInt<1>("h00"), _T_2496) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2498 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2499 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2500 = eq(_T_2499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2501 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2502 = and(_T_2500, _T_2501) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2503 = mux(_T_2498, UInt<1>("h00"), _T_2502) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2504 = cat(_T_2503, _T_2497) @[Cat.scala 29:58] - node _T_2505 = cat(_T_2504, _T_2491) @[Cat.scala 29:58] - node _T_2506 = cat(_T_2505, _T_2485) @[Cat.scala 29:58] - node _T_2507 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2508 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2510 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2511 = and(_T_2509, _T_2510) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2512 = mux(_T_2507, UInt<1>("h00"), _T_2511) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2513 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2514 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2515 = eq(_T_2514, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2516 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2518 = mux(_T_2513, UInt<1>("h00"), _T_2517) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2519 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2520 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2521 = eq(_T_2520, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2522 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2524 = mux(_T_2519, UInt<1>("h00"), _T_2523) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2525 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2526 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2527 = eq(_T_2526, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2528 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2530 = mux(_T_2525, UInt<1>("h00"), _T_2529) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2531 = cat(_T_2530, _T_2524) @[Cat.scala 29:58] - node _T_2532 = cat(_T_2531, _T_2518) @[Cat.scala 29:58] - node _T_2533 = cat(_T_2532, _T_2512) @[Cat.scala 29:58] - node _T_2534 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2535 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2536 = eq(_T_2535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2537 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2539 = mux(_T_2534, UInt<1>("h00"), _T_2538) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2540 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2541 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2542 = eq(_T_2541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2543 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2545 = mux(_T_2540, UInt<1>("h00"), _T_2544) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2546 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2547 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2548 = eq(_T_2547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2549 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2551 = mux(_T_2546, UInt<1>("h00"), _T_2550) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2552 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2553 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2555 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2556 = and(_T_2554, _T_2555) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2557 = mux(_T_2552, UInt<1>("h00"), _T_2556) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2558 = cat(_T_2557, _T_2551) @[Cat.scala 29:58] - node _T_2559 = cat(_T_2558, _T_2545) @[Cat.scala 29:58] - node _T_2560 = cat(_T_2559, _T_2539) @[Cat.scala 29:58] - node _T_2561 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2562 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2564 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2566 = mux(_T_2561, UInt<1>("h00"), _T_2565) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2567 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2568 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2570 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2571 = and(_T_2569, _T_2570) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2572 = mux(_T_2567, UInt<1>("h00"), _T_2571) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2573 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2574 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2576 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2577 = and(_T_2575, _T_2576) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2578 = mux(_T_2573, UInt<1>("h00"), _T_2577) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2579 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] - node _T_2580 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] - node _T_2581 = eq(_T_2580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] - node _T_2582 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] - node _T_2583 = and(_T_2581, _T_2582) @[el2_lsu_bus_buffer.scala 431:106] - node _T_2584 = mux(_T_2579, UInt<1>("h00"), _T_2583) @[el2_lsu_bus_buffer.scala 431:74] - node _T_2585 = cat(_T_2584, _T_2578) @[Cat.scala 29:58] - node _T_2586 = cat(_T_2585, _T_2572) @[Cat.scala 29:58] - node _T_2587 = cat(_T_2586, _T_2566) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2506 @[el2_lsu_bus_buffer.scala 431:21] - buf_age_younger[1] <= _T_2533 @[el2_lsu_bus_buffer.scala 431:21] - buf_age_younger[2] <= _T_2560 @[el2_lsu_bus_buffer.scala 431:21] - buf_age_younger[3] <= _T_2587 @[el2_lsu_bus_buffer.scala 431:21] - node _T_2588 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2589 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2590 = and(_T_2588, _T_2589) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2591 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2592 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2593 = and(_T_2591, _T_2592) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2594 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2595 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2596 = and(_T_2594, _T_2595) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2597 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2598 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2599 = and(_T_2597, _T_2598) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2600 = cat(_T_2599, _T_2596) @[Cat.scala 29:58] - node _T_2601 = cat(_T_2600, _T_2593) @[Cat.scala 29:58] - node _T_2602 = cat(_T_2601, _T_2590) @[Cat.scala 29:58] - node _T_2603 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2604 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2605 = and(_T_2603, _T_2604) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2606 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2607 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2608 = and(_T_2606, _T_2607) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2609 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2610 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2611 = and(_T_2609, _T_2610) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2612 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2613 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2614 = and(_T_2612, _T_2613) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2615 = cat(_T_2614, _T_2611) @[Cat.scala 29:58] - node _T_2616 = cat(_T_2615, _T_2608) @[Cat.scala 29:58] - node _T_2617 = cat(_T_2616, _T_2605) @[Cat.scala 29:58] - node _T_2618 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2619 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2620 = and(_T_2618, _T_2619) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2621 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2622 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2623 = and(_T_2621, _T_2622) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2624 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2625 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2626 = and(_T_2624, _T_2625) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2627 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2628 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2629 = and(_T_2627, _T_2628) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2630 = cat(_T_2629, _T_2626) @[Cat.scala 29:58] - node _T_2631 = cat(_T_2630, _T_2623) @[Cat.scala 29:58] - node _T_2632 = cat(_T_2631, _T_2620) @[Cat.scala 29:58] - node _T_2633 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2634 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2635 = and(_T_2633, _T_2634) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2636 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2637 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2638 = and(_T_2636, _T_2637) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2639 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2640 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2641 = and(_T_2639, _T_2640) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2642 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] - node _T_2643 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 432:89] - node _T_2645 = cat(_T_2644, _T_2641) @[Cat.scala 29:58] - node _T_2646 = cat(_T_2645, _T_2638) @[Cat.scala 29:58] - node _T_2647 = cat(_T_2646, _T_2635) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2602 @[el2_lsu_bus_buffer.scala 432:21] - buf_rsp_pickage[1] <= _T_2617 @[el2_lsu_bus_buffer.scala 432:21] - buf_rsp_pickage[2] <= _T_2632 @[el2_lsu_bus_buffer.scala 432:21] - buf_rsp_pickage[3] <= _T_2647 @[el2_lsu_bus_buffer.scala 432:21] - node _T_2648 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2649 = and(_T_2648, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2650 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2651 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2652 = or(_T_2650, _T_2651) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2654 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2655 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2657 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2658 = and(_T_2656, _T_2657) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2659 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2660 = and(_T_2658, _T_2659) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2661 = or(_T_2653, _T_2660) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2662 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2663 = and(_T_2662, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2664 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2666 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2667 = and(_T_2665, _T_2666) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2668 = or(_T_2661, _T_2667) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2669 = and(_T_2649, _T_2668) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2670 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2671 = and(_T_2670, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2672 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2673 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2674 = or(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2676 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2677 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2679 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2681 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2683 = or(_T_2675, _T_2682) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2684 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2685 = and(_T_2684, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2686 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2688 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2690 = or(_T_2683, _T_2689) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2691 = and(_T_2671, _T_2690) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2692 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2693 = and(_T_2692, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2694 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2695 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2696 = or(_T_2694, _T_2695) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2697 = eq(_T_2696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2698 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2699 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2701 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2703 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2705 = or(_T_2697, _T_2704) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2706 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2707 = and(_T_2706, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2708 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2710 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2711 = and(_T_2709, _T_2710) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2712 = or(_T_2705, _T_2711) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2713 = and(_T_2693, _T_2712) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2714 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2715 = and(_T_2714, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2716 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2717 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2718 = or(_T_2716, _T_2717) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2720 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2725 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2727 = or(_T_2719, _T_2726) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2728 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2729 = and(_T_2728, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2730 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2732 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2733 = and(_T_2731, _T_2732) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2734 = or(_T_2727, _T_2733) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2735 = and(_T_2715, _T_2734) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2736 = cat(_T_2735, _T_2713) @[Cat.scala 29:58] - node _T_2737 = cat(_T_2736, _T_2691) @[Cat.scala 29:58] - node _T_2738 = cat(_T_2737, _T_2669) @[Cat.scala 29:58] - node _T_2739 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2740 = and(_T_2739, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2741 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2742 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2743 = or(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2745 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2748 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2750 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2751 = and(_T_2749, _T_2750) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2752 = or(_T_2744, _T_2751) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2753 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2754 = and(_T_2753, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2755 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2757 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2759 = or(_T_2752, _T_2758) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2760 = and(_T_2740, _T_2759) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2762 = and(_T_2761, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2763 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2764 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2765 = or(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2766 = eq(_T_2765, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2767 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2770 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2772 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2774 = or(_T_2766, _T_2773) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2775 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2776 = and(_T_2775, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2777 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2779 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2781 = or(_T_2774, _T_2780) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2782 = and(_T_2762, _T_2781) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2783 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2784 = and(_T_2783, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2785 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2786 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2787 = or(_T_2785, _T_2786) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2788 = eq(_T_2787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2789 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2790 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2792 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2794 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2796 = or(_T_2788, _T_2795) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2797 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2798 = and(_T_2797, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2799 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2801 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2803 = or(_T_2796, _T_2802) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2804 = and(_T_2784, _T_2803) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2805 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2806 = and(_T_2805, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2807 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2808 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2809 = or(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2810 = eq(_T_2809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2811 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2812 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2816 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2817 = and(_T_2815, _T_2816) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2818 = or(_T_2810, _T_2817) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2819 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2820 = and(_T_2819, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2821 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2823 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2825 = or(_T_2818, _T_2824) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2826 = and(_T_2806, _T_2825) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2827 = cat(_T_2826, _T_2804) @[Cat.scala 29:58] - node _T_2828 = cat(_T_2827, _T_2782) @[Cat.scala 29:58] - node _T_2829 = cat(_T_2828, _T_2760) @[Cat.scala 29:58] - node _T_2830 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2831 = and(_T_2830, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2832 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2833 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2839 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2841 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2846 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2852 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2853 = and(_T_2852, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2854 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2855 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2863 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2868 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2870 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2875 = and(_T_2874, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2876 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2877 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2885 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2890 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2892 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2897 = and(_T_2896, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2898 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2899 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2900 = or(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2901 = eq(_T_2900, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2902 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2903 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2905 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2907 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2908 = and(_T_2906, _T_2907) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2909 = or(_T_2901, _T_2908) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2910 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2911 = and(_T_2910, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2912 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2914 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2916 = or(_T_2909, _T_2915) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2917 = and(_T_2897, _T_2916) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2918 = cat(_T_2917, _T_2895) @[Cat.scala 29:58] - node _T_2919 = cat(_T_2918, _T_2873) @[Cat.scala 29:58] - node _T_2920 = cat(_T_2919, _T_2851) @[Cat.scala 29:58] - node _T_2921 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2922 = and(_T_2921, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2923 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2924 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2930 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2932 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2937 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2939 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2944 = and(_T_2943, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2945 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2946 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2952 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2954 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2959 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2965 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2966 = and(_T_2965, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2967 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2968 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2974 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2976 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 436:92] - node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 435:61] - node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_2981 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2983 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 436:112] - node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2988 = and(_T_2987, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] - node _T_2989 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2990 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] - node _T_2991 = or(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 435:34] - node _T_2992 = eq(_T_2991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] - node _T_2993 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] - node _T_2994 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 436:43] - node _T_2996 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2998 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] - node _T_2999 = and(_T_2997, _T_2998) @[el2_lsu_bus_buffer.scala 436:92] - node _T_3000 = or(_T_2992, _T_2999) @[el2_lsu_bus_buffer.scala 435:61] - node _T_3001 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] - node _T_3002 = and(_T_3001, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] - node _T_3003 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] - node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 437:54] - node _T_3005 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 437:73] - node _T_3007 = or(_T_3000, _T_3006) @[el2_lsu_bus_buffer.scala 436:112] - node _T_3008 = and(_T_2988, _T_3007) @[el2_lsu_bus_buffer.scala 434:114] - node _T_3009 = cat(_T_3008, _T_2986) @[Cat.scala 29:58] - node _T_3010 = cat(_T_3009, _T_2964) @[Cat.scala 29:58] - node _T_3011 = cat(_T_3010, _T_2942) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2738 @[el2_lsu_bus_buffer.scala 434:20] - buf_rspage_set[1] <= _T_2829 @[el2_lsu_bus_buffer.scala 434:20] - buf_rspage_set[2] <= _T_2920 @[el2_lsu_bus_buffer.scala 434:20] - buf_rspage_set[3] <= _T_3011 @[el2_lsu_bus_buffer.scala 434:20] - node _T_3012 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3013 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3014 = or(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3015 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3016 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3017 = or(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3018 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3019 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3020 = or(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3021 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3022 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3023 = or(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3024 = cat(_T_3023, _T_3020) @[Cat.scala 29:58] - node _T_3025 = cat(_T_3024, _T_3017) @[Cat.scala 29:58] - node _T_3026 = cat(_T_3025, _T_3014) @[Cat.scala 29:58] - node _T_3027 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3028 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3029 = or(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3030 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3031 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3032 = or(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3033 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3034 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3035 = or(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3036 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3037 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3039 = cat(_T_3038, _T_3035) @[Cat.scala 29:58] - node _T_3040 = cat(_T_3039, _T_3032) @[Cat.scala 29:58] - node _T_3041 = cat(_T_3040, _T_3029) @[Cat.scala 29:58] - node _T_3042 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3043 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3044 = or(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3045 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3046 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3048 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3049 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3050 = or(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3051 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3052 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3053 = or(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3054 = cat(_T_3053, _T_3050) @[Cat.scala 29:58] - node _T_3055 = cat(_T_3054, _T_3047) @[Cat.scala 29:58] - node _T_3056 = cat(_T_3055, _T_3044) @[Cat.scala 29:58] - node _T_3057 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3058 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3059 = or(_T_3057, _T_3058) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3060 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3061 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3062 = or(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3063 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3064 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3065 = or(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3066 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3067 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] - node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 438:90] - node _T_3069 = cat(_T_3068, _T_3065) @[Cat.scala 29:58] - node _T_3070 = cat(_T_3069, _T_3062) @[Cat.scala 29:58] - node _T_3071 = cat(_T_3070, _T_3059) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3026 @[el2_lsu_bus_buffer.scala 438:19] - buf_rspage_in[1] <= _T_3041 @[el2_lsu_bus_buffer.scala 438:19] - buf_rspage_in[2] <= _T_3056 @[el2_lsu_bus_buffer.scala 438:19] - buf_rspage_in[3] <= _T_3071 @[el2_lsu_bus_buffer.scala 438:19] - node _T_3072 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3073 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3074 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3075 = or(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3076 = eq(_T_3075, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3077 = and(_T_3072, _T_3076) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3078 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3079 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3080 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3081 = or(_T_3079, _T_3080) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3083 = and(_T_3078, _T_3082) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3084 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3085 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3087 = or(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3088 = eq(_T_3087, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3089 = and(_T_3084, _T_3088) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3090 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3091 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3092 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3093 = or(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3094 = eq(_T_3093, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3095 = and(_T_3090, _T_3094) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3096 = cat(_T_3095, _T_3089) @[Cat.scala 29:58] - node _T_3097 = cat(_T_3096, _T_3083) @[Cat.scala 29:58] - node _T_3098 = cat(_T_3097, _T_3077) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3100 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3101 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3102 = or(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3103 = eq(_T_3102, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3104 = and(_T_3099, _T_3103) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3105 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3107 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3108 = or(_T_3106, _T_3107) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3109 = eq(_T_3108, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3110 = and(_T_3105, _T_3109) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3111 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3112 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3113 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3114 = or(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3115 = eq(_T_3114, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3116 = and(_T_3111, _T_3115) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3117 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3118 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3119 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3120 = or(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3121 = eq(_T_3120, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3122 = and(_T_3117, _T_3121) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3123 = cat(_T_3122, _T_3116) @[Cat.scala 29:58] - node _T_3124 = cat(_T_3123, _T_3110) @[Cat.scala 29:58] - node _T_3125 = cat(_T_3124, _T_3104) @[Cat.scala 29:58] - node _T_3126 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3127 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3131 = and(_T_3126, _T_3130) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3132 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3133 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3134 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3137 = and(_T_3132, _T_3136) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3138 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3139 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3140 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3141 = or(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3142 = eq(_T_3141, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3143 = and(_T_3138, _T_3142) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3144 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3145 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3146 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3147 = or(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3148 = eq(_T_3147, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3149 = and(_T_3144, _T_3148) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3150 = cat(_T_3149, _T_3143) @[Cat.scala 29:58] - node _T_3151 = cat(_T_3150, _T_3137) @[Cat.scala 29:58] - node _T_3152 = cat(_T_3151, _T_3131) @[Cat.scala 29:58] - node _T_3153 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3154 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3155 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3157 = eq(_T_3156, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3158 = and(_T_3153, _T_3157) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3159 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3160 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3161 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3164 = and(_T_3159, _T_3163) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3165 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3166 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3167 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3169 = eq(_T_3168, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3170 = and(_T_3165, _T_3169) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3171 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] - node _T_3172 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] - node _T_3173 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3175 = eq(_T_3174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] - node _T_3176 = and(_T_3171, _T_3175) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3177 = cat(_T_3176, _T_3170) @[Cat.scala 29:58] - node _T_3178 = cat(_T_3177, _T_3164) @[Cat.scala 29:58] - node _T_3179 = cat(_T_3178, _T_3158) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3098 @[el2_lsu_bus_buffer.scala 439:16] - buf_rspage[1] <= _T_3125 @[el2_lsu_bus_buffer.scala 439:16] - buf_rspage[2] <= _T_3152 @[el2_lsu_bus_buffer.scala 439:16] - buf_rspage[3] <= _T_3179 @[el2_lsu_bus_buffer.scala 439:16] - node _T_3180 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:77] - node _T_3181 = and(ibuf_drain_vld, _T_3180) @[el2_lsu_bus_buffer.scala 444:65] - node _T_3182 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:77] - node _T_3183 = and(ibuf_drain_vld, _T_3182) @[el2_lsu_bus_buffer.scala 444:65] - node _T_3184 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:77] - node _T_3185 = and(ibuf_drain_vld, _T_3184) @[el2_lsu_bus_buffer.scala 444:65] - node _T_3186 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:77] - node _T_3187 = and(ibuf_drain_vld, _T_3186) @[el2_lsu_bus_buffer.scala 444:65] - node _T_3188 = cat(_T_3187, _T_3185) @[Cat.scala 29:58] - node _T_3189 = cat(_T_3188, _T_3183) @[Cat.scala 29:58] - node _T_3190 = cat(_T_3189, _T_3181) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3190 @[el2_lsu_bus_buffer.scala 444:23] - node _T_3191 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:66] - node _T_3192 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3193 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] - node _T_3194 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:134] - node _T_3195 = and(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 445:123] - node _T_3196 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] - node _T_3197 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] - node _T_3198 = mux(_T_3195, _T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 445:96] - node _T_3199 = mux(_T_3191, _T_3192, _T_3198) @[el2_lsu_bus_buffer.scala 445:48] - node _T_3200 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:66] - node _T_3201 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3202 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] - node _T_3203 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:134] - node _T_3204 = and(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 445:123] - node _T_3205 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] - node _T_3206 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] - node _T_3207 = mux(_T_3204, _T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 445:96] - node _T_3208 = mux(_T_3200, _T_3201, _T_3207) @[el2_lsu_bus_buffer.scala 445:48] - node _T_3209 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:66] - node _T_3210 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3211 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] - node _T_3212 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:134] - node _T_3213 = and(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 445:123] - node _T_3214 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] - node _T_3215 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] - node _T_3216 = mux(_T_3213, _T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 445:96] - node _T_3217 = mux(_T_3209, _T_3210, _T_3216) @[el2_lsu_bus_buffer.scala 445:48] - node _T_3218 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:66] - node _T_3219 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3220 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] - node _T_3221 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:134] - node _T_3222 = and(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 445:123] - node _T_3223 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] - node _T_3224 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] - node _T_3225 = mux(_T_3222, _T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 445:96] - node _T_3226 = mux(_T_3218, _T_3219, _T_3225) @[el2_lsu_bus_buffer.scala 445:48] - buf_byteen_in[0] <= _T_3199 @[el2_lsu_bus_buffer.scala 445:19] - buf_byteen_in[1] <= _T_3208 @[el2_lsu_bus_buffer.scala 445:19] - buf_byteen_in[2] <= _T_3217 @[el2_lsu_bus_buffer.scala 445:19] - buf_byteen_in[3] <= _T_3226 @[el2_lsu_bus_buffer.scala 445:19] - node _T_3227 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:64] - node _T_3228 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] - node _T_3229 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:121] - node _T_3230 = and(_T_3228, _T_3229) @[el2_lsu_bus_buffer.scala 446:110] - node _T_3231 = mux(_T_3230, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] - node _T_3232 = mux(_T_3227, ibuf_addr, _T_3231) @[el2_lsu_bus_buffer.scala 446:46] - node _T_3233 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:64] - node _T_3234 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] - node _T_3235 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:121] - node _T_3236 = and(_T_3234, _T_3235) @[el2_lsu_bus_buffer.scala 446:110] - node _T_3237 = mux(_T_3236, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] - node _T_3238 = mux(_T_3233, ibuf_addr, _T_3237) @[el2_lsu_bus_buffer.scala 446:46] - node _T_3239 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] - node _T_3240 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] - node _T_3241 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:121] - node _T_3242 = and(_T_3240, _T_3241) @[el2_lsu_bus_buffer.scala 446:110] - node _T_3243 = mux(_T_3242, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] - node _T_3244 = mux(_T_3239, ibuf_addr, _T_3243) @[el2_lsu_bus_buffer.scala 446:46] - node _T_3245 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:64] - node _T_3246 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] - node _T_3247 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:121] - node _T_3248 = and(_T_3246, _T_3247) @[el2_lsu_bus_buffer.scala 446:110] - node _T_3249 = mux(_T_3248, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] - node _T_3250 = mux(_T_3245, ibuf_addr, _T_3249) @[el2_lsu_bus_buffer.scala 446:46] - buf_addr_in[0] <= _T_3232 @[el2_lsu_bus_buffer.scala 446:17] - buf_addr_in[1] <= _T_3238 @[el2_lsu_bus_buffer.scala 446:17] - buf_addr_in[2] <= _T_3244 @[el2_lsu_bus_buffer.scala 446:17] - buf_addr_in[3] <= _T_3250 @[el2_lsu_bus_buffer.scala 446:17] - node _T_3251 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3252 = mux(_T_3251, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] - node _T_3253 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3254 = mux(_T_3253, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] - node _T_3255 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3256 = mux(_T_3255, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] - node _T_3257 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3258 = mux(_T_3257, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] - node _T_3259 = cat(_T_3258, _T_3256) @[Cat.scala 29:58] - node _T_3260 = cat(_T_3259, _T_3254) @[Cat.scala 29:58] - node _T_3261 = cat(_T_3260, _T_3252) @[Cat.scala 29:58] - buf_dual_in <= _T_3261 @[el2_lsu_bus_buffer.scala 447:17] - node _T_3262 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3263 = mux(_T_3262, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3264 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3265 = mux(_T_3264, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3267 = mux(_T_3266, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3268 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3269 = mux(_T_3268, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3270 = cat(_T_3269, _T_3267) @[Cat.scala 29:58] - node _T_3271 = cat(_T_3270, _T_3265) @[Cat.scala 29:58] - node _T_3272 = cat(_T_3271, _T_3263) @[Cat.scala 29:58] - buf_samedw_in <= _T_3272 @[el2_lsu_bus_buffer.scala 448:19] - node _T_3273 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:68] - node _T_3274 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] - node _T_3275 = mux(_T_3273, _T_3274, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] - node _T_3276 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:68] - node _T_3277 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] - node _T_3278 = mux(_T_3276, _T_3277, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] - node _T_3279 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:68] - node _T_3280 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] - node _T_3281 = mux(_T_3279, _T_3280, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] - node _T_3282 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:68] - node _T_3283 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] - node _T_3284 = mux(_T_3282, _T_3283, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] - node _T_3285 = cat(_T_3284, _T_3281) @[Cat.scala 29:58] - node _T_3286 = cat(_T_3285, _T_3278) @[Cat.scala 29:58] - node _T_3287 = cat(_T_3286, _T_3275) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3287 @[el2_lsu_bus_buffer.scala 449:20] - node _T_3288 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3289 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3290 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:120] - node _T_3291 = and(_T_3289, _T_3290) @[el2_lsu_bus_buffer.scala 450:109] - node _T_3292 = mux(_T_3288, ibuf_dual, _T_3291) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3293 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3294 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3295 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:120] - node _T_3296 = and(_T_3294, _T_3295) @[el2_lsu_bus_buffer.scala 450:109] - node _T_3297 = mux(_T_3293, ibuf_dual, _T_3296) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3298 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3299 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3300 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:120] - node _T_3301 = and(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 450:109] - node _T_3302 = mux(_T_3298, ibuf_dual, _T_3301) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3303 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3304 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3305 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:120] - node _T_3306 = and(_T_3304, _T_3305) @[el2_lsu_bus_buffer.scala 450:109] - node _T_3307 = mux(_T_3303, ibuf_dual, _T_3306) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3308 = cat(_T_3307, _T_3302) @[Cat.scala 29:58] - node _T_3309 = cat(_T_3308, _T_3297) @[Cat.scala 29:58] - node _T_3310 = cat(_T_3309, _T_3292) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3310 @[el2_lsu_bus_buffer.scala 450:19] - node _T_3311 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3312 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] - node _T_3313 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:127] - node _T_3314 = and(_T_3312, _T_3313) @[el2_lsu_bus_buffer.scala 451:116] - node _T_3315 = mux(_T_3314, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] - node _T_3316 = mux(_T_3311, ibuf_dualtag, _T_3315) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3317 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3318 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] - node _T_3319 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:127] - node _T_3320 = and(_T_3318, _T_3319) @[el2_lsu_bus_buffer.scala 451:116] - node _T_3321 = mux(_T_3320, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] - node _T_3322 = mux(_T_3317, ibuf_dualtag, _T_3321) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3324 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] - node _T_3325 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:127] - node _T_3326 = and(_T_3324, _T_3325) @[el2_lsu_bus_buffer.scala 451:116] - node _T_3327 = mux(_T_3326, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] - node _T_3328 = mux(_T_3323, ibuf_dualtag, _T_3327) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3329 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3330 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] - node _T_3331 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:127] - node _T_3332 = and(_T_3330, _T_3331) @[el2_lsu_bus_buffer.scala 451:116] - node _T_3333 = mux(_T_3332, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] - node _T_3334 = mux(_T_3329, ibuf_dualtag, _T_3333) @[el2_lsu_bus_buffer.scala 451:49] - buf_dualtag_in[0] <= _T_3316 @[el2_lsu_bus_buffer.scala 451:20] - buf_dualtag_in[1] <= _T_3322 @[el2_lsu_bus_buffer.scala 451:20] - buf_dualtag_in[2] <= _T_3328 @[el2_lsu_bus_buffer.scala 451:20] - buf_dualtag_in[3] <= _T_3334 @[el2_lsu_bus_buffer.scala 451:20] - node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:71] - node _T_3336 = mux(_T_3335, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] - node _T_3337 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:71] - node _T_3338 = mux(_T_3337, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] - node _T_3339 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:71] - node _T_3340 = mux(_T_3339, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] - node _T_3341 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:71] - node _T_3342 = mux(_T_3341, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] - node _T_3343 = cat(_T_3342, _T_3340) @[Cat.scala 29:58] - node _T_3344 = cat(_T_3343, _T_3338) @[Cat.scala 29:58] - node _T_3345 = cat(_T_3344, _T_3336) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3345 @[el2_lsu_bus_buffer.scala 452:23] - node _T_3346 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3347 = mux(_T_3346, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3349 = mux(_T_3348, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3350 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3351 = mux(_T_3350, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3352 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3353 = mux(_T_3352, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3354 = cat(_T_3353, _T_3351) @[Cat.scala 29:58] - node _T_3355 = cat(_T_3354, _T_3349) @[Cat.scala 29:58] - node _T_3356 = cat(_T_3355, _T_3347) @[Cat.scala 29:58] - buf_unsign_in <= _T_3356 @[el2_lsu_bus_buffer.scala 453:19] - node _T_3357 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:62] - node _T_3358 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3359 = mux(_T_3357, ibuf_sz, _T_3358) @[el2_lsu_bus_buffer.scala 454:44] - node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:62] - node _T_3361 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3362 = mux(_T_3360, ibuf_sz, _T_3361) @[el2_lsu_bus_buffer.scala 454:44] - node _T_3363 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:62] - node _T_3364 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3365 = mux(_T_3363, ibuf_sz, _T_3364) @[el2_lsu_bus_buffer.scala 454:44] - node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:62] - node _T_3367 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3368 = mux(_T_3366, ibuf_sz, _T_3367) @[el2_lsu_bus_buffer.scala 454:44] - buf_sz_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 454:15] - buf_sz_in[1] <= _T_3362 @[el2_lsu_bus_buffer.scala 454:15] - buf_sz_in[2] <= _T_3365 @[el2_lsu_bus_buffer.scala 454:15] - buf_sz_in[3] <= _T_3368 @[el2_lsu_bus_buffer.scala 454:15] - node _T_3369 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:66] - node _T_3370 = mux(_T_3369, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] - node _T_3371 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:66] - node _T_3372 = mux(_T_3371, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] - node _T_3373 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:66] - node _T_3374 = mux(_T_3373, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] - node _T_3375 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:66] - node _T_3376 = mux(_T_3375, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] - node _T_3377 = cat(_T_3376, _T_3374) @[Cat.scala 29:58] - node _T_3378 = cat(_T_3377, _T_3372) @[Cat.scala 29:58] - node _T_3379 = cat(_T_3378, _T_3370) @[Cat.scala 29:58] - buf_write_in <= _T_3379 @[el2_lsu_bus_buffer.scala 455:18] - node _T_3380 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3380 : @[Conditional.scala 40:58] - node _T_3381 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] - node _T_3382 = mux(_T_3381, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] - buf_nxtstate[0] <= _T_3382 @[el2_lsu_bus_buffer.scala 460:25] - node _T_3383 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] - node _T_3384 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3385 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] - node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 461:95] - node _T_3387 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] - node _T_3388 = and(_T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 461:112] - node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] - node _T_3390 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] - node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 461:161] - node _T_3392 = or(_T_3388, _T_3391) @[el2_lsu_bus_buffer.scala 461:132] - node _T_3393 = and(_T_3383, _T_3392) @[el2_lsu_bus_buffer.scala 461:63] - node _T_3394 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] - node _T_3395 = and(ibuf_drain_vld, _T_3394) @[el2_lsu_bus_buffer.scala 461:201] - node _T_3396 = or(_T_3393, _T_3395) @[el2_lsu_bus_buffer.scala 461:183] - buf_state_en[0] <= _T_3396 @[el2_lsu_bus_buffer.scala 461:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 462:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 463:24] - node _T_3397 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] - node _T_3398 = and(ibuf_drain_vld, _T_3397) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3399 = bits(_T_3398, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] - node _T_3400 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] - node _T_3401 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] - node _T_3402 = mux(_T_3399, _T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 464:30] - buf_data_in[0] <= _T_3402 @[el2_lsu_bus_buffer.scala 464:24] + node _T_1912 = cat(_T_1911, _T_1891) @[Cat.scala 29:58] + CmdPtr0Dec <= _T_1912 @[el2_lsu_bus_buffer.scala 403:14] + node _T_1913 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 404:59] + node _T_1914 = and(buf_age[0], _T_1913) @[el2_lsu_bus_buffer.scala 404:56] + node _T_1915 = orr(_T_1914) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1916 = eq(_T_1915, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1917 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 404:91] + node _T_1918 = eq(_T_1917, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:80] + node _T_1919 = and(_T_1916, _T_1918) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1920 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:110] + node _T_1921 = and(_T_1919, _T_1920) @[el2_lsu_bus_buffer.scala 404:95] + node _T_1922 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:122] + node _T_1923 = and(_T_1921, _T_1922) @[el2_lsu_bus_buffer.scala 404:120] + node _T_1924 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 404:59] + node _T_1925 = and(buf_age[1], _T_1924) @[el2_lsu_bus_buffer.scala 404:56] + node _T_1926 = orr(_T_1925) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1928 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 404:91] + node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:80] + node _T_1930 = and(_T_1927, _T_1929) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1931 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:110] + node _T_1932 = and(_T_1930, _T_1931) @[el2_lsu_bus_buffer.scala 404:95] + node _T_1933 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:122] + node _T_1934 = and(_T_1932, _T_1933) @[el2_lsu_bus_buffer.scala 404:120] + node _T_1935 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 404:59] + node _T_1936 = and(buf_age[2], _T_1935) @[el2_lsu_bus_buffer.scala 404:56] + node _T_1937 = orr(_T_1936) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1938 = eq(_T_1937, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1939 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 404:91] + node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:80] + node _T_1941 = and(_T_1938, _T_1940) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1942 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:110] + node _T_1943 = and(_T_1941, _T_1942) @[el2_lsu_bus_buffer.scala 404:95] + node _T_1944 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:122] + node _T_1945 = and(_T_1943, _T_1944) @[el2_lsu_bus_buffer.scala 404:120] + node _T_1946 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 404:59] + node _T_1947 = and(buf_age[3], _T_1946) @[el2_lsu_bus_buffer.scala 404:56] + node _T_1948 = orr(_T_1947) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1949 = eq(_T_1948, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1950 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 404:91] + node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:80] + node _T_1952 = and(_T_1949, _T_1951) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1953 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:110] + node _T_1954 = and(_T_1952, _T_1953) @[el2_lsu_bus_buffer.scala 404:95] + node _T_1955 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:122] + node _T_1956 = and(_T_1954, _T_1955) @[el2_lsu_bus_buffer.scala 404:120] + node _T_1957 = cat(_T_1956, _T_1945) @[Cat.scala 29:58] + node _T_1958 = cat(_T_1957, _T_1934) @[Cat.scala 29:58] + node _T_1959 = cat(_T_1958, _T_1923) @[Cat.scala 29:58] + CmdPtr1Dec <= _T_1959 @[el2_lsu_bus_buffer.scala 404:14] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 405:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 406:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 406:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 406:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 406:19] + wire RspPtrDec : UInt<8> + RspPtrDec <= UInt<1>("h00") + node _T_1960 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 408:62] + node _T_1961 = eq(_T_1960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:41] + node _T_1962 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:82] + node _T_1963 = and(_T_1961, _T_1962) @[el2_lsu_bus_buffer.scala 408:67] + node _T_1964 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 408:62] + node _T_1965 = eq(_T_1964, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:41] + node _T_1966 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:82] + node _T_1967 = and(_T_1965, _T_1966) @[el2_lsu_bus_buffer.scala 408:67] + node _T_1968 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 408:62] + node _T_1969 = eq(_T_1968, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:41] + node _T_1970 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:82] + node _T_1971 = and(_T_1969, _T_1970) @[el2_lsu_bus_buffer.scala 408:67] + node _T_1972 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 408:62] + node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:41] + node _T_1974 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:82] + node _T_1975 = and(_T_1973, _T_1974) @[el2_lsu_bus_buffer.scala 408:67] + node _T_1976 = cat(_T_1975, _T_1971) @[Cat.scala 29:58] + node _T_1977 = cat(_T_1976, _T_1967) @[Cat.scala 29:58] + node _T_1978 = cat(_T_1977, _T_1963) @[Cat.scala 29:58] + RspPtrDec <= _T_1978 @[el2_lsu_bus_buffer.scala 408:13] + node _T_1979 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 409:31] + found_cmdptr0 <= _T_1979 @[el2_lsu_bus_buffer.scala 409:17] + node _T_1980 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 410:31] + found_cmdptr1 <= _T_1980 @[el2_lsu_bus_buffer.scala 410:17] + node _T_1981 = bits(CmdPtr0Dec, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] + node _T_1982 = bits(CmdPtr0Dec, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] + node _T_1983 = or(_T_1981, _T_1982) @[el2_lsu_bus_buffer.scala 412:42] + node _T_1984 = bits(CmdPtr0Dec, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] + node _T_1985 = or(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 412:48] + node _T_1986 = bits(CmdPtr0Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] + node _T_1987 = or(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 412:54] + node _T_1988 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] + node _T_1989 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] + node _T_1990 = or(_T_1988, _T_1989) @[el2_lsu_bus_buffer.scala 412:67] + node _T_1991 = bits(CmdPtr0Dec, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] + node _T_1992 = or(_T_1990, _T_1991) @[el2_lsu_bus_buffer.scala 412:73] + node _T_1993 = bits(CmdPtr0Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] + node _T_1994 = or(_T_1992, _T_1993) @[el2_lsu_bus_buffer.scala 412:79] + node _T_1995 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] + node _T_1996 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] + node _T_1997 = or(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 412:92] + node _T_1998 = bits(CmdPtr0Dec, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] + node _T_1999 = or(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 412:98] + node _T_2000 = bits(CmdPtr0Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] + node _T_2001 = or(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 412:104] + node _T_2002 = cat(_T_1987, _T_1994) @[Cat.scala 29:58] + node CmdPtr0 = cat(_T_2002, _T_2001) @[Cat.scala 29:58] + io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 415:11] + node _T_2003 = bits(CmdPtr1Dec, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] + node _T_2004 = bits(CmdPtr1Dec, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] + node _T_2005 = or(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 412:42] + node _T_2006 = bits(CmdPtr1Dec, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] + node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 412:48] + node _T_2008 = bits(CmdPtr1Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] + node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 412:54] + node _T_2010 = bits(CmdPtr1Dec, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] + node _T_2011 = bits(CmdPtr1Dec, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] + node _T_2012 = or(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 412:67] + node _T_2013 = bits(CmdPtr1Dec, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] + node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 412:73] + node _T_2015 = bits(CmdPtr1Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] + node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 412:79] + node _T_2017 = bits(CmdPtr1Dec, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] + node _T_2018 = bits(CmdPtr1Dec, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] + node _T_2019 = or(_T_2017, _T_2018) @[el2_lsu_bus_buffer.scala 412:92] + node _T_2020 = bits(CmdPtr1Dec, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] + node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 412:98] + node _T_2022 = bits(CmdPtr1Dec, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] + node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 412:104] + node _T_2024 = cat(_T_2009, _T_2016) @[Cat.scala 29:58] + node CmdPtr1 = cat(_T_2024, _T_2023) @[Cat.scala 29:58] + node _T_2025 = bits(RspPtrDec, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] + node _T_2026 = bits(RspPtrDec, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] + node _T_2027 = or(_T_2025, _T_2026) @[el2_lsu_bus_buffer.scala 412:42] + node _T_2028 = bits(RspPtrDec, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] + node _T_2029 = or(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 412:48] + node _T_2030 = bits(RspPtrDec, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] + node _T_2031 = or(_T_2029, _T_2030) @[el2_lsu_bus_buffer.scala 412:54] + node _T_2032 = bits(RspPtrDec, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] + node _T_2033 = bits(RspPtrDec, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] + node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 412:67] + node _T_2035 = bits(RspPtrDec, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] + node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 412:73] + node _T_2037 = bits(RspPtrDec, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] + node _T_2038 = or(_T_2036, _T_2037) @[el2_lsu_bus_buffer.scala 412:79] + node _T_2039 = bits(RspPtrDec, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] + node _T_2040 = bits(RspPtrDec, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] + node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 412:92] + node _T_2042 = bits(RspPtrDec, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 412:98] + node _T_2044 = bits(RspPtrDec, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] + node _T_2045 = or(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 412:104] + node _T_2046 = cat(_T_2031, _T_2038) @[Cat.scala 29:58] + node RspPtr = cat(_T_2046, _T_2045) @[Cat.scala 29:58] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 418:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 420:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 422:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 424:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:14] + node _T_2047 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2048 = and(_T_2047, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2049 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2050 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2051 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2053 = or(_T_2049, _T_2052) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2054 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2055 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2056 = and(_T_2054, _T_2055) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2057 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2059 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2060 = and(_T_2058, _T_2059) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2061 = or(_T_2053, _T_2060) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2062 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2063 = and(_T_2062, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2064 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2065 = and(_T_2063, _T_2064) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2066 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2067 = and(_T_2065, _T_2066) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2068 = or(_T_2061, _T_2067) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2069 = and(_T_2048, _T_2068) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2070 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2071 = or(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2072 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2073 = and(_T_2072, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2074 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2075 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2076 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2077 = and(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2078 = or(_T_2074, _T_2077) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2079 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2080 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2081 = and(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2082 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2084 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2085 = and(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2086 = or(_T_2078, _T_2085) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2087 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2088 = and(_T_2087, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2089 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2090 = and(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2091 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2092 = and(_T_2090, _T_2091) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2093 = or(_T_2086, _T_2092) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2094 = and(_T_2073, _T_2093) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2095 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2096 = or(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2097 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2098 = and(_T_2097, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2099 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2100 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2101 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2102 = and(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2103 = or(_T_2099, _T_2102) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2104 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2105 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2106 = and(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2107 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2109 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2110 = and(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2111 = or(_T_2103, _T_2110) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2112 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2113 = and(_T_2112, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2114 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2115 = and(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2116 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2117 = and(_T_2115, _T_2116) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2118 = or(_T_2111, _T_2117) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2119 = and(_T_2098, _T_2118) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2120 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2121 = or(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2122 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2123 = and(_T_2122, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2124 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2125 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2126 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2127 = and(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2128 = or(_T_2124, _T_2127) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2129 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2130 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2131 = and(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2132 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2134 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2135 = and(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2136 = or(_T_2128, _T_2135) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2137 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2138 = and(_T_2137, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2139 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2140 = and(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2141 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2142 = and(_T_2140, _T_2141) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2143 = or(_T_2136, _T_2142) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2144 = and(_T_2123, _T_2143) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2145 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2146 = or(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2147 = cat(_T_2146, _T_2121) @[Cat.scala 29:58] + node _T_2148 = cat(_T_2147, _T_2096) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2148, _T_2071) @[Cat.scala 29:58] + node _T_2149 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2150 = and(_T_2149, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2151 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2152 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2153 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2155 = or(_T_2151, _T_2154) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2159 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2161 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2162 = and(_T_2160, _T_2161) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2163 = or(_T_2155, _T_2162) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2165 = and(_T_2164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2166 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2167 = and(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2168 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2169 = and(_T_2167, _T_2168) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2170 = or(_T_2163, _T_2169) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2171 = and(_T_2150, _T_2170) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2172 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2173 = or(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2174 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2175 = and(_T_2174, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2176 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2177 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2178 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2180 = or(_T_2176, _T_2179) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2181 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2182 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2184 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2186 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2187 = and(_T_2185, _T_2186) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2188 = or(_T_2180, _T_2187) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2189 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2190 = and(_T_2189, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2191 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2192 = and(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2193 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2194 = and(_T_2192, _T_2193) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2195 = or(_T_2188, _T_2194) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2196 = and(_T_2175, _T_2195) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2197 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2198 = or(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2199 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2200 = and(_T_2199, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2201 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2202 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2203 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2205 = or(_T_2201, _T_2204) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2206 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2207 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2209 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2211 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2212 = and(_T_2210, _T_2211) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2213 = or(_T_2205, _T_2212) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2214 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2215 = and(_T_2214, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2216 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2217 = and(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2218 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2219 = and(_T_2217, _T_2218) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2220 = or(_T_2213, _T_2219) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2221 = and(_T_2200, _T_2220) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2222 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2223 = or(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2224 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2225 = and(_T_2224, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2226 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2227 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2228 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2230 = or(_T_2226, _T_2229) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2231 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2232 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2234 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2236 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2237 = and(_T_2235, _T_2236) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2238 = or(_T_2230, _T_2237) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2239 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2240 = and(_T_2239, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2241 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2242 = and(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2243 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2244 = and(_T_2242, _T_2243) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2245 = or(_T_2238, _T_2244) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2246 = and(_T_2225, _T_2245) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2247 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2248 = or(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2249 = cat(_T_2248, _T_2223) @[Cat.scala 29:58] + node _T_2250 = cat(_T_2249, _T_2198) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2250, _T_2173) @[Cat.scala 29:58] + node _T_2251 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2252 = and(_T_2251, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2253 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2254 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2255 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2257 = or(_T_2253, _T_2256) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2258 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2259 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2261 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2263 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2264 = and(_T_2262, _T_2263) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2265 = or(_T_2257, _T_2264) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2266 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2267 = and(_T_2266, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2268 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2269 = and(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2270 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2271 = and(_T_2269, _T_2270) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2272 = or(_T_2265, _T_2271) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2273 = and(_T_2252, _T_2272) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2274 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2275 = or(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2277 = and(_T_2276, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2278 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2279 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2280 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2282 = or(_T_2278, _T_2281) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2283 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2284 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2286 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2288 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2289 = and(_T_2287, _T_2288) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2290 = or(_T_2282, _T_2289) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2291 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2292 = and(_T_2291, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2293 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2294 = and(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2295 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2296 = and(_T_2294, _T_2295) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2297 = or(_T_2290, _T_2296) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2298 = and(_T_2277, _T_2297) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2299 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2300 = or(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2301 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2302 = and(_T_2301, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2303 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2304 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2305 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2307 = or(_T_2303, _T_2306) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2308 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2309 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2311 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2313 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2314 = and(_T_2312, _T_2313) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2315 = or(_T_2307, _T_2314) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2316 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2317 = and(_T_2316, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2318 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2319 = and(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2320 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2321 = and(_T_2319, _T_2320) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2322 = or(_T_2315, _T_2321) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2323 = and(_T_2302, _T_2322) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2324 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2325 = or(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2326 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2327 = and(_T_2326, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2328 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2329 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2330 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2332 = or(_T_2328, _T_2331) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2333 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2334 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2336 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2338 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2339 = and(_T_2337, _T_2338) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2340 = or(_T_2332, _T_2339) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2341 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2342 = and(_T_2341, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2343 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2344 = and(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2345 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2346 = and(_T_2344, _T_2345) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2347 = or(_T_2340, _T_2346) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2348 = and(_T_2327, _T_2347) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2349 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2350 = or(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2351 = cat(_T_2350, _T_2325) @[Cat.scala 29:58] + node _T_2352 = cat(_T_2351, _T_2300) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2352, _T_2275) @[Cat.scala 29:58] + node _T_2353 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2354 = and(_T_2353, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2355 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2356 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2357 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2359 = or(_T_2355, _T_2358) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2360 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2361 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2363 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2365 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2366 = and(_T_2364, _T_2365) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2367 = or(_T_2359, _T_2366) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2368 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2369 = and(_T_2368, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2370 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2371 = and(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2372 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2373 = and(_T_2371, _T_2372) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2374 = or(_T_2367, _T_2373) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2375 = and(_T_2354, _T_2374) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2376 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2377 = or(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2378 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2379 = and(_T_2378, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2380 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2381 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2382 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2384 = or(_T_2380, _T_2383) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2385 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2386 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2388 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2390 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2391 = and(_T_2389, _T_2390) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2392 = or(_T_2384, _T_2391) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2393 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2394 = and(_T_2393, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2395 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2396 = and(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2397 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2398 = and(_T_2396, _T_2397) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2399 = or(_T_2392, _T_2398) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2400 = and(_T_2379, _T_2399) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2401 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2402 = or(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2403 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2404 = and(_T_2403, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2405 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2406 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2407 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2409 = or(_T_2405, _T_2408) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2410 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2411 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2413 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2415 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2416 = and(_T_2414, _T_2415) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2417 = or(_T_2409, _T_2416) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2418 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2419 = and(_T_2418, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2420 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2421 = and(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2422 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2423 = and(_T_2421, _T_2422) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2424 = or(_T_2417, _T_2423) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2425 = and(_T_2404, _T_2424) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2426 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2427 = or(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2428 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:83] + node _T_2429 = and(_T_2428, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2430 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 430:20] + node _T_2431 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:47] + node _T_2432 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 430:57] + node _T_2434 = or(_T_2430, _T_2433) @[el2_lsu_bus_buffer.scala 430:31] + node _T_2435 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 431:23] + node _T_2436 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 431:53] + node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 431:41] + node _T_2438 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 431:71] + node _T_2440 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2441 = and(_T_2439, _T_2440) @[el2_lsu_bus_buffer.scala 431:92] + node _T_2442 = or(_T_2434, _T_2441) @[el2_lsu_bus_buffer.scala 430:86] + node _T_2443 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:17] + node _T_2444 = and(_T_2443, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:35] + node _T_2445 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:64] + node _T_2446 = and(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 432:52] + node _T_2447 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2448 = and(_T_2446, _T_2447) @[el2_lsu_bus_buffer.scala 432:73] + node _T_2449 = or(_T_2442, _T_2448) @[el2_lsu_bus_buffer.scala 431:114] + node _T_2450 = and(_T_2429, _T_2449) @[el2_lsu_bus_buffer.scala 429:113] + node _T_2451 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 432:109] + node _T_2452 = or(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 432:97] + node _T_2453 = cat(_T_2452, _T_2427) @[Cat.scala 29:58] + node _T_2454 = cat(_T_2453, _T_2402) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2454, _T_2377) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 433:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:12] + node _T_2455 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2456 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2457 = and(_T_2456, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2458 = and(_T_2455, _T_2457) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2459 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2460 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2461 = and(_T_2460, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2462 = and(_T_2459, _T_2461) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2463 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2464 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2465 = and(_T_2464, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2466 = and(_T_2463, _T_2465) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2467 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2468 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2469 = and(_T_2468, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2470 = and(_T_2467, _T_2469) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2471 = cat(_T_2470, _T_2466) @[Cat.scala 29:58] + node _T_2472 = cat(_T_2471, _T_2462) @[Cat.scala 29:58] + node _T_2473 = cat(_T_2472, _T_2458) @[Cat.scala 29:58] + node _T_2474 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2475 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2476 = and(_T_2475, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2477 = and(_T_2474, _T_2476) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2478 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2479 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2480 = and(_T_2479, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2481 = and(_T_2478, _T_2480) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2482 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2483 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2485 = and(_T_2482, _T_2484) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2486 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2487 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2488 = and(_T_2487, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2489 = and(_T_2486, _T_2488) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2490 = cat(_T_2489, _T_2485) @[Cat.scala 29:58] + node _T_2491 = cat(_T_2490, _T_2481) @[Cat.scala 29:58] + node _T_2492 = cat(_T_2491, _T_2477) @[Cat.scala 29:58] + node _T_2493 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2494 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2495 = and(_T_2494, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2496 = and(_T_2493, _T_2495) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2497 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2498 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2499 = and(_T_2498, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2500 = and(_T_2497, _T_2499) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2501 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2502 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2503 = and(_T_2502, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2504 = and(_T_2501, _T_2503) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2505 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2506 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2507 = and(_T_2506, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2508 = and(_T_2505, _T_2507) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2509 = cat(_T_2508, _T_2504) @[Cat.scala 29:58] + node _T_2510 = cat(_T_2509, _T_2500) @[Cat.scala 29:58] + node _T_2511 = cat(_T_2510, _T_2496) @[Cat.scala 29:58] + node _T_2512 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2513 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2514 = and(_T_2513, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2515 = and(_T_2512, _T_2514) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2516 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2517 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2518 = and(_T_2517, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2519 = and(_T_2516, _T_2518) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2520 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2521 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2523 = and(_T_2520, _T_2522) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2524 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 435:74] + node _T_2525 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 435:94] + node _T_2526 = and(_T_2525, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2527 = and(_T_2524, _T_2526) @[el2_lsu_bus_buffer.scala 435:78] + node _T_2528 = cat(_T_2527, _T_2523) @[Cat.scala 29:58] + node _T_2529 = cat(_T_2528, _T_2519) @[Cat.scala 29:58] + node _T_2530 = cat(_T_2529, _T_2515) @[Cat.scala 29:58] + buf_age[0] <= _T_2473 @[el2_lsu_bus_buffer.scala 435:13] + buf_age[1] <= _T_2492 @[el2_lsu_bus_buffer.scala 435:13] + buf_age[2] <= _T_2511 @[el2_lsu_bus_buffer.scala 435:13] + buf_age[3] <= _T_2530 @[el2_lsu_bus_buffer.scala 435:13] + node _T_2531 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2532 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2533 = eq(_T_2532, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2534 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2536 = mux(_T_2531, UInt<1>("h00"), _T_2535) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2538 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2540 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2543 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2544 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2546 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2550 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2552 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2555 = cat(_T_2554, _T_2548) @[Cat.scala 29:58] + node _T_2556 = cat(_T_2555, _T_2542) @[Cat.scala 29:58] + node _T_2557 = cat(_T_2556, _T_2536) @[Cat.scala 29:58] + node _T_2558 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2559 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2560 = eq(_T_2559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2561 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2562 = and(_T_2560, _T_2561) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2563 = mux(_T_2558, UInt<1>("h00"), _T_2562) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2565 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2567 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2570 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2571 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2573 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2577 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2579 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2582 = cat(_T_2581, _T_2575) @[Cat.scala 29:58] + node _T_2583 = cat(_T_2582, _T_2569) @[Cat.scala 29:58] + node _T_2584 = cat(_T_2583, _T_2563) @[Cat.scala 29:58] + node _T_2585 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2586 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2588 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2589 = and(_T_2587, _T_2588) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2590 = mux(_T_2585, UInt<1>("h00"), _T_2589) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2592 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2594 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2597 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2598 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2600 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2604 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2606 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2609 = cat(_T_2608, _T_2602) @[Cat.scala 29:58] + node _T_2610 = cat(_T_2609, _T_2596) @[Cat.scala 29:58] + node _T_2611 = cat(_T_2610, _T_2590) @[Cat.scala 29:58] + node _T_2612 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2613 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2614 = eq(_T_2613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2615 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2616 = and(_T_2614, _T_2615) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2617 = mux(_T_2612, UInt<1>("h00"), _T_2616) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2619 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2621 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2624 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2625 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2627 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2631 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:91] + node _T_2633 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 436:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 436:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2636 = cat(_T_2635, _T_2629) @[Cat.scala 29:58] + node _T_2637 = cat(_T_2636, _T_2623) @[Cat.scala 29:58] + node _T_2638 = cat(_T_2637, _T_2617) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2557 @[el2_lsu_bus_buffer.scala 436:21] + buf_age_younger[1] <= _T_2584 @[el2_lsu_bus_buffer.scala 436:21] + buf_age_younger[2] <= _T_2611 @[el2_lsu_bus_buffer.scala 436:21] + buf_age_younger[3] <= _T_2638 @[el2_lsu_bus_buffer.scala 436:21] + node _T_2639 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2640 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2641 = and(_T_2639, _T_2640) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2642 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2643 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2645 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2646 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2648 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2649 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2651 = cat(_T_2650, _T_2647) @[Cat.scala 29:58] + node _T_2652 = cat(_T_2651, _T_2644) @[Cat.scala 29:58] + node _T_2653 = cat(_T_2652, _T_2641) @[Cat.scala 29:58] + node _T_2654 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2655 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2657 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2658 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2660 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2661 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2663 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2664 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2666 = cat(_T_2665, _T_2662) @[Cat.scala 29:58] + node _T_2667 = cat(_T_2666, _T_2659) @[Cat.scala 29:58] + node _T_2668 = cat(_T_2667, _T_2656) @[Cat.scala 29:58] + node _T_2669 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2670 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2672 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2673 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2675 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2676 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2678 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2679 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2681 = cat(_T_2680, _T_2677) @[Cat.scala 29:58] + node _T_2682 = cat(_T_2681, _T_2674) @[Cat.scala 29:58] + node _T_2683 = cat(_T_2682, _T_2671) @[Cat.scala 29:58] + node _T_2684 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2685 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2687 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2688 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2690 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2691 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2693 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:85] + node _T_2694 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2696 = cat(_T_2695, _T_2692) @[Cat.scala 29:58] + node _T_2697 = cat(_T_2696, _T_2689) @[Cat.scala 29:58] + node _T_2698 = cat(_T_2697, _T_2686) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2653 @[el2_lsu_bus_buffer.scala 437:21] + buf_rsp_pickage[1] <= _T_2668 @[el2_lsu_bus_buffer.scala 437:21] + buf_rsp_pickage[2] <= _T_2683 @[el2_lsu_bus_buffer.scala 437:21] + buf_rsp_pickage[3] <= _T_2698 @[el2_lsu_bus_buffer.scala 437:21] + node _T_2699 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2700 = and(_T_2699, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2701 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2702 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2703 = or(_T_2701, _T_2702) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2704 = eq(_T_2703, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2705 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2706 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2708 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2710 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2711 = and(_T_2709, _T_2710) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2712 = or(_T_2704, _T_2711) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2713 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2714 = and(_T_2713, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2715 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2716 = and(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2717 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2718 = and(_T_2716, _T_2717) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2719 = or(_T_2712, _T_2718) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2720 = and(_T_2700, _T_2719) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2721 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2722 = and(_T_2721, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2723 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2724 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2725 = or(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2726 = eq(_T_2725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2727 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2728 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2729 = and(_T_2727, _T_2728) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2730 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2732 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2733 = and(_T_2731, _T_2732) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2734 = or(_T_2726, _T_2733) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2735 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2736 = and(_T_2735, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2737 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2738 = and(_T_2736, _T_2737) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2739 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2741 = or(_T_2734, _T_2740) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2742 = and(_T_2722, _T_2741) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2743 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2744 = and(_T_2743, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2745 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2746 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2747 = or(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2748 = eq(_T_2747, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2749 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2750 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2751 = and(_T_2749, _T_2750) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2752 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2754 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2755 = and(_T_2753, _T_2754) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2756 = or(_T_2748, _T_2755) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2757 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2758 = and(_T_2757, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2759 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2760 = and(_T_2758, _T_2759) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2761 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2763 = or(_T_2756, _T_2762) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2764 = and(_T_2744, _T_2763) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2765 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2766 = and(_T_2765, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2767 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2768 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2769 = or(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2770 = eq(_T_2769, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2771 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2772 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2774 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2775 = and(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2776 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2778 = or(_T_2770, _T_2777) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2779 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2780 = and(_T_2779, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2781 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2782 = and(_T_2780, _T_2781) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2783 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2784 = and(_T_2782, _T_2783) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2785 = or(_T_2778, _T_2784) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2786 = and(_T_2766, _T_2785) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2787 = cat(_T_2786, _T_2764) @[Cat.scala 29:58] + node _T_2788 = cat(_T_2787, _T_2742) @[Cat.scala 29:58] + node _T_2789 = cat(_T_2788, _T_2720) @[Cat.scala 29:58] + node _T_2790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2791 = and(_T_2790, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2792 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2793 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2794 = or(_T_2792, _T_2793) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2795 = eq(_T_2794, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2796 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2797 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2799 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2801 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2803 = or(_T_2795, _T_2802) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2804 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2805 = and(_T_2804, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2806 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2808 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2809 = and(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2810 = or(_T_2803, _T_2809) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2811 = and(_T_2791, _T_2810) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2812 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2813 = and(_T_2812, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2814 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2815 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2816 = or(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2817 = eq(_T_2816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2818 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2819 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2820 = and(_T_2818, _T_2819) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2821 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2823 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2825 = or(_T_2817, _T_2824) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2826 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2827 = and(_T_2826, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2828 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2829 = and(_T_2827, _T_2828) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2830 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2831 = and(_T_2829, _T_2830) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2832 = or(_T_2825, _T_2831) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2833 = and(_T_2813, _T_2832) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2834 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2835 = and(_T_2834, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2836 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2837 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2838 = or(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2839 = eq(_T_2838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2840 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2841 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2843 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2844 = and(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2845 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2846 = and(_T_2844, _T_2845) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2847 = or(_T_2839, _T_2846) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2848 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2849 = and(_T_2848, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2851 = and(_T_2849, _T_2850) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2852 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2853 = and(_T_2851, _T_2852) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2854 = or(_T_2847, _T_2853) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2855 = and(_T_2835, _T_2854) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2856 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2857 = and(_T_2856, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2858 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2859 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2860 = or(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2861 = eq(_T_2860, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2862 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2863 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2865 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2866 = and(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2867 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2868 = and(_T_2866, _T_2867) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2869 = or(_T_2861, _T_2868) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2870 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2871 = and(_T_2870, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2872 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2873 = and(_T_2871, _T_2872) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2874 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2875 = and(_T_2873, _T_2874) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2876 = or(_T_2869, _T_2875) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2877 = and(_T_2857, _T_2876) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2878 = cat(_T_2877, _T_2855) @[Cat.scala 29:58] + node _T_2879 = cat(_T_2878, _T_2833) @[Cat.scala 29:58] + node _T_2880 = cat(_T_2879, _T_2811) @[Cat.scala 29:58] + node _T_2881 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2882 = and(_T_2881, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2883 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2884 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2885 = or(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2886 = eq(_T_2885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2887 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2888 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2890 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2892 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2894 = or(_T_2886, _T_2893) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2895 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2896 = and(_T_2895, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2897 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2898 = and(_T_2896, _T_2897) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2899 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2900 = and(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2901 = or(_T_2894, _T_2900) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2902 = and(_T_2882, _T_2901) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2903 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2904 = and(_T_2903, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2905 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2906 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2907 = or(_T_2905, _T_2906) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2908 = eq(_T_2907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2909 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2910 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2911 = and(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2912 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2914 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2916 = or(_T_2908, _T_2915) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2917 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2918 = and(_T_2917, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2919 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2920 = and(_T_2918, _T_2919) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2921 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2922 = and(_T_2920, _T_2921) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2923 = or(_T_2916, _T_2922) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2924 = and(_T_2904, _T_2923) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2925 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2926 = and(_T_2925, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2927 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2928 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2929 = or(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2930 = eq(_T_2929, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2931 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2932 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2934 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2935 = and(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2936 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2937 = and(_T_2935, _T_2936) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2938 = or(_T_2930, _T_2937) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2939 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2940 = and(_T_2939, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2941 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2942 = and(_T_2940, _T_2941) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2943 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2944 = and(_T_2942, _T_2943) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2945 = or(_T_2938, _T_2944) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2946 = and(_T_2926, _T_2945) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2947 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2948 = and(_T_2947, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2949 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2950 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2951 = or(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2952 = eq(_T_2951, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2953 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2954 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2956 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2957 = and(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2958 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2959 = and(_T_2957, _T_2958) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2960 = or(_T_2952, _T_2959) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2961 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2962 = and(_T_2961, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2963 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2964 = and(_T_2962, _T_2963) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2965 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2966 = and(_T_2964, _T_2965) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2967 = or(_T_2960, _T_2966) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2968 = and(_T_2948, _T_2967) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2969 = cat(_T_2968, _T_2946) @[Cat.scala 29:58] + node _T_2970 = cat(_T_2969, _T_2924) @[Cat.scala 29:58] + node _T_2971 = cat(_T_2970, _T_2902) @[Cat.scala 29:58] + node _T_2972 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2973 = and(_T_2972, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2974 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2975 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2976 = or(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2977 = eq(_T_2976, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_2978 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_2979 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 441:43] + node _T_2981 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2983 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 441:92] + node _T_2985 = or(_T_2977, _T_2984) @[el2_lsu_bus_buffer.scala 440:61] + node _T_2986 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_2987 = and(_T_2986, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_2988 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2989 = and(_T_2987, _T_2988) @[el2_lsu_bus_buffer.scala 442:54] + node _T_2990 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2991 = and(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2992 = or(_T_2985, _T_2991) @[el2_lsu_bus_buffer.scala 441:112] + node _T_2993 = and(_T_2973, _T_2992) @[el2_lsu_bus_buffer.scala 439:114] + node _T_2994 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_2995 = and(_T_2994, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_2996 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2997 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_2998 = or(_T_2996, _T_2997) @[el2_lsu_bus_buffer.scala 440:34] + node _T_2999 = eq(_T_2998, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_3000 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_3001 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_3002 = and(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 441:43] + node _T_3003 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 441:73] + node _T_3005 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 441:92] + node _T_3007 = or(_T_2999, _T_3006) @[el2_lsu_bus_buffer.scala 440:61] + node _T_3008 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_3009 = and(_T_3008, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_3010 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_3011 = and(_T_3009, _T_3010) @[el2_lsu_bus_buffer.scala 442:54] + node _T_3012 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3013 = and(_T_3011, _T_3012) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3014 = or(_T_3007, _T_3013) @[el2_lsu_bus_buffer.scala 441:112] + node _T_3015 = and(_T_2995, _T_3014) @[el2_lsu_bus_buffer.scala 439:114] + node _T_3016 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3017 = and(_T_3016, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_3018 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_3019 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_3020 = or(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 440:34] + node _T_3021 = eq(_T_3020, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_3022 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_3023 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_3024 = and(_T_3022, _T_3023) @[el2_lsu_bus_buffer.scala 441:43] + node _T_3025 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_3026 = and(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 441:73] + node _T_3027 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_3028 = and(_T_3026, _T_3027) @[el2_lsu_bus_buffer.scala 441:92] + node _T_3029 = or(_T_3021, _T_3028) @[el2_lsu_bus_buffer.scala 440:61] + node _T_3030 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_3031 = and(_T_3030, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_3032 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_3033 = and(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 442:54] + node _T_3034 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3035 = and(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3036 = or(_T_3029, _T_3035) @[el2_lsu_bus_buffer.scala 441:112] + node _T_3037 = and(_T_3017, _T_3036) @[el2_lsu_bus_buffer.scala 439:114] + node _T_3038 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3039 = and(_T_3038, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 439:95] + node _T_3040 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:23] + node _T_3041 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 440:49] + node _T_3042 = or(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 440:34] + node _T_3043 = eq(_T_3042, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:8] + node _T_3044 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:25] + node _T_3045 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:55] + node _T_3046 = and(_T_3044, _T_3045) @[el2_lsu_bus_buffer.scala 441:43] + node _T_3047 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:84] + node _T_3048 = and(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 441:73] + node _T_3049 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:103] + node _T_3050 = and(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 441:92] + node _T_3051 = or(_T_3043, _T_3050) @[el2_lsu_bus_buffer.scala 440:61] + node _T_3052 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:19] + node _T_3053 = and(_T_3052, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:37] + node _T_3054 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:65] + node _T_3055 = and(_T_3053, _T_3054) @[el2_lsu_bus_buffer.scala 442:54] + node _T_3056 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3057 = and(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3058 = or(_T_3051, _T_3057) @[el2_lsu_bus_buffer.scala 441:112] + node _T_3059 = and(_T_3039, _T_3058) @[el2_lsu_bus_buffer.scala 439:114] + node _T_3060 = cat(_T_3059, _T_3037) @[Cat.scala 29:58] + node _T_3061 = cat(_T_3060, _T_3015) @[Cat.scala 29:58] + node _T_3062 = cat(_T_3061, _T_2993) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2789 @[el2_lsu_bus_buffer.scala 439:20] + buf_rspage_set[1] <= _T_2880 @[el2_lsu_bus_buffer.scala 439:20] + buf_rspage_set[2] <= _T_2971 @[el2_lsu_bus_buffer.scala 439:20] + buf_rspage_set[3] <= _T_3062 @[el2_lsu_bus_buffer.scala 439:20] + node _T_3063 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3064 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3065 = or(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3066 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3067 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3069 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3070 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3072 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3073 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3075 = cat(_T_3074, _T_3071) @[Cat.scala 29:58] + node _T_3076 = cat(_T_3075, _T_3068) @[Cat.scala 29:58] + node _T_3077 = cat(_T_3076, _T_3065) @[Cat.scala 29:58] + node _T_3078 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3079 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3081 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3082 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3083 = or(_T_3081, _T_3082) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3084 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3085 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3087 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3088 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3090 = cat(_T_3089, _T_3086) @[Cat.scala 29:58] + node _T_3091 = cat(_T_3090, _T_3083) @[Cat.scala 29:58] + node _T_3092 = cat(_T_3091, _T_3080) @[Cat.scala 29:58] + node _T_3093 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3094 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3096 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3097 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3098 = or(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3099 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3100 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3102 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3103 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3105 = cat(_T_3104, _T_3101) @[Cat.scala 29:58] + node _T_3106 = cat(_T_3105, _T_3098) @[Cat.scala 29:58] + node _T_3107 = cat(_T_3106, _T_3095) @[Cat.scala 29:58] + node _T_3108 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3109 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3111 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3112 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3113 = or(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3114 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3115 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3117 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 443:86] + node _T_3118 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 443:105] + node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 443:90] + node _T_3120 = cat(_T_3119, _T_3116) @[Cat.scala 29:58] + node _T_3121 = cat(_T_3120, _T_3113) @[Cat.scala 29:58] + node _T_3122 = cat(_T_3121, _T_3110) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3077 @[el2_lsu_bus_buffer.scala 443:19] + buf_rspage_in[1] <= _T_3092 @[el2_lsu_bus_buffer.scala 443:19] + buf_rspage_in[2] <= _T_3107 @[el2_lsu_bus_buffer.scala 443:19] + buf_rspage_in[3] <= _T_3122 @[el2_lsu_bus_buffer.scala 443:19] + node _T_3123 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3124 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3125 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3126 = or(_T_3124, _T_3125) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3127 = eq(_T_3126, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3128 = and(_T_3123, _T_3127) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3129 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3130 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3131 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3135 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3136 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3137 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3141 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3142 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3143 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3147 = cat(_T_3146, _T_3140) @[Cat.scala 29:58] + node _T_3148 = cat(_T_3147, _T_3134) @[Cat.scala 29:58] + node _T_3149 = cat(_T_3148, _T_3128) @[Cat.scala 29:58] + node _T_3150 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3151 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3152 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3153 = or(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3154 = eq(_T_3153, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3155 = and(_T_3150, _T_3154) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3156 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3157 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3158 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3162 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3163 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3164 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3168 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3169 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3170 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3174 = cat(_T_3173, _T_3167) @[Cat.scala 29:58] + node _T_3175 = cat(_T_3174, _T_3161) @[Cat.scala 29:58] + node _T_3176 = cat(_T_3175, _T_3155) @[Cat.scala 29:58] + node _T_3177 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3178 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3179 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3181 = eq(_T_3180, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3182 = and(_T_3177, _T_3181) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3183 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3184 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3185 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3189 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3190 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3191 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3195 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3196 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3197 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3201 = cat(_T_3200, _T_3194) @[Cat.scala 29:58] + node _T_3202 = cat(_T_3201, _T_3188) @[Cat.scala 29:58] + node _T_3203 = cat(_T_3202, _T_3182) @[Cat.scala 29:58] + node _T_3204 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3205 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3206 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3208 = eq(_T_3207, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3209 = and(_T_3204, _T_3208) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3210 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3211 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3212 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3216 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3217 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3218 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3222 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:80] + node _T_3223 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:101] + node _T_3224 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3228 = cat(_T_3227, _T_3221) @[Cat.scala 29:58] + node _T_3229 = cat(_T_3228, _T_3215) @[Cat.scala 29:58] + node _T_3230 = cat(_T_3229, _T_3209) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3149 @[el2_lsu_bus_buffer.scala 444:16] + buf_rspage[1] <= _T_3176 @[el2_lsu_bus_buffer.scala 444:16] + buf_rspage[2] <= _T_3203 @[el2_lsu_bus_buffer.scala 444:16] + buf_rspage[3] <= _T_3230 @[el2_lsu_bus_buffer.scala 444:16] + node _T_3231 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:77] + node _T_3232 = and(ibuf_drain_vld, _T_3231) @[el2_lsu_bus_buffer.scala 449:65] + node _T_3233 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:77] + node _T_3234 = and(ibuf_drain_vld, _T_3233) @[el2_lsu_bus_buffer.scala 449:65] + node _T_3235 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:77] + node _T_3236 = and(ibuf_drain_vld, _T_3235) @[el2_lsu_bus_buffer.scala 449:65] + node _T_3237 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:77] + node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 449:65] + node _T_3239 = cat(_T_3238, _T_3236) @[Cat.scala 29:58] + node _T_3240 = cat(_T_3239, _T_3234) @[Cat.scala 29:58] + node _T_3241 = cat(_T_3240, _T_3232) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3241 @[el2_lsu_bus_buffer.scala 449:23] + node _T_3242 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:66] + node _T_3243 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 450:86] + node _T_3244 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:106] + node _T_3245 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:134] + node _T_3246 = and(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 450:123] + node _T_3247 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:159] + node _T_3248 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:182] + node _T_3249 = mux(_T_3246, _T_3247, _T_3248) @[el2_lsu_bus_buffer.scala 450:96] + node _T_3250 = mux(_T_3242, _T_3243, _T_3249) @[el2_lsu_bus_buffer.scala 450:48] + node _T_3251 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:66] + node _T_3252 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 450:86] + node _T_3253 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:106] + node _T_3254 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:134] + node _T_3255 = and(_T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 450:123] + node _T_3256 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:159] + node _T_3257 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:182] + node _T_3258 = mux(_T_3255, _T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 450:96] + node _T_3259 = mux(_T_3251, _T_3252, _T_3258) @[el2_lsu_bus_buffer.scala 450:48] + node _T_3260 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:66] + node _T_3261 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 450:86] + node _T_3262 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:106] + node _T_3263 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:134] + node _T_3264 = and(_T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 450:123] + node _T_3265 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:159] + node _T_3266 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:182] + node _T_3267 = mux(_T_3264, _T_3265, _T_3266) @[el2_lsu_bus_buffer.scala 450:96] + node _T_3268 = mux(_T_3260, _T_3261, _T_3267) @[el2_lsu_bus_buffer.scala 450:48] + node _T_3269 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:66] + node _T_3270 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 450:86] + node _T_3271 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:106] + node _T_3272 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:134] + node _T_3273 = and(_T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 450:123] + node _T_3274 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:159] + node _T_3275 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 450:182] + node _T_3276 = mux(_T_3273, _T_3274, _T_3275) @[el2_lsu_bus_buffer.scala 450:96] + node _T_3277 = mux(_T_3269, _T_3270, _T_3276) @[el2_lsu_bus_buffer.scala 450:48] + buf_byteen_in[0] <= _T_3250 @[el2_lsu_bus_buffer.scala 450:19] + buf_byteen_in[1] <= _T_3259 @[el2_lsu_bus_buffer.scala 450:19] + buf_byteen_in[2] <= _T_3268 @[el2_lsu_bus_buffer.scala 450:19] + buf_byteen_in[3] <= _T_3277 @[el2_lsu_bus_buffer.scala 450:19] + node _T_3278 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:64] + node _T_3279 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:93] + node _T_3280 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:121] + node _T_3281 = and(_T_3279, _T_3280) @[el2_lsu_bus_buffer.scala 451:110] + node _T_3282 = mux(_T_3281, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 451:83] + node _T_3283 = mux(_T_3278, ibuf_addr, _T_3282) @[el2_lsu_bus_buffer.scala 451:46] + node _T_3284 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:64] + node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:93] + node _T_3286 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:121] + node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 451:110] + node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 451:83] + node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 451:46] + node _T_3290 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:64] + node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:93] + node _T_3292 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:121] + node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 451:110] + node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 451:83] + node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 451:46] + node _T_3296 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:64] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:93] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:121] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 451:110] + node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 451:83] + node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 451:46] + buf_addr_in[0] <= _T_3283 @[el2_lsu_bus_buffer.scala 451:17] + buf_addr_in[1] <= _T_3289 @[el2_lsu_bus_buffer.scala 451:17] + buf_addr_in[2] <= _T_3295 @[el2_lsu_bus_buffer.scala 451:17] + buf_addr_in[3] <= _T_3301 @[el2_lsu_bus_buffer.scala 451:17] + node _T_3302 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3303 = mux(_T_3302, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:47] + node _T_3304 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3305 = mux(_T_3304, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:47] + node _T_3306 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3307 = mux(_T_3306, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:47] + node _T_3308 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:47] + node _T_3310 = cat(_T_3309, _T_3307) @[Cat.scala 29:58] + node _T_3311 = cat(_T_3310, _T_3305) @[Cat.scala 29:58] + node _T_3312 = cat(_T_3311, _T_3303) @[Cat.scala 29:58] + buf_dual_in <= _T_3312 @[el2_lsu_bus_buffer.scala 452:17] + node _T_3313 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3314 = mux(_T_3313, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3315 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3316 = mux(_T_3315, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3317 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3318 = mux(_T_3317, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3319 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3321 = cat(_T_3320, _T_3318) @[Cat.scala 29:58] + node _T_3322 = cat(_T_3321, _T_3316) @[Cat.scala 29:58] + node _T_3323 = cat(_T_3322, _T_3314) @[Cat.scala 29:58] + buf_samedw_in <= _T_3323 @[el2_lsu_bus_buffer.scala 453:19] + node _T_3324 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:68] + node _T_3325 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3326 = mux(_T_3324, _T_3325, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 454:50] + node _T_3327 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:68] + node _T_3328 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3329 = mux(_T_3327, _T_3328, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 454:50] + node _T_3330 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:68] + node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 454:50] + node _T_3333 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:68] + node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 454:50] + node _T_3336 = cat(_T_3335, _T_3332) @[Cat.scala 29:58] + node _T_3337 = cat(_T_3336, _T_3329) @[Cat.scala 29:58] + node _T_3338 = cat(_T_3337, _T_3326) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3338 @[el2_lsu_bus_buffer.scala 454:20] + node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:67] + node _T_3340 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:92] + node _T_3341 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 455:120] + node _T_3342 = and(_T_3340, _T_3341) @[el2_lsu_bus_buffer.scala 455:109] + node _T_3343 = mux(_T_3339, ibuf_dual, _T_3342) @[el2_lsu_bus_buffer.scala 455:49] + node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:67] + node _T_3345 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:92] + node _T_3346 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 455:120] + node _T_3347 = and(_T_3345, _T_3346) @[el2_lsu_bus_buffer.scala 455:109] + node _T_3348 = mux(_T_3344, ibuf_dual, _T_3347) @[el2_lsu_bus_buffer.scala 455:49] + node _T_3349 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:67] + node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:92] + node _T_3351 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 455:120] + node _T_3352 = and(_T_3350, _T_3351) @[el2_lsu_bus_buffer.scala 455:109] + node _T_3353 = mux(_T_3349, ibuf_dual, _T_3352) @[el2_lsu_bus_buffer.scala 455:49] + node _T_3354 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:67] + node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:92] + node _T_3356 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 455:120] + node _T_3357 = and(_T_3355, _T_3356) @[el2_lsu_bus_buffer.scala 455:109] + node _T_3358 = mux(_T_3354, ibuf_dual, _T_3357) @[el2_lsu_bus_buffer.scala 455:49] + node _T_3359 = cat(_T_3358, _T_3353) @[Cat.scala 29:58] + node _T_3360 = cat(_T_3359, _T_3348) @[Cat.scala 29:58] + node _T_3361 = cat(_T_3360, _T_3343) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3361 @[el2_lsu_bus_buffer.scala 455:19] + node _T_3362 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3363 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:99] + node _T_3364 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3365 = and(_T_3363, _T_3364) @[el2_lsu_bus_buffer.scala 456:116] + node _T_3366 = mux(_T_3365, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 456:89] + node _T_3367 = mux(_T_3362, ibuf_dualtag, _T_3366) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3368 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:99] + node _T_3370 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 456:116] + node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 456:89] + node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3374 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:99] + node _T_3376 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 456:116] + node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 456:89] + node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3380 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:99] + node _T_3382 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 456:116] + node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 456:89] + node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 456:49] + buf_dualtag_in[0] <= _T_3367 @[el2_lsu_bus_buffer.scala 456:20] + buf_dualtag_in[1] <= _T_3373 @[el2_lsu_bus_buffer.scala 456:20] + buf_dualtag_in[2] <= _T_3379 @[el2_lsu_bus_buffer.scala 456:20] + buf_dualtag_in[3] <= _T_3385 @[el2_lsu_bus_buffer.scala 456:20] + node _T_3386 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:71] + node _T_3387 = mux(_T_3386, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_3388 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:71] + node _T_3389 = mux(_T_3388, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_3390 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:71] + node _T_3391 = mux(_T_3390, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_3392 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:71] + node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_3394 = cat(_T_3393, _T_3391) @[Cat.scala 29:58] + node _T_3395 = cat(_T_3394, _T_3389) @[Cat.scala 29:58] + node _T_3396 = cat(_T_3395, _T_3387) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3396 @[el2_lsu_bus_buffer.scala 457:23] + node _T_3397 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3398 = mux(_T_3397, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3399 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3400 = mux(_T_3399, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3401 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3402 = mux(_T_3401, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3403 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3405 = cat(_T_3404, _T_3402) @[Cat.scala 29:58] + node _T_3406 = cat(_T_3405, _T_3400) @[Cat.scala 29:58] + node _T_3407 = cat(_T_3406, _T_3398) @[Cat.scala 29:58] + buf_unsign_in <= _T_3407 @[el2_lsu_bus_buffer.scala 458:19] + node _T_3408 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:62] + node _T_3409 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3410 = mux(_T_3408, ibuf_sz, _T_3409) @[el2_lsu_bus_buffer.scala 459:44] + node _T_3411 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:62] + node _T_3412 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3413 = mux(_T_3411, ibuf_sz, _T_3412) @[el2_lsu_bus_buffer.scala 459:44] + node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:62] + node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 459:44] + node _T_3417 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:62] + node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 459:44] + buf_sz_in[0] <= _T_3410 @[el2_lsu_bus_buffer.scala 459:15] + buf_sz_in[1] <= _T_3413 @[el2_lsu_bus_buffer.scala 459:15] + buf_sz_in[2] <= _T_3416 @[el2_lsu_bus_buffer.scala 459:15] + buf_sz_in[3] <= _T_3419 @[el2_lsu_bus_buffer.scala 459:15] + node _T_3420 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:66] + node _T_3421 = mux(_T_3420, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 460:48] + node _T_3422 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:66] + node _T_3423 = mux(_T_3422, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 460:48] + node _T_3424 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:66] + node _T_3425 = mux(_T_3424, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 460:48] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:66] + node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 460:48] + node _T_3428 = cat(_T_3427, _T_3425) @[Cat.scala 29:58] + node _T_3429 = cat(_T_3428, _T_3423) @[Cat.scala 29:58] + node _T_3430 = cat(_T_3429, _T_3421) @[Cat.scala 29:58] + buf_write_in <= _T_3430 @[el2_lsu_bus_buffer.scala 460:18] + node _T_3431 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3431 : @[Conditional.scala 40:58] + node _T_3432 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 465:56] + node _T_3433 = mux(_T_3432, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 465:31] + buf_nxtstate[0] <= _T_3433 @[el2_lsu_bus_buffer.scala 465:25] + node _T_3434 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 466:45] + node _T_3435 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:77] + node _T_3436 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:97] + node _T_3437 = and(_T_3435, _T_3436) @[el2_lsu_bus_buffer.scala 466:95] + node _T_3438 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 466:117] + node _T_3439 = and(_T_3437, _T_3438) @[el2_lsu_bus_buffer.scala 466:112] + node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:144] + node _T_3441 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 466:166] + node _T_3442 = and(_T_3440, _T_3441) @[el2_lsu_bus_buffer.scala 466:161] + node _T_3443 = or(_T_3439, _T_3442) @[el2_lsu_bus_buffer.scala 466:132] + node _T_3444 = and(_T_3434, _T_3443) @[el2_lsu_bus_buffer.scala 466:63] + node _T_3445 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 466:206] + node _T_3446 = and(ibuf_drain_vld, _T_3445) @[el2_lsu_bus_buffer.scala 466:201] + node _T_3447 = or(_T_3444, _T_3446) @[el2_lsu_bus_buffer.scala 466:183] + buf_state_en[0] <= _T_3447 @[el2_lsu_bus_buffer.scala 466:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 467:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 468:24] + node _T_3448 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:52] + node _T_3449 = and(ibuf_drain_vld, _T_3448) @[el2_lsu_bus_buffer.scala 469:47] + node _T_3450 = bits(_T_3449, 0, 0) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3451 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3452 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3453 = mux(_T_3450, _T_3451, _T_3452) @[el2_lsu_bus_buffer.scala 469:30] + buf_data_in[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 469:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3403 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3403 : @[Conditional.scala 39:67] - node _T_3404 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] - node _T_3405 = mux(_T_3404, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] - buf_nxtstate[0] <= _T_3405 @[el2_lsu_bus_buffer.scala 467:25] - node _T_3406 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] - buf_state_en[0] <= _T_3406 @[el2_lsu_bus_buffer.scala 468:25] + node _T_3454 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3454 : @[Conditional.scala 39:67] + node _T_3455 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 472:60] + node _T_3456 = mux(_T_3455, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:31] + buf_nxtstate[0] <= _T_3456 @[el2_lsu_bus_buffer.scala 472:25] + node _T_3457 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:46] + buf_state_en[0] <= _T_3457 @[el2_lsu_bus_buffer.scala 473:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3407 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3407 : @[Conditional.scala 39:67] - node _T_3408 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] - node _T_3409 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] - node _T_3410 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] - node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3412 = mux(_T_3411, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] - node _T_3413 = mux(_T_3408, UInt<3>("h00"), _T_3412) @[el2_lsu_bus_buffer.scala 471:31] - buf_nxtstate[0] <= _T_3413 @[el2_lsu_bus_buffer.scala 471:25] - node _T_3414 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3415 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:104] - node _T_3416 = and(obuf_merge, _T_3415) @[el2_lsu_bus_buffer.scala 472:91] - node _T_3417 = or(_T_3414, _T_3416) @[el2_lsu_bus_buffer.scala 472:77] - node _T_3418 = and(_T_3417, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] - node _T_3419 = and(_T_3418, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] - buf_cmd_state_bus_en[0] <= _T_3419 @[el2_lsu_bus_buffer.scala 472:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 473:29] - node _T_3420 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] - node _T_3421 = or(_T_3420, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] - buf_state_en[0] <= _T_3421 @[el2_lsu_bus_buffer.scala 474:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] - node _T_3422 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 476:56] - node _T_3423 = eq(_T_3422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] - node _T_3424 = and(buf_state_en[0], _T_3423) @[el2_lsu_bus_buffer.scala 476:44] - node _T_3425 = and(_T_3424, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3426 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] - node _T_3427 = and(_T_3425, _T_3426) @[el2_lsu_bus_buffer.scala 476:74] - buf_ldfwd_en[0] <= _T_3427 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3428 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] - buf_ldfwdtag_in[0] <= _T_3428 @[el2_lsu_bus_buffer.scala 477:28] - node _T_3429 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] - node _T_3430 = and(_T_3429, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] - node _T_3431 = and(_T_3430, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] - buf_data_en[0] <= _T_3431 @[el2_lsu_bus_buffer.scala 478:24] - node _T_3432 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3433 = and(_T_3432, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] - node _T_3434 = and(_T_3433, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] - buf_error_en[0] <= _T_3434 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3435 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] - node _T_3436 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] - node _T_3437 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3438 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] - node _T_3439 = mux(_T_3436, _T_3437, _T_3438) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3440 = mux(buf_error_en[0], _T_3435, _T_3439) @[el2_lsu_bus_buffer.scala 480:30] - buf_data_in[0] <= _T_3440 @[el2_lsu_bus_buffer.scala 480:24] + node _T_3458 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3458 : @[Conditional.scala 39:67] + node _T_3459 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3460 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:89] + node _T_3461 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 476:124] + node _T_3462 = and(_T_3460, _T_3461) @[el2_lsu_bus_buffer.scala 476:104] + node _T_3463 = mux(_T_3462, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 476:75] + node _T_3464 = mux(_T_3459, UInt<3>("h00"), _T_3463) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[0] <= _T_3464 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3465 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3466 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3467 = and(obuf_merge, _T_3466) @[el2_lsu_bus_buffer.scala 477:91] + node _T_3468 = or(_T_3465, _T_3467) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3469 = and(_T_3468, obuf_valid) @[el2_lsu_bus_buffer.scala 477:135] + node _T_3470 = and(_T_3469, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 477:148] + buf_cmd_state_bus_en[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 477:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 478:29] + node _T_3471 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:49] + node _T_3472 = or(_T_3471, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 479:70] + buf_state_en[0] <= _T_3472 @[el2_lsu_bus_buffer.scala 479:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 480:25] + node _T_3473 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 481:56] + node _T_3474 = eq(_T_3473, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3475 = and(buf_state_en[0], _T_3474) @[el2_lsu_bus_buffer.scala 481:44] + node _T_3476 = and(_T_3475, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3477 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:76] + node _T_3478 = and(_T_3476, _T_3477) @[el2_lsu_bus_buffer.scala 481:74] + buf_ldfwd_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3479 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 482:46] + buf_ldfwdtag_in[0] <= _T_3479 @[el2_lsu_bus_buffer.scala 482:28] + node _T_3480 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3481 = and(_T_3480, obuf_nosend) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3482 = and(_T_3481, bus_rsp_read) @[el2_lsu_bus_buffer.scala 483:81] + buf_data_en[0] <= _T_3482 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3483 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:48] + node _T_3484 = and(_T_3483, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3485 = and(_T_3484, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 484:82] + buf_error_en[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3486 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:61] + node _T_3487 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 485:85] + node _T_3488 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 485:103] + node _T_3489 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:126] + node _T_3490 = mux(_T_3487, _T_3488, _T_3489) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3491 = mux(buf_error_en[0], _T_3486, _T_3490) @[el2_lsu_bus_buffer.scala 485:30] + buf_data_in[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 485:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3441 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3441 : @[Conditional.scala 39:67] - node _T_3442 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3443 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] - node _T_3444 = eq(_T_3443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3445 = and(_T_3442, _T_3444) @[el2_lsu_bus_buffer.scala 483:71] - node _T_3446 = or(io.dec_tlu_force_halt, _T_3445) @[el2_lsu_bus_buffer.scala 483:55] - node _T_3447 = bits(_T_3446, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] - node _T_3448 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] - node _T_3449 = and(buf_dual[0], _T_3448) @[el2_lsu_bus_buffer.scala 484:28] - node _T_3450 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:57] - node _T_3451 = eq(_T_3450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] - node _T_3452 = and(_T_3449, _T_3451) @[el2_lsu_bus_buffer.scala 484:45] - node _T_3453 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] - node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 484:61] - node _T_3455 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 485:27] - node _T_3456 = or(_T_3455, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] - node _T_3457 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] - node _T_3458 = and(buf_dual[0], _T_3457) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3459 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 485:97] - node _T_3460 = eq(_T_3459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] - node _T_3461 = and(_T_3458, _T_3460) @[el2_lsu_bus_buffer.scala 485:85] - node _T_3462 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3463 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3464 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3465 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3466 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3467 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3468 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3469 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3470 = mux(_T_3462, _T_3463, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3471 = mux(_T_3464, _T_3465, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3472 = mux(_T_3466, _T_3467, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3473 = mux(_T_3468, _T_3469, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3474 = or(_T_3470, _T_3471) @[Mux.scala 27:72] - node _T_3475 = or(_T_3474, _T_3472) @[Mux.scala 27:72] - node _T_3476 = or(_T_3475, _T_3473) @[Mux.scala 27:72] - wire _T_3477 : UInt<1> @[Mux.scala 27:72] - _T_3477 <= _T_3476 @[Mux.scala 27:72] - node _T_3478 = and(_T_3461, _T_3477) @[el2_lsu_bus_buffer.scala 485:101] - node _T_3479 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] - node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 485:138] - node _T_3481 = and(_T_3480, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] - node _T_3482 = or(_T_3456, _T_3481) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3483 = mux(_T_3482, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] - node _T_3484 = mux(_T_3454, UInt<3>("h04"), _T_3483) @[el2_lsu_bus_buffer.scala 484:14] - node _T_3485 = mux(_T_3447, UInt<3>("h00"), _T_3484) @[el2_lsu_bus_buffer.scala 483:31] - buf_nxtstate[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 483:25] - node _T_3486 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3487 = and(bus_rsp_write, _T_3486) @[el2_lsu_bus_buffer.scala 486:52] - node _T_3488 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 487:46] - node _T_3489 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 488:23] - node _T_3490 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 488:47] - node _T_3491 = and(_T_3489, _T_3490) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3492 = or(_T_3488, _T_3491) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3493 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 489:26] - node _T_3494 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 489:54] - node _T_3495 = not(_T_3494) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3496 = and(_T_3493, _T_3495) @[el2_lsu_bus_buffer.scala 489:42] - node _T_3497 = and(_T_3496, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 489:58] - node _T_3498 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 489:94] - node _T_3499 = and(_T_3497, _T_3498) @[el2_lsu_bus_buffer.scala 489:74] - node _T_3500 = or(_T_3492, _T_3499) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3501 = and(bus_rsp_read, _T_3500) @[el2_lsu_bus_buffer.scala 487:25] - node _T_3502 = or(_T_3487, _T_3501) @[el2_lsu_bus_buffer.scala 486:105] - buf_resp_state_bus_en[0] <= _T_3502 @[el2_lsu_bus_buffer.scala 486:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 490:29] - node _T_3503 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3504 = or(_T_3503, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[0] <= _T_3504 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3505 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3506 = and(_T_3505, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] - buf_data_en[0] <= _T_3506 @[el2_lsu_bus_buffer.scala 492:24] - node _T_3507 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_3508 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 493:111] - node _T_3509 = and(bus_rsp_read_error, _T_3508) @[el2_lsu_bus_buffer.scala 493:91] - node _T_3510 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:42] - node _T_3511 = and(bus_rsp_read_error, _T_3510) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3512 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 494:66] - node _T_3513 = and(_T_3511, _T_3512) @[el2_lsu_bus_buffer.scala 494:46] - node _T_3514 = or(_T_3509, _T_3513) @[el2_lsu_bus_buffer.scala 493:143] - node _T_3515 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] - node _T_3516 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 495:74] - node _T_3517 = and(_T_3515, _T_3516) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3518 = or(_T_3514, _T_3517) @[el2_lsu_bus_buffer.scala 494:88] - node _T_3519 = and(_T_3507, _T_3518) @[el2_lsu_bus_buffer.scala 493:68] - buf_error_en[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3520 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] - node _T_3521 = and(buf_state_en[0], _T_3520) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3522 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] - node _T_3523 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] - node _T_3524 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] - node _T_3525 = mux(_T_3522, _T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 496:72] - node _T_3526 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] - node _T_3527 = mux(_T_3521, _T_3525, _T_3526) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[0] <= _T_3527 @[el2_lsu_bus_buffer.scala 496:24] + node _T_3492 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3492 : @[Conditional.scala 39:67] + node _T_3493 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3494 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3495 = eq(_T_3494, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3496 = and(_T_3493, _T_3495) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3497 = or(io.dec_tlu_force_halt, _T_3496) @[el2_lsu_bus_buffer.scala 488:55] + node _T_3498 = bits(_T_3497, 0, 0) @[el2_lsu_bus_buffer.scala 488:125] + node _T_3499 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:30] + node _T_3500 = and(buf_dual[0], _T_3499) @[el2_lsu_bus_buffer.scala 489:28] + node _T_3501 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 489:57] + node _T_3502 = eq(_T_3501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3503 = and(_T_3500, _T_3502) @[el2_lsu_bus_buffer.scala 489:45] + node _T_3504 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 489:90] + node _T_3505 = and(_T_3503, _T_3504) @[el2_lsu_bus_buffer.scala 489:61] + node _T_3506 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 490:27] + node _T_3507 = or(_T_3506, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:31] + node _T_3508 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:70] + node _T_3509 = and(buf_dual[0], _T_3508) @[el2_lsu_bus_buffer.scala 490:68] + node _T_3510 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 490:97] + node _T_3511 = eq(_T_3510, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:87] + node _T_3512 = and(_T_3509, _T_3511) @[el2_lsu_bus_buffer.scala 490:85] + node _T_3513 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3514 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3515 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3516 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3517 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3518 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3519 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3520 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3521 = mux(_T_3513, _T_3514, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3522 = mux(_T_3515, _T_3516, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3523 = mux(_T_3517, _T_3518, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3524 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3525 = or(_T_3521, _T_3522) @[Mux.scala 27:72] + node _T_3526 = or(_T_3525, _T_3523) @[Mux.scala 27:72] + node _T_3527 = or(_T_3526, _T_3524) @[Mux.scala 27:72] + wire _T_3528 : UInt<1> @[Mux.scala 27:72] + _T_3528 <= _T_3527 @[Mux.scala 27:72] + node _T_3529 = and(_T_3512, _T_3528) @[el2_lsu_bus_buffer.scala 490:101] + node _T_3530 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:167] + node _T_3531 = and(_T_3529, _T_3530) @[el2_lsu_bus_buffer.scala 490:138] + node _T_3532 = and(_T_3531, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:187] + node _T_3533 = or(_T_3507, _T_3532) @[el2_lsu_bus_buffer.scala 490:53] + node _T_3534 = mux(_T_3533, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 490:16] + node _T_3535 = mux(_T_3505, UInt<3>("h04"), _T_3534) @[el2_lsu_bus_buffer.scala 489:14] + node _T_3536 = mux(_T_3498, UInt<3>("h00"), _T_3535) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[0] <= _T_3536 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3537 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_3538 = and(bus_rsp_write, _T_3537) @[el2_lsu_bus_buffer.scala 491:52] + node _T_3539 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:46] + node _T_3540 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 493:23] + node _T_3541 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 493:47] + node _T_3542 = and(_T_3540, _T_3541) @[el2_lsu_bus_buffer.scala 493:27] + node _T_3543 = or(_T_3539, _T_3542) @[el2_lsu_bus_buffer.scala 492:77] + node _T_3544 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 494:26] + node _T_3545 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 494:54] + node _T_3546 = not(_T_3545) @[el2_lsu_bus_buffer.scala 494:44] + node _T_3547 = and(_T_3544, _T_3546) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3548 = and(_T_3547, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 494:58] + node _T_3549 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 494:94] + node _T_3550 = and(_T_3548, _T_3549) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3551 = or(_T_3543, _T_3550) @[el2_lsu_bus_buffer.scala 493:71] + node _T_3552 = and(bus_rsp_read, _T_3551) @[el2_lsu_bus_buffer.scala 492:25] + node _T_3553 = or(_T_3538, _T_3552) @[el2_lsu_bus_buffer.scala 491:105] + buf_resp_state_bus_en[0] <= _T_3553 @[el2_lsu_bus_buffer.scala 491:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 495:29] + node _T_3554 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3555 = or(_T_3554, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 496:70] + buf_state_en[0] <= _T_3555 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3556 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3557 = and(_T_3556, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:62] + buf_data_en[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 497:24] + node _T_3558 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3559 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 498:111] + node _T_3560 = and(bus_rsp_read_error, _T_3559) @[el2_lsu_bus_buffer.scala 498:91] + node _T_3561 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 499:42] + node _T_3562 = and(bus_rsp_read_error, _T_3561) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3563 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3564 = and(_T_3562, _T_3563) @[el2_lsu_bus_buffer.scala 499:46] + node _T_3565 = or(_T_3560, _T_3564) @[el2_lsu_bus_buffer.scala 498:143] + node _T_3566 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 500:32] + node _T_3567 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 500:74] + node _T_3568 = and(_T_3566, _T_3567) @[el2_lsu_bus_buffer.scala 500:53] + node _T_3569 = or(_T_3565, _T_3568) @[el2_lsu_bus_buffer.scala 499:88] + node _T_3570 = and(_T_3558, _T_3569) @[el2_lsu_bus_buffer.scala 498:68] + buf_error_en[0] <= _T_3570 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3571 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:50] + node _T_3572 = and(buf_state_en[0], _T_3571) @[el2_lsu_bus_buffer.scala 501:48] + node _T_3573 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 501:84] + node _T_3574 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 501:102] + node _T_3575 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:125] + node _T_3576 = mux(_T_3573, _T_3574, _T_3575) @[el2_lsu_bus_buffer.scala 501:72] + node _T_3577 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:148] + node _T_3578 = mux(_T_3572, _T_3576, _T_3577) @[el2_lsu_bus_buffer.scala 501:30] + buf_data_in[0] <= _T_3578 @[el2_lsu_bus_buffer.scala 501:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3528 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3528 : @[Conditional.scala 39:67] - node _T_3529 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_3530 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 499:86] - node _T_3531 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3532 = bits(_T_3531, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3533 = or(_T_3530, _T_3532) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3534 = or(_T_3533, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] - node _T_3535 = mux(_T_3534, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] - node _T_3536 = mux(_T_3529, UInt<3>("h00"), _T_3535) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[0] <= _T_3536 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3537 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3538 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3539 = bits(_T_3538, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3540 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 501:58] - node _T_3541 = and(_T_3539, _T_3540) @[el2_lsu_bus_buffer.scala 501:38] - node _T_3542 = or(_T_3537, _T_3541) @[el2_lsu_bus_buffer.scala 500:95] - node _T_3543 = and(bus_rsp_read, _T_3542) @[el2_lsu_bus_buffer.scala 500:45] - buf_state_bus_en[0] <= _T_3543 @[el2_lsu_bus_buffer.scala 500:29] - node _T_3544 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] - node _T_3545 = or(_T_3544, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] - buf_state_en[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3579 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3579 : @[Conditional.scala 39:67] + node _T_3580 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3581 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 504:86] + node _T_3582 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3583 = bits(_T_3582, 0, 0) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3584 = or(_T_3581, _T_3583) @[el2_lsu_bus_buffer.scala 504:90] + node _T_3585 = or(_T_3584, any_done_wait_state) @[el2_lsu_bus_buffer.scala 504:118] + node _T_3586 = mux(_T_3585, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_3587 = mux(_T_3580, UInt<3>("h00"), _T_3586) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[0] <= _T_3587 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3588 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 505:66] + node _T_3589 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3590 = bits(_T_3589, 0, 0) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3591 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_3592 = and(_T_3590, _T_3591) @[el2_lsu_bus_buffer.scala 506:38] + node _T_3593 = or(_T_3588, _T_3592) @[el2_lsu_bus_buffer.scala 505:95] + node _T_3594 = and(bus_rsp_read, _T_3593) @[el2_lsu_bus_buffer.scala 505:45] + buf_state_bus_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 505:29] + node _T_3595 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_3596 = or(_T_3595, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[0] <= _T_3596 @[el2_lsu_bus_buffer.scala 507:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3546 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3546 : @[Conditional.scala 39:67] - node _T_3547 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3548 = mux(_T_3547, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[0] <= _T_3548 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3549 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 506:37] - node _T_3550 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] - node _T_3551 = and(buf_dual[0], _T_3550) @[el2_lsu_bus_buffer.scala 506:80] - node _T_3552 = or(_T_3549, _T_3551) @[el2_lsu_bus_buffer.scala 506:65] - node _T_3553 = or(_T_3552, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] - buf_state_en[0] <= _T_3553 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3597 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3597 : @[Conditional.scala 39:67] + node _T_3598 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3599 = mux(_T_3598, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[0] <= _T_3599 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3600 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 511:37] + node _T_3601 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 511:98] + node _T_3602 = and(buf_dual[0], _T_3601) @[el2_lsu_bus_buffer.scala 511:80] + node _T_3603 = or(_T_3600, _T_3602) @[el2_lsu_bus_buffer.scala 511:65] + node _T_3604 = or(_T_3603, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:112] + buf_state_en[0] <= _T_3604 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3554 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3554 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 513:25] + node _T_3605 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3605 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 514:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 515:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 518:25] skip @[Conditional.scala 39:67] - node _T_3555 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] - reg _T_3556 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3555 : @[Reg.scala 28:19] - _T_3556 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3606 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:108] + reg _T_3607 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3606 : @[Reg.scala 28:19] + _T_3607 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 516:18] - reg _T_3557 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] - _T_3557 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 517:60] - buf_ageQ[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 517:17] - reg _T_3558 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] - _T_3558 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 518:63] - buf_rspageQ[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3559 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] - reg _T_3560 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3559 : @[Reg.scala 28:19] - _T_3560 <= buf_dualtag_in[0] @[Reg.scala 28:23] + buf_state[0] <= _T_3607 @[el2_lsu_bus_buffer.scala 521:18] + reg _T_3608 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 522:60] + _T_3608 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 522:60] + buf_ageQ[0] <= _T_3608 @[el2_lsu_bus_buffer.scala 522:17] + reg _T_3609 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:63] + _T_3609 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 523:63] + buf_rspageQ[0] <= _T_3609 @[el2_lsu_bus_buffer.scala 523:20] + node _T_3610 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 524:109] + reg _T_3611 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3610 : @[Reg.scala 28:19] + _T_3611 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3560 @[el2_lsu_bus_buffer.scala 519:20] - node _T_3561 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:74] - node _T_3562 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] - reg _T_3563 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3562 : @[Reg.scala 28:19] - _T_3563 <= _T_3561 @[Reg.scala 28:23] + buf_dualtag[0] <= _T_3611 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3612 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 525:74] + node _T_3613 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:107] + reg _T_3614 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3613 : @[Reg.scala 28:19] + _T_3614 <= _T_3612 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 520:17] - node _T_3564 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:78] - node _T_3565 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] - reg _T_3566 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3565 : @[Reg.scala 28:19] - _T_3566 <= _T_3564 @[Reg.scala 28:23] + buf_dual[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 525:17] + node _T_3615 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 526:78] + node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + reg _T_3617 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3616 : @[Reg.scala 28:19] + _T_3617 <= _T_3615 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 521:19] - node _T_3567 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 522:80] - node _T_3568 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] - reg _T_3569 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3568 : @[Reg.scala 28:19] - _T_3569 <= _T_3567 @[Reg.scala 28:23] + buf_samedw[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 526:19] + node _T_3618 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:80] + node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:113] + reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3619 : @[Reg.scala 28:19] + _T_3620 <= _T_3618 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3570 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 523:78] - node _T_3571 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] - reg _T_3572 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3571 : @[Reg.scala 28:19] - _T_3572 <= _T_3570 @[Reg.scala 28:23] + buf_nomerge[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 527:20] + node _T_3621 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:78] + node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:111] + reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3622 : @[Reg.scala 28:19] + _T_3623 <= _T_3621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 523:19] - node _T_3573 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3573 : @[Conditional.scala 40:58] - node _T_3574 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] - node _T_3575 = mux(_T_3574, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] - buf_nxtstate[1] <= _T_3575 @[el2_lsu_bus_buffer.scala 460:25] - node _T_3576 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] - node _T_3577 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3578 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] - node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 461:95] - node _T_3580 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] - node _T_3581 = and(_T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 461:112] - node _T_3582 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] - node _T_3583 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] - node _T_3584 = and(_T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 461:161] - node _T_3585 = or(_T_3581, _T_3584) @[el2_lsu_bus_buffer.scala 461:132] - node _T_3586 = and(_T_3576, _T_3585) @[el2_lsu_bus_buffer.scala 461:63] - node _T_3587 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] - node _T_3588 = and(ibuf_drain_vld, _T_3587) @[el2_lsu_bus_buffer.scala 461:201] - node _T_3589 = or(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 461:183] - buf_state_en[1] <= _T_3589 @[el2_lsu_bus_buffer.scala 461:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 462:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 463:24] - node _T_3590 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] - node _T_3591 = and(ibuf_drain_vld, _T_3590) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3592 = bits(_T_3591, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] - node _T_3593 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] - node _T_3594 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] - node _T_3595 = mux(_T_3592, _T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 464:30] - buf_data_in[1] <= _T_3595 @[el2_lsu_bus_buffer.scala 464:24] + buf_dualhi[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 528:19] + node _T_3624 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3624 : @[Conditional.scala 40:58] + node _T_3625 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 465:56] + node _T_3626 = mux(_T_3625, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 465:31] + buf_nxtstate[1] <= _T_3626 @[el2_lsu_bus_buffer.scala 465:25] + node _T_3627 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 466:45] + node _T_3628 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:77] + node _T_3629 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:97] + node _T_3630 = and(_T_3628, _T_3629) @[el2_lsu_bus_buffer.scala 466:95] + node _T_3631 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 466:117] + node _T_3632 = and(_T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 466:112] + node _T_3633 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:144] + node _T_3634 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 466:166] + node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 466:161] + node _T_3636 = or(_T_3632, _T_3635) @[el2_lsu_bus_buffer.scala 466:132] + node _T_3637 = and(_T_3627, _T_3636) @[el2_lsu_bus_buffer.scala 466:63] + node _T_3638 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 466:206] + node _T_3639 = and(ibuf_drain_vld, _T_3638) @[el2_lsu_bus_buffer.scala 466:201] + node _T_3640 = or(_T_3637, _T_3639) @[el2_lsu_bus_buffer.scala 466:183] + buf_state_en[1] <= _T_3640 @[el2_lsu_bus_buffer.scala 466:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 467:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 468:24] + node _T_3641 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:52] + node _T_3642 = and(ibuf_drain_vld, _T_3641) @[el2_lsu_bus_buffer.scala 469:47] + node _T_3643 = bits(_T_3642, 0, 0) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3644 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3645 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3646 = mux(_T_3643, _T_3644, _T_3645) @[el2_lsu_bus_buffer.scala 469:30] + buf_data_in[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 469:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3596 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3596 : @[Conditional.scala 39:67] - node _T_3597 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] - node _T_3598 = mux(_T_3597, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] - buf_nxtstate[1] <= _T_3598 @[el2_lsu_bus_buffer.scala 467:25] - node _T_3599 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] - buf_state_en[1] <= _T_3599 @[el2_lsu_bus_buffer.scala 468:25] + node _T_3647 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3647 : @[Conditional.scala 39:67] + node _T_3648 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 472:60] + node _T_3649 = mux(_T_3648, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:31] + buf_nxtstate[1] <= _T_3649 @[el2_lsu_bus_buffer.scala 472:25] + node _T_3650 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:46] + buf_state_en[1] <= _T_3650 @[el2_lsu_bus_buffer.scala 473:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3600 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3600 : @[Conditional.scala 39:67] - node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] - node _T_3602 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] - node _T_3603 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] - node _T_3604 = and(_T_3602, _T_3603) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3605 = mux(_T_3604, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] - node _T_3606 = mux(_T_3601, UInt<3>("h00"), _T_3605) @[el2_lsu_bus_buffer.scala 471:31] - buf_nxtstate[1] <= _T_3606 @[el2_lsu_bus_buffer.scala 471:25] - node _T_3607 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3608 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 472:104] - node _T_3609 = and(obuf_merge, _T_3608) @[el2_lsu_bus_buffer.scala 472:91] - node _T_3610 = or(_T_3607, _T_3609) @[el2_lsu_bus_buffer.scala 472:77] - node _T_3611 = and(_T_3610, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] - node _T_3612 = and(_T_3611, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] - buf_cmd_state_bus_en[1] <= _T_3612 @[el2_lsu_bus_buffer.scala 472:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 473:29] - node _T_3613 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] - node _T_3614 = or(_T_3613, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] - buf_state_en[1] <= _T_3614 @[el2_lsu_bus_buffer.scala 474:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] - node _T_3615 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 476:56] - node _T_3616 = eq(_T_3615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] - node _T_3617 = and(buf_state_en[1], _T_3616) @[el2_lsu_bus_buffer.scala 476:44] - node _T_3618 = and(_T_3617, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] - node _T_3620 = and(_T_3618, _T_3619) @[el2_lsu_bus_buffer.scala 476:74] - buf_ldfwd_en[1] <= _T_3620 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3621 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] - buf_ldfwdtag_in[1] <= _T_3621 @[el2_lsu_bus_buffer.scala 477:28] - node _T_3622 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] - node _T_3623 = and(_T_3622, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] - node _T_3624 = and(_T_3623, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] - buf_data_en[1] <= _T_3624 @[el2_lsu_bus_buffer.scala 478:24] - node _T_3625 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3626 = and(_T_3625, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] - node _T_3627 = and(_T_3626, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] - buf_error_en[1] <= _T_3627 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3628 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] - node _T_3629 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] - node _T_3630 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3631 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] - node _T_3632 = mux(_T_3629, _T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3633 = mux(buf_error_en[1], _T_3628, _T_3632) @[el2_lsu_bus_buffer.scala 480:30] - buf_data_in[1] <= _T_3633 @[el2_lsu_bus_buffer.scala 480:24] + node _T_3651 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3651 : @[Conditional.scala 39:67] + node _T_3652 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3653 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:89] + node _T_3654 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 476:124] + node _T_3655 = and(_T_3653, _T_3654) @[el2_lsu_bus_buffer.scala 476:104] + node _T_3656 = mux(_T_3655, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 476:75] + node _T_3657 = mux(_T_3652, UInt<3>("h00"), _T_3656) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[1] <= _T_3657 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3658 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3659 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3660 = and(obuf_merge, _T_3659) @[el2_lsu_bus_buffer.scala 477:91] + node _T_3661 = or(_T_3658, _T_3660) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3662 = and(_T_3661, obuf_valid) @[el2_lsu_bus_buffer.scala 477:135] + node _T_3663 = and(_T_3662, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 477:148] + buf_cmd_state_bus_en[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 477:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 478:29] + node _T_3664 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:49] + node _T_3665 = or(_T_3664, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 479:70] + buf_state_en[1] <= _T_3665 @[el2_lsu_bus_buffer.scala 479:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 480:25] + node _T_3666 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 481:56] + node _T_3667 = eq(_T_3666, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3668 = and(buf_state_en[1], _T_3667) @[el2_lsu_bus_buffer.scala 481:44] + node _T_3669 = and(_T_3668, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3670 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:76] + node _T_3671 = and(_T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 481:74] + buf_ldfwd_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3672 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 482:46] + buf_ldfwdtag_in[1] <= _T_3672 @[el2_lsu_bus_buffer.scala 482:28] + node _T_3673 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3674 = and(_T_3673, obuf_nosend) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3675 = and(_T_3674, bus_rsp_read) @[el2_lsu_bus_buffer.scala 483:81] + buf_data_en[1] <= _T_3675 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3676 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:48] + node _T_3677 = and(_T_3676, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3678 = and(_T_3677, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 484:82] + buf_error_en[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3679 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:61] + node _T_3680 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 485:85] + node _T_3681 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 485:103] + node _T_3682 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:126] + node _T_3683 = mux(_T_3680, _T_3681, _T_3682) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3684 = mux(buf_error_en[1], _T_3679, _T_3683) @[el2_lsu_bus_buffer.scala 485:30] + buf_data_in[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 485:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3634 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3634 : @[Conditional.scala 39:67] - node _T_3635 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3636 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] - node _T_3637 = eq(_T_3636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3638 = and(_T_3635, _T_3637) @[el2_lsu_bus_buffer.scala 483:71] - node _T_3639 = or(io.dec_tlu_force_halt, _T_3638) @[el2_lsu_bus_buffer.scala 483:55] - node _T_3640 = bits(_T_3639, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] - node _T_3641 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] - node _T_3642 = and(buf_dual[1], _T_3641) @[el2_lsu_bus_buffer.scala 484:28] - node _T_3643 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:57] - node _T_3644 = eq(_T_3643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] - node _T_3645 = and(_T_3642, _T_3644) @[el2_lsu_bus_buffer.scala 484:45] - node _T_3646 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] - node _T_3647 = and(_T_3645, _T_3646) @[el2_lsu_bus_buffer.scala 484:61] - node _T_3648 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 485:27] - node _T_3649 = or(_T_3648, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] - node _T_3650 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] - node _T_3651 = and(buf_dual[1], _T_3650) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3652 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 485:97] - node _T_3653 = eq(_T_3652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] - node _T_3654 = and(_T_3651, _T_3653) @[el2_lsu_bus_buffer.scala 485:85] - node _T_3655 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3656 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3657 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3658 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3659 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3660 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3661 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3662 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3663 = mux(_T_3655, _T_3656, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3664 = mux(_T_3657, _T_3658, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3665 = mux(_T_3659, _T_3660, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3666 = mux(_T_3661, _T_3662, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3667 = or(_T_3663, _T_3664) @[Mux.scala 27:72] - node _T_3668 = or(_T_3667, _T_3665) @[Mux.scala 27:72] - node _T_3669 = or(_T_3668, _T_3666) @[Mux.scala 27:72] - wire _T_3670 : UInt<1> @[Mux.scala 27:72] - _T_3670 <= _T_3669 @[Mux.scala 27:72] - node _T_3671 = and(_T_3654, _T_3670) @[el2_lsu_bus_buffer.scala 485:101] - node _T_3672 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] - node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 485:138] - node _T_3674 = and(_T_3673, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] - node _T_3675 = or(_T_3649, _T_3674) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] - node _T_3677 = mux(_T_3647, UInt<3>("h04"), _T_3676) @[el2_lsu_bus_buffer.scala 484:14] - node _T_3678 = mux(_T_3640, UInt<3>("h00"), _T_3677) @[el2_lsu_bus_buffer.scala 483:31] - buf_nxtstate[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 483:25] - node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3680 = and(bus_rsp_write, _T_3679) @[el2_lsu_bus_buffer.scala 486:52] - node _T_3681 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 487:46] - node _T_3682 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 488:23] - node _T_3683 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 488:47] - node _T_3684 = and(_T_3682, _T_3683) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3685 = or(_T_3681, _T_3684) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3686 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 489:26] - node _T_3687 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 489:54] - node _T_3688 = not(_T_3687) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 489:42] - node _T_3690 = and(_T_3689, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 489:58] - node _T_3691 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 489:94] - node _T_3692 = and(_T_3690, _T_3691) @[el2_lsu_bus_buffer.scala 489:74] - node _T_3693 = or(_T_3685, _T_3692) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3694 = and(bus_rsp_read, _T_3693) @[el2_lsu_bus_buffer.scala 487:25] - node _T_3695 = or(_T_3680, _T_3694) @[el2_lsu_bus_buffer.scala 486:105] - buf_resp_state_bus_en[1] <= _T_3695 @[el2_lsu_bus_buffer.scala 486:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 490:29] - node _T_3696 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[1] <= _T_3697 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3698 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3699 = and(_T_3698, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] - buf_data_en[1] <= _T_3699 @[el2_lsu_bus_buffer.scala 492:24] - node _T_3700 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_3701 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:111] - node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 493:91] - node _T_3703 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:42] - node _T_3704 = and(bus_rsp_read_error, _T_3703) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3705 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 494:66] - node _T_3706 = and(_T_3704, _T_3705) @[el2_lsu_bus_buffer.scala 494:46] - node _T_3707 = or(_T_3702, _T_3706) @[el2_lsu_bus_buffer.scala 493:143] - node _T_3708 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] - node _T_3709 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:74] - node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3711 = or(_T_3707, _T_3710) @[el2_lsu_bus_buffer.scala 494:88] - node _T_3712 = and(_T_3700, _T_3711) @[el2_lsu_bus_buffer.scala 493:68] - buf_error_en[1] <= _T_3712 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3713 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] - node _T_3714 = and(buf_state_en[1], _T_3713) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3715 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] - node _T_3716 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] - node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] - node _T_3718 = mux(_T_3715, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 496:72] - node _T_3719 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] - node _T_3720 = mux(_T_3714, _T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[1] <= _T_3720 @[el2_lsu_bus_buffer.scala 496:24] + node _T_3685 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3685 : @[Conditional.scala 39:67] + node _T_3686 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3687 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3688 = eq(_T_3687, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3690 = or(io.dec_tlu_force_halt, _T_3689) @[el2_lsu_bus_buffer.scala 488:55] + node _T_3691 = bits(_T_3690, 0, 0) @[el2_lsu_bus_buffer.scala 488:125] + node _T_3692 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:30] + node _T_3693 = and(buf_dual[1], _T_3692) @[el2_lsu_bus_buffer.scala 489:28] + node _T_3694 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 489:57] + node _T_3695 = eq(_T_3694, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3696 = and(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 489:45] + node _T_3697 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 489:90] + node _T_3698 = and(_T_3696, _T_3697) @[el2_lsu_bus_buffer.scala 489:61] + node _T_3699 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 490:27] + node _T_3700 = or(_T_3699, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:31] + node _T_3701 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:70] + node _T_3702 = and(buf_dual[1], _T_3701) @[el2_lsu_bus_buffer.scala 490:68] + node _T_3703 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 490:97] + node _T_3704 = eq(_T_3703, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:87] + node _T_3705 = and(_T_3702, _T_3704) @[el2_lsu_bus_buffer.scala 490:85] + node _T_3706 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3707 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3708 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3709 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3710 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3711 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3712 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3713 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3714 = mux(_T_3706, _T_3707, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3715 = mux(_T_3708, _T_3709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3716 = mux(_T_3710, _T_3711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3717 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3718 = or(_T_3714, _T_3715) @[Mux.scala 27:72] + node _T_3719 = or(_T_3718, _T_3716) @[Mux.scala 27:72] + node _T_3720 = or(_T_3719, _T_3717) @[Mux.scala 27:72] + wire _T_3721 : UInt<1> @[Mux.scala 27:72] + _T_3721 <= _T_3720 @[Mux.scala 27:72] + node _T_3722 = and(_T_3705, _T_3721) @[el2_lsu_bus_buffer.scala 490:101] + node _T_3723 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:167] + node _T_3724 = and(_T_3722, _T_3723) @[el2_lsu_bus_buffer.scala 490:138] + node _T_3725 = and(_T_3724, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:187] + node _T_3726 = or(_T_3700, _T_3725) @[el2_lsu_bus_buffer.scala 490:53] + node _T_3727 = mux(_T_3726, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 490:16] + node _T_3728 = mux(_T_3698, UInt<3>("h04"), _T_3727) @[el2_lsu_bus_buffer.scala 489:14] + node _T_3729 = mux(_T_3691, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[1] <= _T_3729 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3730 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_3731 = and(bus_rsp_write, _T_3730) @[el2_lsu_bus_buffer.scala 491:52] + node _T_3732 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:46] + node _T_3733 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 493:23] + node _T_3734 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 493:47] + node _T_3735 = and(_T_3733, _T_3734) @[el2_lsu_bus_buffer.scala 493:27] + node _T_3736 = or(_T_3732, _T_3735) @[el2_lsu_bus_buffer.scala 492:77] + node _T_3737 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 494:26] + node _T_3738 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 494:54] + node _T_3739 = not(_T_3738) @[el2_lsu_bus_buffer.scala 494:44] + node _T_3740 = and(_T_3737, _T_3739) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3741 = and(_T_3740, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 494:58] + node _T_3742 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 494:94] + node _T_3743 = and(_T_3741, _T_3742) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3744 = or(_T_3736, _T_3743) @[el2_lsu_bus_buffer.scala 493:71] + node _T_3745 = and(bus_rsp_read, _T_3744) @[el2_lsu_bus_buffer.scala 492:25] + node _T_3746 = or(_T_3731, _T_3745) @[el2_lsu_bus_buffer.scala 491:105] + buf_resp_state_bus_en[1] <= _T_3746 @[el2_lsu_bus_buffer.scala 491:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 495:29] + node _T_3747 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3748 = or(_T_3747, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 496:70] + buf_state_en[1] <= _T_3748 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3749 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3750 = and(_T_3749, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:62] + buf_data_en[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 497:24] + node _T_3751 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3752 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 498:111] + node _T_3753 = and(bus_rsp_read_error, _T_3752) @[el2_lsu_bus_buffer.scala 498:91] + node _T_3754 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 499:42] + node _T_3755 = and(bus_rsp_read_error, _T_3754) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3756 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3757 = and(_T_3755, _T_3756) @[el2_lsu_bus_buffer.scala 499:46] + node _T_3758 = or(_T_3753, _T_3757) @[el2_lsu_bus_buffer.scala 498:143] + node _T_3759 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 500:32] + node _T_3760 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 500:74] + node _T_3761 = and(_T_3759, _T_3760) @[el2_lsu_bus_buffer.scala 500:53] + node _T_3762 = or(_T_3758, _T_3761) @[el2_lsu_bus_buffer.scala 499:88] + node _T_3763 = and(_T_3751, _T_3762) @[el2_lsu_bus_buffer.scala 498:68] + buf_error_en[1] <= _T_3763 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3764 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:50] + node _T_3765 = and(buf_state_en[1], _T_3764) @[el2_lsu_bus_buffer.scala 501:48] + node _T_3766 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 501:84] + node _T_3767 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 501:102] + node _T_3768 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:125] + node _T_3769 = mux(_T_3766, _T_3767, _T_3768) @[el2_lsu_bus_buffer.scala 501:72] + node _T_3770 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:148] + node _T_3771 = mux(_T_3765, _T_3769, _T_3770) @[el2_lsu_bus_buffer.scala 501:30] + buf_data_in[1] <= _T_3771 @[el2_lsu_bus_buffer.scala 501:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3721 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3721 : @[Conditional.scala 39:67] - node _T_3722 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_3723 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 499:86] - node _T_3724 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3725 = bits(_T_3724, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3726 = or(_T_3723, _T_3725) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3727 = or(_T_3726, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] - node _T_3728 = mux(_T_3727, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] - node _T_3729 = mux(_T_3722, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[1] <= _T_3729 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3730 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3731 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3732 = bits(_T_3731, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3733 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 501:58] - node _T_3734 = and(_T_3732, _T_3733) @[el2_lsu_bus_buffer.scala 501:38] - node _T_3735 = or(_T_3730, _T_3734) @[el2_lsu_bus_buffer.scala 500:95] - node _T_3736 = and(bus_rsp_read, _T_3735) @[el2_lsu_bus_buffer.scala 500:45] - buf_state_bus_en[1] <= _T_3736 @[el2_lsu_bus_buffer.scala 500:29] - node _T_3737 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] - node _T_3738 = or(_T_3737, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] - buf_state_en[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3772 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3772 : @[Conditional.scala 39:67] + node _T_3773 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3774 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 504:86] + node _T_3775 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3776 = bits(_T_3775, 0, 0) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3777 = or(_T_3774, _T_3776) @[el2_lsu_bus_buffer.scala 504:90] + node _T_3778 = or(_T_3777, any_done_wait_state) @[el2_lsu_bus_buffer.scala 504:118] + node _T_3779 = mux(_T_3778, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_3780 = mux(_T_3773, UInt<3>("h00"), _T_3779) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[1] <= _T_3780 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3781 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 505:66] + node _T_3782 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3783 = bits(_T_3782, 0, 0) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3784 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_3785 = and(_T_3783, _T_3784) @[el2_lsu_bus_buffer.scala 506:38] + node _T_3786 = or(_T_3781, _T_3785) @[el2_lsu_bus_buffer.scala 505:95] + node _T_3787 = and(bus_rsp_read, _T_3786) @[el2_lsu_bus_buffer.scala 505:45] + buf_state_bus_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 505:29] + node _T_3788 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_3789 = or(_T_3788, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[1] <= _T_3789 @[el2_lsu_bus_buffer.scala 507:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3739 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3739 : @[Conditional.scala 39:67] - node _T_3740 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3741 = mux(_T_3740, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[1] <= _T_3741 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3742 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 506:37] - node _T_3743 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] - node _T_3744 = and(buf_dual[1], _T_3743) @[el2_lsu_bus_buffer.scala 506:80] - node _T_3745 = or(_T_3742, _T_3744) @[el2_lsu_bus_buffer.scala 506:65] - node _T_3746 = or(_T_3745, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] - buf_state_en[1] <= _T_3746 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3790 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3790 : @[Conditional.scala 39:67] + node _T_3791 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3792 = mux(_T_3791, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[1] <= _T_3792 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3793 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 511:37] + node _T_3794 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 511:98] + node _T_3795 = and(buf_dual[1], _T_3794) @[el2_lsu_bus_buffer.scala 511:80] + node _T_3796 = or(_T_3793, _T_3795) @[el2_lsu_bus_buffer.scala 511:65] + node _T_3797 = or(_T_3796, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:112] + buf_state_en[1] <= _T_3797 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3747 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3747 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 513:25] + node _T_3798 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3798 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 514:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 515:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 518:25] skip @[Conditional.scala 39:67] - node _T_3748 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] - reg _T_3749 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3748 : @[Reg.scala 28:19] - _T_3749 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3799 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:108] + reg _T_3800 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3799 : @[Reg.scala 28:19] + _T_3800 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 516:18] - reg _T_3750 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] - _T_3750 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 517:60] - buf_ageQ[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 517:17] - reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] - _T_3751 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 518:63] - buf_rspageQ[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3752 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] - reg _T_3753 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3752 : @[Reg.scala 28:19] - _T_3753 <= buf_dualtag_in[1] @[Reg.scala 28:23] + buf_state[1] <= _T_3800 @[el2_lsu_bus_buffer.scala 521:18] + reg _T_3801 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 522:60] + _T_3801 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 522:60] + buf_ageQ[1] <= _T_3801 @[el2_lsu_bus_buffer.scala 522:17] + reg _T_3802 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:63] + _T_3802 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 523:63] + buf_rspageQ[1] <= _T_3802 @[el2_lsu_bus_buffer.scala 523:20] + node _T_3803 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 524:109] + reg _T_3804 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3803 : @[Reg.scala 28:19] + _T_3804 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3753 @[el2_lsu_bus_buffer.scala 519:20] - node _T_3754 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:74] - node _T_3755 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] - reg _T_3756 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3755 : @[Reg.scala 28:19] - _T_3756 <= _T_3754 @[Reg.scala 28:23] + buf_dualtag[1] <= _T_3804 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3805 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 525:74] + node _T_3806 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:107] + reg _T_3807 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3806 : @[Reg.scala 28:19] + _T_3807 <= _T_3805 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 520:17] - node _T_3757 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:78] - node _T_3758 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] - reg _T_3759 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3758 : @[Reg.scala 28:19] - _T_3759 <= _T_3757 @[Reg.scala 28:23] + buf_dual[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 525:17] + node _T_3808 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 526:78] + node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + reg _T_3810 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3809 : @[Reg.scala 28:19] + _T_3810 <= _T_3808 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 521:19] - node _T_3760 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 522:80] - node _T_3761 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] - reg _T_3762 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3761 : @[Reg.scala 28:19] - _T_3762 <= _T_3760 @[Reg.scala 28:23] + buf_samedw[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 526:19] + node _T_3811 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:80] + node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:113] + reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3812 : @[Reg.scala 28:19] + _T_3813 <= _T_3811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3763 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 523:78] - node _T_3764 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] - reg _T_3765 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3764 : @[Reg.scala 28:19] - _T_3765 <= _T_3763 @[Reg.scala 28:23] + buf_nomerge[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 527:20] + node _T_3814 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:78] + node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:111] + reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3815 : @[Reg.scala 28:19] + _T_3816 <= _T_3814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 523:19] - node _T_3766 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3766 : @[Conditional.scala 40:58] - node _T_3767 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] - node _T_3768 = mux(_T_3767, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] - buf_nxtstate[2] <= _T_3768 @[el2_lsu_bus_buffer.scala 460:25] - node _T_3769 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] - node _T_3770 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3771 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] - node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 461:95] - node _T_3773 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] - node _T_3774 = and(_T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 461:112] - node _T_3775 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] - node _T_3776 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] - node _T_3777 = and(_T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 461:161] - node _T_3778 = or(_T_3774, _T_3777) @[el2_lsu_bus_buffer.scala 461:132] - node _T_3779 = and(_T_3769, _T_3778) @[el2_lsu_bus_buffer.scala 461:63] - node _T_3780 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] - node _T_3781 = and(ibuf_drain_vld, _T_3780) @[el2_lsu_bus_buffer.scala 461:201] - node _T_3782 = or(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 461:183] - buf_state_en[2] <= _T_3782 @[el2_lsu_bus_buffer.scala 461:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 462:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 463:24] - node _T_3783 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] - node _T_3784 = and(ibuf_drain_vld, _T_3783) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3785 = bits(_T_3784, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] - node _T_3786 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] - node _T_3787 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] - node _T_3788 = mux(_T_3785, _T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 464:30] - buf_data_in[2] <= _T_3788 @[el2_lsu_bus_buffer.scala 464:24] + buf_dualhi[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 528:19] + node _T_3817 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3817 : @[Conditional.scala 40:58] + node _T_3818 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 465:56] + node _T_3819 = mux(_T_3818, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 465:31] + buf_nxtstate[2] <= _T_3819 @[el2_lsu_bus_buffer.scala 465:25] + node _T_3820 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 466:45] + node _T_3821 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:77] + node _T_3822 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:97] + node _T_3823 = and(_T_3821, _T_3822) @[el2_lsu_bus_buffer.scala 466:95] + node _T_3824 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 466:117] + node _T_3825 = and(_T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 466:112] + node _T_3826 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:144] + node _T_3827 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 466:166] + node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 466:161] + node _T_3829 = or(_T_3825, _T_3828) @[el2_lsu_bus_buffer.scala 466:132] + node _T_3830 = and(_T_3820, _T_3829) @[el2_lsu_bus_buffer.scala 466:63] + node _T_3831 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 466:206] + node _T_3832 = and(ibuf_drain_vld, _T_3831) @[el2_lsu_bus_buffer.scala 466:201] + node _T_3833 = or(_T_3830, _T_3832) @[el2_lsu_bus_buffer.scala 466:183] + buf_state_en[2] <= _T_3833 @[el2_lsu_bus_buffer.scala 466:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 467:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 468:24] + node _T_3834 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:52] + node _T_3835 = and(ibuf_drain_vld, _T_3834) @[el2_lsu_bus_buffer.scala 469:47] + node _T_3836 = bits(_T_3835, 0, 0) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3837 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3838 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3839 = mux(_T_3836, _T_3837, _T_3838) @[el2_lsu_bus_buffer.scala 469:30] + buf_data_in[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 469:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3789 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3789 : @[Conditional.scala 39:67] - node _T_3790 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] - node _T_3791 = mux(_T_3790, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] - buf_nxtstate[2] <= _T_3791 @[el2_lsu_bus_buffer.scala 467:25] - node _T_3792 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] - buf_state_en[2] <= _T_3792 @[el2_lsu_bus_buffer.scala 468:25] + node _T_3840 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3840 : @[Conditional.scala 39:67] + node _T_3841 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 472:60] + node _T_3842 = mux(_T_3841, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:31] + buf_nxtstate[2] <= _T_3842 @[el2_lsu_bus_buffer.scala 472:25] + node _T_3843 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:46] + buf_state_en[2] <= _T_3843 @[el2_lsu_bus_buffer.scala 473:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3793 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3793 : @[Conditional.scala 39:67] - node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] - node _T_3795 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] - node _T_3796 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] - node _T_3797 = and(_T_3795, _T_3796) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3798 = mux(_T_3797, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] - node _T_3799 = mux(_T_3794, UInt<3>("h00"), _T_3798) @[el2_lsu_bus_buffer.scala 471:31] - buf_nxtstate[2] <= _T_3799 @[el2_lsu_bus_buffer.scala 471:25] - node _T_3800 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3801 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:104] - node _T_3802 = and(obuf_merge, _T_3801) @[el2_lsu_bus_buffer.scala 472:91] - node _T_3803 = or(_T_3800, _T_3802) @[el2_lsu_bus_buffer.scala 472:77] - node _T_3804 = and(_T_3803, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] - node _T_3805 = and(_T_3804, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] - buf_cmd_state_bus_en[2] <= _T_3805 @[el2_lsu_bus_buffer.scala 472:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 473:29] - node _T_3806 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] - node _T_3807 = or(_T_3806, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] - buf_state_en[2] <= _T_3807 @[el2_lsu_bus_buffer.scala 474:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] - node _T_3808 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 476:56] - node _T_3809 = eq(_T_3808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] - node _T_3810 = and(buf_state_en[2], _T_3809) @[el2_lsu_bus_buffer.scala 476:44] - node _T_3811 = and(_T_3810, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3812 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] - node _T_3813 = and(_T_3811, _T_3812) @[el2_lsu_bus_buffer.scala 476:74] - buf_ldfwd_en[2] <= _T_3813 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3814 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] - buf_ldfwdtag_in[2] <= _T_3814 @[el2_lsu_bus_buffer.scala 477:28] - node _T_3815 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] - node _T_3816 = and(_T_3815, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] - node _T_3817 = and(_T_3816, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] - buf_data_en[2] <= _T_3817 @[el2_lsu_bus_buffer.scala 478:24] - node _T_3818 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3819 = and(_T_3818, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] - node _T_3820 = and(_T_3819, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] - buf_error_en[2] <= _T_3820 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3821 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] - node _T_3822 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] - node _T_3823 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3824 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] - node _T_3825 = mux(_T_3822, _T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3826 = mux(buf_error_en[2], _T_3821, _T_3825) @[el2_lsu_bus_buffer.scala 480:30] - buf_data_in[2] <= _T_3826 @[el2_lsu_bus_buffer.scala 480:24] + node _T_3844 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3844 : @[Conditional.scala 39:67] + node _T_3845 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3846 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:89] + node _T_3847 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 476:124] + node _T_3848 = and(_T_3846, _T_3847) @[el2_lsu_bus_buffer.scala 476:104] + node _T_3849 = mux(_T_3848, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 476:75] + node _T_3850 = mux(_T_3845, UInt<3>("h00"), _T_3849) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[2] <= _T_3850 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3851 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3852 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3853 = and(obuf_merge, _T_3852) @[el2_lsu_bus_buffer.scala 477:91] + node _T_3854 = or(_T_3851, _T_3853) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3855 = and(_T_3854, obuf_valid) @[el2_lsu_bus_buffer.scala 477:135] + node _T_3856 = and(_T_3855, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 477:148] + buf_cmd_state_bus_en[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 477:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 478:29] + node _T_3857 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:49] + node _T_3858 = or(_T_3857, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 479:70] + buf_state_en[2] <= _T_3858 @[el2_lsu_bus_buffer.scala 479:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 480:25] + node _T_3859 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 481:56] + node _T_3860 = eq(_T_3859, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3861 = and(buf_state_en[2], _T_3860) @[el2_lsu_bus_buffer.scala 481:44] + node _T_3862 = and(_T_3861, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3863 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:76] + node _T_3864 = and(_T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 481:74] + buf_ldfwd_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3865 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 482:46] + buf_ldfwdtag_in[2] <= _T_3865 @[el2_lsu_bus_buffer.scala 482:28] + node _T_3866 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3867 = and(_T_3866, obuf_nosend) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3868 = and(_T_3867, bus_rsp_read) @[el2_lsu_bus_buffer.scala 483:81] + buf_data_en[2] <= _T_3868 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3869 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:48] + node _T_3870 = and(_T_3869, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3871 = and(_T_3870, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 484:82] + buf_error_en[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3872 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:61] + node _T_3873 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 485:85] + node _T_3874 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 485:103] + node _T_3875 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:126] + node _T_3876 = mux(_T_3873, _T_3874, _T_3875) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3877 = mux(buf_error_en[2], _T_3872, _T_3876) @[el2_lsu_bus_buffer.scala 485:30] + buf_data_in[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 485:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3827 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3827 : @[Conditional.scala 39:67] - node _T_3828 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3829 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] - node _T_3830 = eq(_T_3829, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3831 = and(_T_3828, _T_3830) @[el2_lsu_bus_buffer.scala 483:71] - node _T_3832 = or(io.dec_tlu_force_halt, _T_3831) @[el2_lsu_bus_buffer.scala 483:55] - node _T_3833 = bits(_T_3832, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] - node _T_3834 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] - node _T_3835 = and(buf_dual[2], _T_3834) @[el2_lsu_bus_buffer.scala 484:28] - node _T_3836 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:57] - node _T_3837 = eq(_T_3836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] - node _T_3838 = and(_T_3835, _T_3837) @[el2_lsu_bus_buffer.scala 484:45] - node _T_3839 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] - node _T_3840 = and(_T_3838, _T_3839) @[el2_lsu_bus_buffer.scala 484:61] - node _T_3841 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 485:27] - node _T_3842 = or(_T_3841, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] - node _T_3843 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] - node _T_3844 = and(buf_dual[2], _T_3843) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3845 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 485:97] - node _T_3846 = eq(_T_3845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] - node _T_3847 = and(_T_3844, _T_3846) @[el2_lsu_bus_buffer.scala 485:85] - node _T_3848 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3849 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3850 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3852 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3853 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3854 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3855 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3856 = mux(_T_3848, _T_3849, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3857 = mux(_T_3850, _T_3851, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3858 = mux(_T_3852, _T_3853, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3859 = mux(_T_3854, _T_3855, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3860 = or(_T_3856, _T_3857) @[Mux.scala 27:72] - node _T_3861 = or(_T_3860, _T_3858) @[Mux.scala 27:72] - node _T_3862 = or(_T_3861, _T_3859) @[Mux.scala 27:72] - wire _T_3863 : UInt<1> @[Mux.scala 27:72] - _T_3863 <= _T_3862 @[Mux.scala 27:72] - node _T_3864 = and(_T_3847, _T_3863) @[el2_lsu_bus_buffer.scala 485:101] - node _T_3865 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] - node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 485:138] - node _T_3867 = and(_T_3866, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] - node _T_3868 = or(_T_3842, _T_3867) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3869 = mux(_T_3868, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] - node _T_3870 = mux(_T_3840, UInt<3>("h04"), _T_3869) @[el2_lsu_bus_buffer.scala 484:14] - node _T_3871 = mux(_T_3833, UInt<3>("h00"), _T_3870) @[el2_lsu_bus_buffer.scala 483:31] - buf_nxtstate[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 483:25] - node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3873 = and(bus_rsp_write, _T_3872) @[el2_lsu_bus_buffer.scala 486:52] - node _T_3874 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 487:46] - node _T_3875 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 488:23] - node _T_3876 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 488:47] - node _T_3877 = and(_T_3875, _T_3876) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3878 = or(_T_3874, _T_3877) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3879 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 489:26] - node _T_3880 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 489:54] - node _T_3881 = not(_T_3880) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 489:42] - node _T_3883 = and(_T_3882, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 489:58] - node _T_3884 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 489:94] - node _T_3885 = and(_T_3883, _T_3884) @[el2_lsu_bus_buffer.scala 489:74] - node _T_3886 = or(_T_3878, _T_3885) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3887 = and(bus_rsp_read, _T_3886) @[el2_lsu_bus_buffer.scala 487:25] - node _T_3888 = or(_T_3873, _T_3887) @[el2_lsu_bus_buffer.scala 486:105] - buf_resp_state_bus_en[2] <= _T_3888 @[el2_lsu_bus_buffer.scala 486:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 490:29] - node _T_3889 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[2] <= _T_3890 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3891 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3892 = and(_T_3891, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] - buf_data_en[2] <= _T_3892 @[el2_lsu_bus_buffer.scala 492:24] - node _T_3893 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_3894 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 493:111] - node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 493:91] - node _T_3896 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:42] - node _T_3897 = and(bus_rsp_read_error, _T_3896) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3898 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 494:66] - node _T_3899 = and(_T_3897, _T_3898) @[el2_lsu_bus_buffer.scala 494:46] - node _T_3900 = or(_T_3895, _T_3899) @[el2_lsu_bus_buffer.scala 493:143] - node _T_3901 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] - node _T_3902 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 495:74] - node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3904 = or(_T_3900, _T_3903) @[el2_lsu_bus_buffer.scala 494:88] - node _T_3905 = and(_T_3893, _T_3904) @[el2_lsu_bus_buffer.scala 493:68] - buf_error_en[2] <= _T_3905 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3906 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] - node _T_3907 = and(buf_state_en[2], _T_3906) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3908 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] - node _T_3909 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] - node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] - node _T_3911 = mux(_T_3908, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 496:72] - node _T_3912 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] - node _T_3913 = mux(_T_3907, _T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[2] <= _T_3913 @[el2_lsu_bus_buffer.scala 496:24] + node _T_3878 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3878 : @[Conditional.scala 39:67] + node _T_3879 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3880 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3881 = eq(_T_3880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3883 = or(io.dec_tlu_force_halt, _T_3882) @[el2_lsu_bus_buffer.scala 488:55] + node _T_3884 = bits(_T_3883, 0, 0) @[el2_lsu_bus_buffer.scala 488:125] + node _T_3885 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:30] + node _T_3886 = and(buf_dual[2], _T_3885) @[el2_lsu_bus_buffer.scala 489:28] + node _T_3887 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 489:57] + node _T_3888 = eq(_T_3887, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3889 = and(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 489:45] + node _T_3890 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 489:90] + node _T_3891 = and(_T_3889, _T_3890) @[el2_lsu_bus_buffer.scala 489:61] + node _T_3892 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 490:27] + node _T_3893 = or(_T_3892, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:31] + node _T_3894 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:70] + node _T_3895 = and(buf_dual[2], _T_3894) @[el2_lsu_bus_buffer.scala 490:68] + node _T_3896 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 490:97] + node _T_3897 = eq(_T_3896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:87] + node _T_3898 = and(_T_3895, _T_3897) @[el2_lsu_bus_buffer.scala 490:85] + node _T_3899 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3900 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3901 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3902 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3903 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3904 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3905 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3906 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3907 = mux(_T_3899, _T_3900, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3908 = mux(_T_3901, _T_3902, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3909 = mux(_T_3903, _T_3904, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3910 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3911 = or(_T_3907, _T_3908) @[Mux.scala 27:72] + node _T_3912 = or(_T_3911, _T_3909) @[Mux.scala 27:72] + node _T_3913 = or(_T_3912, _T_3910) @[Mux.scala 27:72] + wire _T_3914 : UInt<1> @[Mux.scala 27:72] + _T_3914 <= _T_3913 @[Mux.scala 27:72] + node _T_3915 = and(_T_3898, _T_3914) @[el2_lsu_bus_buffer.scala 490:101] + node _T_3916 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:167] + node _T_3917 = and(_T_3915, _T_3916) @[el2_lsu_bus_buffer.scala 490:138] + node _T_3918 = and(_T_3917, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:187] + node _T_3919 = or(_T_3893, _T_3918) @[el2_lsu_bus_buffer.scala 490:53] + node _T_3920 = mux(_T_3919, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 490:16] + node _T_3921 = mux(_T_3891, UInt<3>("h04"), _T_3920) @[el2_lsu_bus_buffer.scala 489:14] + node _T_3922 = mux(_T_3884, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[2] <= _T_3922 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3923 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_3924 = and(bus_rsp_write, _T_3923) @[el2_lsu_bus_buffer.scala 491:52] + node _T_3925 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:46] + node _T_3926 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 493:23] + node _T_3927 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 493:47] + node _T_3928 = and(_T_3926, _T_3927) @[el2_lsu_bus_buffer.scala 493:27] + node _T_3929 = or(_T_3925, _T_3928) @[el2_lsu_bus_buffer.scala 492:77] + node _T_3930 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 494:26] + node _T_3931 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 494:54] + node _T_3932 = not(_T_3931) @[el2_lsu_bus_buffer.scala 494:44] + node _T_3933 = and(_T_3930, _T_3932) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3934 = and(_T_3933, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 494:58] + node _T_3935 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 494:94] + node _T_3936 = and(_T_3934, _T_3935) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3937 = or(_T_3929, _T_3936) @[el2_lsu_bus_buffer.scala 493:71] + node _T_3938 = and(bus_rsp_read, _T_3937) @[el2_lsu_bus_buffer.scala 492:25] + node _T_3939 = or(_T_3924, _T_3938) @[el2_lsu_bus_buffer.scala 491:105] + buf_resp_state_bus_en[2] <= _T_3939 @[el2_lsu_bus_buffer.scala 491:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 495:29] + node _T_3940 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3941 = or(_T_3940, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 496:70] + buf_state_en[2] <= _T_3941 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3942 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3943 = and(_T_3942, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:62] + buf_data_en[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 497:24] + node _T_3944 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3945 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 498:111] + node _T_3946 = and(bus_rsp_read_error, _T_3945) @[el2_lsu_bus_buffer.scala 498:91] + node _T_3947 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 499:42] + node _T_3948 = and(bus_rsp_read_error, _T_3947) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3949 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3950 = and(_T_3948, _T_3949) @[el2_lsu_bus_buffer.scala 499:46] + node _T_3951 = or(_T_3946, _T_3950) @[el2_lsu_bus_buffer.scala 498:143] + node _T_3952 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 500:32] + node _T_3953 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:74] + node _T_3954 = and(_T_3952, _T_3953) @[el2_lsu_bus_buffer.scala 500:53] + node _T_3955 = or(_T_3951, _T_3954) @[el2_lsu_bus_buffer.scala 499:88] + node _T_3956 = and(_T_3944, _T_3955) @[el2_lsu_bus_buffer.scala 498:68] + buf_error_en[2] <= _T_3956 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3957 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:50] + node _T_3958 = and(buf_state_en[2], _T_3957) @[el2_lsu_bus_buffer.scala 501:48] + node _T_3959 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 501:84] + node _T_3960 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 501:102] + node _T_3961 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:125] + node _T_3962 = mux(_T_3959, _T_3960, _T_3961) @[el2_lsu_bus_buffer.scala 501:72] + node _T_3963 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:148] + node _T_3964 = mux(_T_3958, _T_3962, _T_3963) @[el2_lsu_bus_buffer.scala 501:30] + buf_data_in[2] <= _T_3964 @[el2_lsu_bus_buffer.scala 501:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3914 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3914 : @[Conditional.scala 39:67] - node _T_3915 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_3916 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 499:86] - node _T_3917 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3918 = bits(_T_3917, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3919 = or(_T_3916, _T_3918) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3920 = or(_T_3919, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] - node _T_3921 = mux(_T_3920, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] - node _T_3922 = mux(_T_3915, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[2] <= _T_3922 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3923 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3924 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3925 = bits(_T_3924, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] - node _T_3926 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 501:58] - node _T_3927 = and(_T_3925, _T_3926) @[el2_lsu_bus_buffer.scala 501:38] - node _T_3928 = or(_T_3923, _T_3927) @[el2_lsu_bus_buffer.scala 500:95] - node _T_3929 = and(bus_rsp_read, _T_3928) @[el2_lsu_bus_buffer.scala 500:45] - buf_state_bus_en[2] <= _T_3929 @[el2_lsu_bus_buffer.scala 500:29] - node _T_3930 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] - node _T_3931 = or(_T_3930, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] - buf_state_en[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3965 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3965 : @[Conditional.scala 39:67] + node _T_3966 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3967 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 504:86] + node _T_3968 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3969 = bits(_T_3968, 0, 0) @[el2_lsu_bus_buffer.scala 504:101] + node _T_3970 = or(_T_3967, _T_3969) @[el2_lsu_bus_buffer.scala 504:90] + node _T_3971 = or(_T_3970, any_done_wait_state) @[el2_lsu_bus_buffer.scala 504:118] + node _T_3972 = mux(_T_3971, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_3973 = mux(_T_3966, UInt<3>("h00"), _T_3972) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[2] <= _T_3973 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3974 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 505:66] + node _T_3975 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3976 = bits(_T_3975, 0, 0) @[el2_lsu_bus_buffer.scala 506:21] + node _T_3977 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_3978 = and(_T_3976, _T_3977) @[el2_lsu_bus_buffer.scala 506:38] + node _T_3979 = or(_T_3974, _T_3978) @[el2_lsu_bus_buffer.scala 505:95] + node _T_3980 = and(bus_rsp_read, _T_3979) @[el2_lsu_bus_buffer.scala 505:45] + buf_state_bus_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 505:29] + node _T_3981 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_3982 = or(_T_3981, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[2] <= _T_3982 @[el2_lsu_bus_buffer.scala 507:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3932 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3932 : @[Conditional.scala 39:67] - node _T_3933 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3934 = mux(_T_3933, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[2] <= _T_3934 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3935 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 506:37] - node _T_3936 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] - node _T_3937 = and(buf_dual[2], _T_3936) @[el2_lsu_bus_buffer.scala 506:80] - node _T_3938 = or(_T_3935, _T_3937) @[el2_lsu_bus_buffer.scala 506:65] - node _T_3939 = or(_T_3938, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] - buf_state_en[2] <= _T_3939 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3983 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3983 : @[Conditional.scala 39:67] + node _T_3984 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3985 = mux(_T_3984, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[2] <= _T_3985 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3986 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 511:37] + node _T_3987 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 511:98] + node _T_3988 = and(buf_dual[2], _T_3987) @[el2_lsu_bus_buffer.scala 511:80] + node _T_3989 = or(_T_3986, _T_3988) @[el2_lsu_bus_buffer.scala 511:65] + node _T_3990 = or(_T_3989, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:112] + buf_state_en[2] <= _T_3990 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3940 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3940 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 513:25] + node _T_3991 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3991 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 514:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 515:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 518:25] skip @[Conditional.scala 39:67] - node _T_3941 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] - reg _T_3942 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3941 : @[Reg.scala 28:19] - _T_3942 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_3992 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:108] + reg _T_3993 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3992 : @[Reg.scala 28:19] + _T_3993 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 516:18] - reg _T_3943 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] - _T_3943 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 517:60] - buf_ageQ[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 517:17] - reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] - _T_3944 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 518:63] - buf_rspageQ[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3945 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] - reg _T_3946 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3945 : @[Reg.scala 28:19] - _T_3946 <= buf_dualtag_in[2] @[Reg.scala 28:23] + buf_state[2] <= _T_3993 @[el2_lsu_bus_buffer.scala 521:18] + reg _T_3994 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 522:60] + _T_3994 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 522:60] + buf_ageQ[2] <= _T_3994 @[el2_lsu_bus_buffer.scala 522:17] + reg _T_3995 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:63] + _T_3995 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 523:63] + buf_rspageQ[2] <= _T_3995 @[el2_lsu_bus_buffer.scala 523:20] + node _T_3996 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 524:109] + reg _T_3997 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3996 : @[Reg.scala 28:19] + _T_3997 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_3946 @[el2_lsu_bus_buffer.scala 519:20] - node _T_3947 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:74] - node _T_3948 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] - reg _T_3949 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3948 : @[Reg.scala 28:19] - _T_3949 <= _T_3947 @[Reg.scala 28:23] + buf_dualtag[2] <= _T_3997 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3998 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 525:74] + node _T_3999 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:107] + reg _T_4000 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3999 : @[Reg.scala 28:19] + _T_4000 <= _T_3998 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 520:17] - node _T_3950 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:78] - node _T_3951 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] - reg _T_3952 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3951 : @[Reg.scala 28:19] - _T_3952 <= _T_3950 @[Reg.scala 28:23] + buf_dual[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 525:17] + node _T_4001 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 526:78] + node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + reg _T_4003 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4002 : @[Reg.scala 28:19] + _T_4003 <= _T_4001 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 521:19] - node _T_3953 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 522:80] - node _T_3954 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] - reg _T_3955 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3954 : @[Reg.scala 28:19] - _T_3955 <= _T_3953 @[Reg.scala 28:23] + buf_samedw[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 526:19] + node _T_4004 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:80] + node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:113] + reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4005 : @[Reg.scala 28:19] + _T_4006 <= _T_4004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3956 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 523:78] - node _T_3957 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] - reg _T_3958 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3957 : @[Reg.scala 28:19] - _T_3958 <= _T_3956 @[Reg.scala 28:23] + buf_nomerge[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4007 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:78] + node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:111] + reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4008 : @[Reg.scala 28:19] + _T_4009 <= _T_4007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 523:19] - node _T_3959 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_3959 : @[Conditional.scala 40:58] - node _T_3960 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] - node _T_3961 = mux(_T_3960, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] - buf_nxtstate[3] <= _T_3961 @[el2_lsu_bus_buffer.scala 460:25] - node _T_3962 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] - node _T_3963 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3964 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] - node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 461:95] - node _T_3966 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] - node _T_3967 = and(_T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 461:112] - node _T_3968 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] - node _T_3969 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] - node _T_3970 = and(_T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 461:161] - node _T_3971 = or(_T_3967, _T_3970) @[el2_lsu_bus_buffer.scala 461:132] - node _T_3972 = and(_T_3962, _T_3971) @[el2_lsu_bus_buffer.scala 461:63] - node _T_3973 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] - node _T_3974 = and(ibuf_drain_vld, _T_3973) @[el2_lsu_bus_buffer.scala 461:201] - node _T_3975 = or(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 461:183] - buf_state_en[3] <= _T_3975 @[el2_lsu_bus_buffer.scala 461:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 462:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 463:24] - node _T_3976 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] - node _T_3977 = and(ibuf_drain_vld, _T_3976) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3978 = bits(_T_3977, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] - node _T_3979 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] - node _T_3980 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] - node _T_3981 = mux(_T_3978, _T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 464:30] - buf_data_in[3] <= _T_3981 @[el2_lsu_bus_buffer.scala 464:24] + buf_dualhi[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 528:19] + node _T_4010 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4010 : @[Conditional.scala 40:58] + node _T_4011 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 465:56] + node _T_4012 = mux(_T_4011, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 465:31] + buf_nxtstate[3] <= _T_4012 @[el2_lsu_bus_buffer.scala 465:25] + node _T_4013 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 466:45] + node _T_4014 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:77] + node _T_4015 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:97] + node _T_4016 = and(_T_4014, _T_4015) @[el2_lsu_bus_buffer.scala 466:95] + node _T_4017 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 466:117] + node _T_4018 = and(_T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 466:112] + node _T_4019 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 466:144] + node _T_4020 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 466:166] + node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 466:161] + node _T_4022 = or(_T_4018, _T_4021) @[el2_lsu_bus_buffer.scala 466:132] + node _T_4023 = and(_T_4013, _T_4022) @[el2_lsu_bus_buffer.scala 466:63] + node _T_4024 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 466:206] + node _T_4025 = and(ibuf_drain_vld, _T_4024) @[el2_lsu_bus_buffer.scala 466:201] + node _T_4026 = or(_T_4023, _T_4025) @[el2_lsu_bus_buffer.scala 466:183] + buf_state_en[3] <= _T_4026 @[el2_lsu_bus_buffer.scala 466:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 467:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 468:24] + node _T_4027 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:52] + node _T_4028 = and(ibuf_drain_vld, _T_4027) @[el2_lsu_bus_buffer.scala 469:47] + node _T_4029 = bits(_T_4028, 0, 0) @[el2_lsu_bus_buffer.scala 469:73] + node _T_4030 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 469:90] + node _T_4031 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 469:114] + node _T_4032 = mux(_T_4029, _T_4030, _T_4031) @[el2_lsu_bus_buffer.scala 469:30] + buf_data_in[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 469:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3982 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_3982 : @[Conditional.scala 39:67] - node _T_3983 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] - node _T_3984 = mux(_T_3983, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] - buf_nxtstate[3] <= _T_3984 @[el2_lsu_bus_buffer.scala 467:25] - node _T_3985 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] - buf_state_en[3] <= _T_3985 @[el2_lsu_bus_buffer.scala 468:25] + node _T_4033 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4033 : @[Conditional.scala 39:67] + node _T_4034 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 472:60] + node _T_4035 = mux(_T_4034, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:31] + buf_nxtstate[3] <= _T_4035 @[el2_lsu_bus_buffer.scala 472:25] + node _T_4036 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:46] + buf_state_en[3] <= _T_4036 @[el2_lsu_bus_buffer.scala 473:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3986 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_3986 : @[Conditional.scala 39:67] - node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] - node _T_3988 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] - node _T_3989 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] - node _T_3990 = and(_T_3988, _T_3989) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3991 = mux(_T_3990, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] - node _T_3992 = mux(_T_3987, UInt<3>("h00"), _T_3991) @[el2_lsu_bus_buffer.scala 471:31] - buf_nxtstate[3] <= _T_3992 @[el2_lsu_bus_buffer.scala 471:25] - node _T_3993 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3994 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 472:104] - node _T_3995 = and(obuf_merge, _T_3994) @[el2_lsu_bus_buffer.scala 472:91] - node _T_3996 = or(_T_3993, _T_3995) @[el2_lsu_bus_buffer.scala 472:77] - node _T_3997 = and(_T_3996, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] - node _T_3998 = and(_T_3997, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] - buf_cmd_state_bus_en[3] <= _T_3998 @[el2_lsu_bus_buffer.scala 472:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 473:29] - node _T_3999 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] - node _T_4000 = or(_T_3999, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] - buf_state_en[3] <= _T_4000 @[el2_lsu_bus_buffer.scala 474:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] - node _T_4001 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 476:56] - node _T_4002 = eq(_T_4001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] - node _T_4003 = and(buf_state_en[3], _T_4002) @[el2_lsu_bus_buffer.scala 476:44] - node _T_4004 = and(_T_4003, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] - node _T_4005 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] - node _T_4006 = and(_T_4004, _T_4005) @[el2_lsu_bus_buffer.scala 476:74] - buf_ldfwd_en[3] <= _T_4006 @[el2_lsu_bus_buffer.scala 476:25] - node _T_4007 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] - buf_ldfwdtag_in[3] <= _T_4007 @[el2_lsu_bus_buffer.scala 477:28] - node _T_4008 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] - node _T_4009 = and(_T_4008, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] - node _T_4010 = and(_T_4009, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] - buf_data_en[3] <= _T_4010 @[el2_lsu_bus_buffer.scala 478:24] - node _T_4011 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] - node _T_4012 = and(_T_4011, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] - node _T_4013 = and(_T_4012, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] - buf_error_en[3] <= _T_4013 @[el2_lsu_bus_buffer.scala 479:25] - node _T_4014 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] - node _T_4015 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] - node _T_4016 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] - node _T_4017 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] - node _T_4018 = mux(_T_4015, _T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 480:73] - node _T_4019 = mux(buf_error_en[3], _T_4014, _T_4018) @[el2_lsu_bus_buffer.scala 480:30] - buf_data_in[3] <= _T_4019 @[el2_lsu_bus_buffer.scala 480:24] + node _T_4037 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4037 : @[Conditional.scala 39:67] + node _T_4038 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_4039 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:89] + node _T_4040 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 476:124] + node _T_4041 = and(_T_4039, _T_4040) @[el2_lsu_bus_buffer.scala 476:104] + node _T_4042 = mux(_T_4041, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 476:75] + node _T_4043 = mux(_T_4038, UInt<3>("h00"), _T_4042) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[3] <= _T_4043 @[el2_lsu_bus_buffer.scala 476:25] + node _T_4044 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_4045 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:104] + node _T_4046 = and(obuf_merge, _T_4045) @[el2_lsu_bus_buffer.scala 477:91] + node _T_4047 = or(_T_4044, _T_4046) @[el2_lsu_bus_buffer.scala 477:77] + node _T_4048 = and(_T_4047, obuf_valid) @[el2_lsu_bus_buffer.scala 477:135] + node _T_4049 = and(_T_4048, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 477:148] + buf_cmd_state_bus_en[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 477:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 478:29] + node _T_4050 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:49] + node _T_4051 = or(_T_4050, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 479:70] + buf_state_en[3] <= _T_4051 @[el2_lsu_bus_buffer.scala 479:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 480:25] + node _T_4052 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 481:56] + node _T_4053 = eq(_T_4052, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:46] + node _T_4054 = and(buf_state_en[3], _T_4053) @[el2_lsu_bus_buffer.scala 481:44] + node _T_4055 = and(_T_4054, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:60] + node _T_4056 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:76] + node _T_4057 = and(_T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 481:74] + buf_ldfwd_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 481:25] + node _T_4058 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 482:46] + buf_ldfwdtag_in[3] <= _T_4058 @[el2_lsu_bus_buffer.scala 482:28] + node _T_4059 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:47] + node _T_4060 = and(_T_4059, obuf_nosend) @[el2_lsu_bus_buffer.scala 483:67] + node _T_4061 = and(_T_4060, bus_rsp_read) @[el2_lsu_bus_buffer.scala 483:81] + buf_data_en[3] <= _T_4061 @[el2_lsu_bus_buffer.scala 483:24] + node _T_4062 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:48] + node _T_4063 = and(_T_4062, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:68] + node _T_4064 = and(_T_4063, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 484:82] + buf_error_en[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 484:25] + node _T_4065 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:61] + node _T_4066 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 485:85] + node _T_4067 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 485:103] + node _T_4068 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 485:126] + node _T_4069 = mux(_T_4066, _T_4067, _T_4068) @[el2_lsu_bus_buffer.scala 485:73] + node _T_4070 = mux(buf_error_en[3], _T_4065, _T_4069) @[el2_lsu_bus_buffer.scala 485:30] + buf_data_in[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 485:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4020 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4020 : @[Conditional.scala 39:67] - node _T_4021 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] - node _T_4022 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] - node _T_4023 = eq(_T_4022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] - node _T_4024 = and(_T_4021, _T_4023) @[el2_lsu_bus_buffer.scala 483:71] - node _T_4025 = or(io.dec_tlu_force_halt, _T_4024) @[el2_lsu_bus_buffer.scala 483:55] - node _T_4026 = bits(_T_4025, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] - node _T_4027 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] - node _T_4028 = and(buf_dual[3], _T_4027) @[el2_lsu_bus_buffer.scala 484:28] - node _T_4029 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:57] - node _T_4030 = eq(_T_4029, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] - node _T_4031 = and(_T_4028, _T_4030) @[el2_lsu_bus_buffer.scala 484:45] - node _T_4032 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] - node _T_4033 = and(_T_4031, _T_4032) @[el2_lsu_bus_buffer.scala 484:61] - node _T_4034 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 485:27] - node _T_4035 = or(_T_4034, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] - node _T_4036 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] - node _T_4037 = and(buf_dual[3], _T_4036) @[el2_lsu_bus_buffer.scala 485:68] - node _T_4038 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 485:97] - node _T_4039 = eq(_T_4038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] - node _T_4040 = and(_T_4037, _T_4039) @[el2_lsu_bus_buffer.scala 485:85] - node _T_4041 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4042 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4043 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4044 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4045 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4046 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4047 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4048 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4049 = mux(_T_4041, _T_4042, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4050 = mux(_T_4043, _T_4044, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4051 = mux(_T_4045, _T_4046, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4052 = mux(_T_4047, _T_4048, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4053 = or(_T_4049, _T_4050) @[Mux.scala 27:72] - node _T_4054 = or(_T_4053, _T_4051) @[Mux.scala 27:72] - node _T_4055 = or(_T_4054, _T_4052) @[Mux.scala 27:72] - wire _T_4056 : UInt<1> @[Mux.scala 27:72] - _T_4056 <= _T_4055 @[Mux.scala 27:72] - node _T_4057 = and(_T_4040, _T_4056) @[el2_lsu_bus_buffer.scala 485:101] - node _T_4058 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] - node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 485:138] - node _T_4060 = and(_T_4059, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] - node _T_4061 = or(_T_4035, _T_4060) @[el2_lsu_bus_buffer.scala 485:53] - node _T_4062 = mux(_T_4061, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] - node _T_4063 = mux(_T_4033, UInt<3>("h04"), _T_4062) @[el2_lsu_bus_buffer.scala 484:14] - node _T_4064 = mux(_T_4026, UInt<3>("h00"), _T_4063) @[el2_lsu_bus_buffer.scala 483:31] - buf_nxtstate[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 483:25] - node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_4066 = and(bus_rsp_write, _T_4065) @[el2_lsu_bus_buffer.scala 486:52] - node _T_4067 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 487:46] - node _T_4068 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 488:23] - node _T_4069 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 488:47] - node _T_4070 = and(_T_4068, _T_4069) @[el2_lsu_bus_buffer.scala 488:27] - node _T_4071 = or(_T_4067, _T_4070) @[el2_lsu_bus_buffer.scala 487:77] - node _T_4072 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 489:26] - node _T_4073 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 489:54] - node _T_4074 = not(_T_4073) @[el2_lsu_bus_buffer.scala 489:44] - node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 489:42] - node _T_4076 = and(_T_4075, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 489:58] - node _T_4077 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 489:94] - node _T_4078 = and(_T_4076, _T_4077) @[el2_lsu_bus_buffer.scala 489:74] - node _T_4079 = or(_T_4071, _T_4078) @[el2_lsu_bus_buffer.scala 488:71] - node _T_4080 = and(bus_rsp_read, _T_4079) @[el2_lsu_bus_buffer.scala 487:25] - node _T_4081 = or(_T_4066, _T_4080) @[el2_lsu_bus_buffer.scala 486:105] - buf_resp_state_bus_en[3] <= _T_4081 @[el2_lsu_bus_buffer.scala 486:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 490:29] - node _T_4082 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[3] <= _T_4083 @[el2_lsu_bus_buffer.scala 491:25] - node _T_4084 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] - node _T_4085 = and(_T_4084, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] - buf_data_en[3] <= _T_4085 @[el2_lsu_bus_buffer.scala 492:24] - node _T_4086 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_4087 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 493:111] - node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 493:91] - node _T_4089 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:42] - node _T_4090 = and(bus_rsp_read_error, _T_4089) @[el2_lsu_bus_buffer.scala 494:31] - node _T_4091 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 494:66] - node _T_4092 = and(_T_4090, _T_4091) @[el2_lsu_bus_buffer.scala 494:46] - node _T_4093 = or(_T_4088, _T_4092) @[el2_lsu_bus_buffer.scala 493:143] - node _T_4094 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] - node _T_4095 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 495:74] - node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 495:53] - node _T_4097 = or(_T_4093, _T_4096) @[el2_lsu_bus_buffer.scala 494:88] - node _T_4098 = and(_T_4086, _T_4097) @[el2_lsu_bus_buffer.scala 493:68] - buf_error_en[3] <= _T_4098 @[el2_lsu_bus_buffer.scala 493:25] - node _T_4099 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] - node _T_4100 = and(buf_state_en[3], _T_4099) @[el2_lsu_bus_buffer.scala 496:48] - node _T_4101 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] - node _T_4102 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] - node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] - node _T_4104 = mux(_T_4101, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 496:72] - node _T_4105 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] - node _T_4106 = mux(_T_4100, _T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[3] <= _T_4106 @[el2_lsu_bus_buffer.scala 496:24] + node _T_4071 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4071 : @[Conditional.scala 39:67] + node _T_4072 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 488:67] + node _T_4073 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 488:94] + node _T_4074 = eq(_T_4073, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:73] + node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 488:71] + node _T_4076 = or(io.dec_tlu_force_halt, _T_4075) @[el2_lsu_bus_buffer.scala 488:55] + node _T_4077 = bits(_T_4076, 0, 0) @[el2_lsu_bus_buffer.scala 488:125] + node _T_4078 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:30] + node _T_4079 = and(buf_dual[3], _T_4078) @[el2_lsu_bus_buffer.scala 489:28] + node _T_4080 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 489:57] + node _T_4081 = eq(_T_4080, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:47] + node _T_4082 = and(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 489:45] + node _T_4083 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 489:90] + node _T_4084 = and(_T_4082, _T_4083) @[el2_lsu_bus_buffer.scala 489:61] + node _T_4085 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 490:27] + node _T_4086 = or(_T_4085, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:31] + node _T_4087 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:70] + node _T_4088 = and(buf_dual[3], _T_4087) @[el2_lsu_bus_buffer.scala 490:68] + node _T_4089 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 490:97] + node _T_4090 = eq(_T_4089, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:87] + node _T_4091 = and(_T_4088, _T_4090) @[el2_lsu_bus_buffer.scala 490:85] + node _T_4092 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4093 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4094 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4095 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4096 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4097 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4098 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4099 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4100 = mux(_T_4092, _T_4093, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4101 = mux(_T_4094, _T_4095, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4102 = mux(_T_4096, _T_4097, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4103 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4104 = or(_T_4100, _T_4101) @[Mux.scala 27:72] + node _T_4105 = or(_T_4104, _T_4102) @[Mux.scala 27:72] + node _T_4106 = or(_T_4105, _T_4103) @[Mux.scala 27:72] + wire _T_4107 : UInt<1> @[Mux.scala 27:72] + _T_4107 <= _T_4106 @[Mux.scala 27:72] + node _T_4108 = and(_T_4091, _T_4107) @[el2_lsu_bus_buffer.scala 490:101] + node _T_4109 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:167] + node _T_4110 = and(_T_4108, _T_4109) @[el2_lsu_bus_buffer.scala 490:138] + node _T_4111 = and(_T_4110, any_done_wait_state) @[el2_lsu_bus_buffer.scala 490:187] + node _T_4112 = or(_T_4086, _T_4111) @[el2_lsu_bus_buffer.scala 490:53] + node _T_4113 = mux(_T_4112, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 490:16] + node _T_4114 = mux(_T_4084, UInt<3>("h04"), _T_4113) @[el2_lsu_bus_buffer.scala 489:14] + node _T_4115 = mux(_T_4077, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[3] <= _T_4115 @[el2_lsu_bus_buffer.scala 488:25] + node _T_4116 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_4117 = and(bus_rsp_write, _T_4116) @[el2_lsu_bus_buffer.scala 491:52] + node _T_4118 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:46] + node _T_4119 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 493:23] + node _T_4120 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 493:47] + node _T_4121 = and(_T_4119, _T_4120) @[el2_lsu_bus_buffer.scala 493:27] + node _T_4122 = or(_T_4118, _T_4121) @[el2_lsu_bus_buffer.scala 492:77] + node _T_4123 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 494:26] + node _T_4124 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 494:54] + node _T_4125 = not(_T_4124) @[el2_lsu_bus_buffer.scala 494:44] + node _T_4126 = and(_T_4123, _T_4125) @[el2_lsu_bus_buffer.scala 494:42] + node _T_4127 = and(_T_4126, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 494:58] + node _T_4128 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 494:94] + node _T_4129 = and(_T_4127, _T_4128) @[el2_lsu_bus_buffer.scala 494:74] + node _T_4130 = or(_T_4122, _T_4129) @[el2_lsu_bus_buffer.scala 493:71] + node _T_4131 = and(bus_rsp_read, _T_4130) @[el2_lsu_bus_buffer.scala 492:25] + node _T_4132 = or(_T_4117, _T_4131) @[el2_lsu_bus_buffer.scala 491:105] + buf_resp_state_bus_en[3] <= _T_4132 @[el2_lsu_bus_buffer.scala 491:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 495:29] + node _T_4133 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:49] + node _T_4134 = or(_T_4133, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 496:70] + buf_state_en[3] <= _T_4134 @[el2_lsu_bus_buffer.scala 496:25] + node _T_4135 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 497:47] + node _T_4136 = and(_T_4135, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:62] + buf_data_en[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 497:24] + node _T_4137 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:48] + node _T_4138 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 498:111] + node _T_4139 = and(bus_rsp_read_error, _T_4138) @[el2_lsu_bus_buffer.scala 498:91] + node _T_4140 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 499:42] + node _T_4141 = and(bus_rsp_read_error, _T_4140) @[el2_lsu_bus_buffer.scala 499:31] + node _T_4142 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_4143 = and(_T_4141, _T_4142) @[el2_lsu_bus_buffer.scala 499:46] + node _T_4144 = or(_T_4139, _T_4143) @[el2_lsu_bus_buffer.scala 498:143] + node _T_4145 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 500:32] + node _T_4146 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 500:74] + node _T_4147 = and(_T_4145, _T_4146) @[el2_lsu_bus_buffer.scala 500:53] + node _T_4148 = or(_T_4144, _T_4147) @[el2_lsu_bus_buffer.scala 499:88] + node _T_4149 = and(_T_4137, _T_4148) @[el2_lsu_bus_buffer.scala 498:68] + buf_error_en[3] <= _T_4149 @[el2_lsu_bus_buffer.scala 498:25] + node _T_4150 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:50] + node _T_4151 = and(buf_state_en[3], _T_4150) @[el2_lsu_bus_buffer.scala 501:48] + node _T_4152 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 501:84] + node _T_4153 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 501:102] + node _T_4154 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:125] + node _T_4155 = mux(_T_4152, _T_4153, _T_4154) @[el2_lsu_bus_buffer.scala 501:72] + node _T_4156 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 501:148] + node _T_4157 = mux(_T_4151, _T_4155, _T_4156) @[el2_lsu_bus_buffer.scala 501:30] + buf_data_in[3] <= _T_4157 @[el2_lsu_bus_buffer.scala 501:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4107 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4107 : @[Conditional.scala 39:67] - node _T_4108 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_4109 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 499:86] - node _T_4110 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:101] - node _T_4111 = bits(_T_4110, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] - node _T_4112 = or(_T_4109, _T_4111) @[el2_lsu_bus_buffer.scala 499:90] - node _T_4113 = or(_T_4112, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] - node _T_4114 = mux(_T_4113, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] - node _T_4115 = mux(_T_4108, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[3] <= _T_4115 @[el2_lsu_bus_buffer.scala 499:25] - node _T_4116 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_4117 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 501:21] - node _T_4118 = bits(_T_4117, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] - node _T_4119 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 501:58] - node _T_4120 = and(_T_4118, _T_4119) @[el2_lsu_bus_buffer.scala 501:38] - node _T_4121 = or(_T_4116, _T_4120) @[el2_lsu_bus_buffer.scala 500:95] - node _T_4122 = and(bus_rsp_read, _T_4121) @[el2_lsu_bus_buffer.scala 500:45] - buf_state_bus_en[3] <= _T_4122 @[el2_lsu_bus_buffer.scala 500:29] - node _T_4123 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] - node _T_4124 = or(_T_4123, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] - buf_state_en[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 502:25] + node _T_4158 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4158 : @[Conditional.scala 39:67] + node _T_4159 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_4160 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 504:86] + node _T_4161 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 504:101] + node _T_4162 = bits(_T_4161, 0, 0) @[el2_lsu_bus_buffer.scala 504:101] + node _T_4163 = or(_T_4160, _T_4162) @[el2_lsu_bus_buffer.scala 504:90] + node _T_4164 = or(_T_4163, any_done_wait_state) @[el2_lsu_bus_buffer.scala 504:118] + node _T_4165 = mux(_T_4164, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_4166 = mux(_T_4159, UInt<3>("h00"), _T_4165) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[3] <= _T_4166 @[el2_lsu_bus_buffer.scala 504:25] + node _T_4167 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 505:66] + node _T_4168 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 506:21] + node _T_4169 = bits(_T_4168, 0, 0) @[el2_lsu_bus_buffer.scala 506:21] + node _T_4170 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_4171 = and(_T_4169, _T_4170) @[el2_lsu_bus_buffer.scala 506:38] + node _T_4172 = or(_T_4167, _T_4171) @[el2_lsu_bus_buffer.scala 505:95] + node _T_4173 = and(bus_rsp_read, _T_4172) @[el2_lsu_bus_buffer.scala 505:45] + buf_state_bus_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 505:29] + node _T_4174 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_4175 = or(_T_4174, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[3] <= _T_4175 @[el2_lsu_bus_buffer.scala 507:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4125 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4125 : @[Conditional.scala 39:67] - node _T_4126 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_4127 = mux(_T_4126, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[3] <= _T_4127 @[el2_lsu_bus_buffer.scala 505:25] - node _T_4128 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 506:37] - node _T_4129 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] - node _T_4130 = and(buf_dual[3], _T_4129) @[el2_lsu_bus_buffer.scala 506:80] - node _T_4131 = or(_T_4128, _T_4130) @[el2_lsu_bus_buffer.scala 506:65] - node _T_4132 = or(_T_4131, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] - buf_state_en[3] <= _T_4132 @[el2_lsu_bus_buffer.scala 506:25] + node _T_4176 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4176 : @[Conditional.scala 39:67] + node _T_4177 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_4178 = mux(_T_4177, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[3] <= _T_4178 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4179 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 511:37] + node _T_4180 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 511:98] + node _T_4181 = and(buf_dual[3], _T_4180) @[el2_lsu_bus_buffer.scala 511:80] + node _T_4182 = or(_T_4179, _T_4181) @[el2_lsu_bus_buffer.scala 511:65] + node _T_4183 = or(_T_4182, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:112] + buf_state_en[3] <= _T_4183 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4133 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4133 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 513:25] + node _T_4184 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4184 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 514:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 515:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 518:25] skip @[Conditional.scala 39:67] - node _T_4134 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] - reg _T_4135 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4134 : @[Reg.scala 28:19] - _T_4135 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4185 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:108] + reg _T_4186 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4185 : @[Reg.scala 28:19] + _T_4186 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 516:18] - reg _T_4136 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] - _T_4136 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 517:60] - buf_ageQ[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 517:17] - reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] - _T_4137 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 518:63] - buf_rspageQ[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 518:20] - node _T_4138 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] - reg _T_4139 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4138 : @[Reg.scala 28:19] - _T_4139 <= buf_dualtag_in[3] @[Reg.scala 28:23] + buf_state[3] <= _T_4186 @[el2_lsu_bus_buffer.scala 521:18] + reg _T_4187 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 522:60] + _T_4187 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 522:60] + buf_ageQ[3] <= _T_4187 @[el2_lsu_bus_buffer.scala 522:17] + reg _T_4188 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:63] + _T_4188 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 523:63] + buf_rspageQ[3] <= _T_4188 @[el2_lsu_bus_buffer.scala 523:20] + node _T_4189 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 524:109] + reg _T_4190 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4189 : @[Reg.scala 28:19] + _T_4190 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4139 @[el2_lsu_bus_buffer.scala 519:20] - node _T_4140 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:74] - node _T_4141 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] - reg _T_4142 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4141 : @[Reg.scala 28:19] - _T_4142 <= _T_4140 @[Reg.scala 28:23] + buf_dualtag[3] <= _T_4190 @[el2_lsu_bus_buffer.scala 524:20] + node _T_4191 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 525:74] + node _T_4192 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:107] + reg _T_4193 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4192 : @[Reg.scala 28:19] + _T_4193 <= _T_4191 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 520:17] - node _T_4143 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:78] - node _T_4144 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] - reg _T_4145 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4144 : @[Reg.scala 28:19] - _T_4145 <= _T_4143 @[Reg.scala 28:23] + buf_dual[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 525:17] + node _T_4194 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 526:78] + node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + reg _T_4196 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4195 : @[Reg.scala 28:19] + _T_4196 <= _T_4194 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 521:19] - node _T_4146 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 522:80] - node _T_4147 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] - reg _T_4148 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4147 : @[Reg.scala 28:19] - _T_4148 <= _T_4146 @[Reg.scala 28:23] + buf_samedw[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 526:19] + node _T_4197 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:80] + node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:113] + reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4198 : @[Reg.scala 28:19] + _T_4199 <= _T_4197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 522:20] - node _T_4149 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 523:78] - node _T_4150 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] - reg _T_4151 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4150 : @[Reg.scala 28:19] - _T_4151 <= _T_4149 @[Reg.scala 28:23] + buf_nomerge[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4200 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:78] + node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:111] + reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4201 : @[Reg.scala 28:19] + _T_4202 <= _T_4200 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 523:19] - node _T_4152 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] - reg _T_4153 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4152 : @[Reg.scala 28:19] - _T_4153 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 528:19] + node _T_4203 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:133] + reg _T_4204 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4203 : @[Reg.scala 28:19] + _T_4204 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4154 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] - reg _T_4155 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4154 : @[Reg.scala 28:19] - _T_4155 <= buf_ldfwd_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4156 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] - reg _T_4157 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4156 : @[Reg.scala 28:19] - _T_4157 <= buf_ldfwd_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4158 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] - reg _T_4159 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4158 : @[Reg.scala 28:19] - _T_4159 <= buf_ldfwd_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4160 = cat(_T_4159, _T_4157) @[Cat.scala 29:58] - node _T_4161 = cat(_T_4160, _T_4155) @[Cat.scala 29:58] - node _T_4162 = cat(_T_4161, _T_4153) @[Cat.scala 29:58] - buf_ldfwd <= _T_4162 @[el2_lsu_bus_buffer.scala 526:15] - node _T_4163 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] - reg _T_4164 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4163 : @[Reg.scala 28:19] - _T_4164 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4165 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] - reg _T_4166 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4165 : @[Reg.scala 28:19] - _T_4166 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4167 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] - reg _T_4168 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4167 : @[Reg.scala 28:19] - _T_4168 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4169 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] - reg _T_4170 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4169 : @[Reg.scala 28:19] - _T_4170 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4164 @[el2_lsu_bus_buffer.scala 527:18] - buf_ldfwdtag[1] <= _T_4166 @[el2_lsu_bus_buffer.scala 527:18] - buf_ldfwdtag[2] <= _T_4168 @[el2_lsu_bus_buffer.scala 527:18] - buf_ldfwdtag[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 527:18] - node _T_4171 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:107] - node _T_4172 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] - reg _T_4173 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4172 : @[Reg.scala 28:19] - _T_4173 <= _T_4171 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4174 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:107] - node _T_4175 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] - reg _T_4176 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4175 : @[Reg.scala 28:19] - _T_4176 <= _T_4174 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4177 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:107] - node _T_4178 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] - reg _T_4179 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4178 : @[Reg.scala 28:19] - _T_4179 <= _T_4177 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4180 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:107] - node _T_4181 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] - reg _T_4182 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4181 : @[Reg.scala 28:19] - _T_4182 <= _T_4180 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4183 = cat(_T_4182, _T_4179) @[Cat.scala 29:58] - node _T_4184 = cat(_T_4183, _T_4176) @[Cat.scala 29:58] - node _T_4185 = cat(_T_4184, _T_4173) @[Cat.scala 29:58] - buf_sideeffect <= _T_4185 @[el2_lsu_bus_buffer.scala 528:20] - node _T_4186 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:99] - node _T_4187 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] - reg _T_4188 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4187 : @[Reg.scala 28:19] - _T_4188 <= _T_4186 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4189 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:99] - node _T_4190 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] - reg _T_4191 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4190 : @[Reg.scala 28:19] - _T_4191 <= _T_4189 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4192 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:99] - node _T_4193 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] - reg _T_4194 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4193 : @[Reg.scala 28:19] - _T_4194 <= _T_4192 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4195 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:99] - node _T_4196 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] - reg _T_4197 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4196 : @[Reg.scala 28:19] - _T_4197 <= _T_4195 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4198 = cat(_T_4197, _T_4194) @[Cat.scala 29:58] - node _T_4199 = cat(_T_4198, _T_4191) @[Cat.scala 29:58] - node _T_4200 = cat(_T_4199, _T_4188) @[Cat.scala 29:58] - buf_unsign <= _T_4200 @[el2_lsu_bus_buffer.scala 529:16] - node _T_4201 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4202 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] - reg _T_4203 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4202 : @[Reg.scala 28:19] - _T_4203 <= _T_4201 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4204 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4205 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] + node _T_4205 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:133] reg _T_4206 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4205 : @[Reg.scala 28:19] - _T_4206 <= _T_4204 @[Reg.scala 28:23] + _T_4206 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4207 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4208 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] - reg _T_4209 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4208 : @[Reg.scala 28:19] - _T_4209 <= _T_4207 @[Reg.scala 28:23] + node _T_4207 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:133] + reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4207 : @[Reg.scala 28:19] + _T_4208 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4210 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4211 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] - reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4211 : @[Reg.scala 28:19] - _T_4212 <= _T_4210 @[Reg.scala 28:23] + node _T_4209 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:133] + reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4209 : @[Reg.scala 28:19] + _T_4210 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4213 = cat(_T_4212, _T_4209) @[Cat.scala 29:58] - node _T_4214 = cat(_T_4213, _T_4206) @[Cat.scala 29:58] - node _T_4215 = cat(_T_4214, _T_4203) @[Cat.scala 29:58] - buf_write <= _T_4215 @[el2_lsu_bus_buffer.scala 530:15] - node _T_4216 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] + node _T_4211 = cat(_T_4210, _T_4208) @[Cat.scala 29:58] + node _T_4212 = cat(_T_4211, _T_4206) @[Cat.scala 29:58] + node _T_4213 = cat(_T_4212, _T_4204) @[Cat.scala 29:58] + buf_ldfwd <= _T_4213 @[el2_lsu_bus_buffer.scala 531:15] + node _T_4214 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:134] + reg _T_4215 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4214 : @[Reg.scala 28:19] + _T_4215 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4216 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:134] reg _T_4217 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4216 : @[Reg.scala 28:19] - _T_4217 <= buf_sz_in[0] @[Reg.scala 28:23] + _T_4217 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4218 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] + node _T_4218 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:134] reg _T_4219 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4218 : @[Reg.scala 28:19] - _T_4219 <= buf_sz_in[1] @[Reg.scala 28:23] + _T_4219 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4220 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] + node _T_4220 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:134] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] - _T_4221 <= buf_sz_in[2] @[Reg.scala 28:23] + _T_4221 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] - reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4222 : @[Reg.scala 28:19] - _T_4223 <= buf_sz_in[3] @[Reg.scala 28:23] + buf_ldfwdtag[0] <= _T_4215 @[el2_lsu_bus_buffer.scala 532:18] + buf_ldfwdtag[1] <= _T_4217 @[el2_lsu_bus_buffer.scala 532:18] + buf_ldfwdtag[2] <= _T_4219 @[el2_lsu_bus_buffer.scala 532:18] + buf_ldfwdtag[3] <= _T_4221 @[el2_lsu_bus_buffer.scala 532:18] + node _T_4222 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 533:107] + node _T_4223 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:140] + reg _T_4224 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4223 : @[Reg.scala 28:19] + _T_4224 <= _T_4222 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4217 @[el2_lsu_bus_buffer.scala 531:12] - buf_sz[1] <= _T_4219 @[el2_lsu_bus_buffer.scala 531:12] - buf_sz[2] <= _T_4221 @[el2_lsu_bus_buffer.scala 531:12] - buf_sz[3] <= _T_4223 @[el2_lsu_bus_buffer.scala 531:12] - node _T_4224 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] + node _T_4225 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 533:107] + node _T_4226 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:140] + reg _T_4227 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4226 : @[Reg.scala 28:19] + _T_4227 <= _T_4225 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4228 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 533:107] + node _T_4229 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:140] + reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4229 : @[Reg.scala 28:19] + _T_4230 <= _T_4228 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4231 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 533:107] + node _T_4232 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:140] + reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4232 : @[Reg.scala 28:19] + _T_4233 <= _T_4231 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4234 = cat(_T_4233, _T_4230) @[Cat.scala 29:58] + node _T_4235 = cat(_T_4234, _T_4227) @[Cat.scala 29:58] + node _T_4236 = cat(_T_4235, _T_4224) @[Cat.scala 29:58] + buf_sideeffect <= _T_4236 @[el2_lsu_bus_buffer.scala 533:20] + node _T_4237 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 534:99] + node _T_4238 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:132] + reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4238 : @[Reg.scala 28:19] + _T_4239 <= _T_4237 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4240 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 534:99] + node _T_4241 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:132] + reg _T_4242 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4241 : @[Reg.scala 28:19] + _T_4242 <= _T_4240 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4243 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 534:99] + node _T_4244 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:132] + reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4244 : @[Reg.scala 28:19] + _T_4245 <= _T_4243 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4246 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 534:99] + node _T_4247 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:132] + reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4247 : @[Reg.scala 28:19] + _T_4248 <= _T_4246 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4249 = cat(_T_4248, _T_4245) @[Cat.scala 29:58] + node _T_4250 = cat(_T_4249, _T_4242) @[Cat.scala 29:58] + node _T_4251 = cat(_T_4250, _T_4239) @[Cat.scala 29:58] + buf_unsign <= _T_4251 @[el2_lsu_bus_buffer.scala 534:16] + node _T_4252 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 535:97] + node _T_4253 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:130] + reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4253 : @[Reg.scala 28:19] + _T_4254 <= _T_4252 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4255 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 535:97] + node _T_4256 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:130] + reg _T_4257 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4256 : @[Reg.scala 28:19] + _T_4257 <= _T_4255 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4258 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 535:97] + node _T_4259 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:130] + reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4259 : @[Reg.scala 28:19] + _T_4260 <= _T_4258 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4261 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 535:97] + node _T_4262 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:130] + reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4262 : @[Reg.scala 28:19] + _T_4263 <= _T_4261 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4264 = cat(_T_4263, _T_4260) @[Cat.scala 29:58] + node _T_4265 = cat(_T_4264, _T_4257) @[Cat.scala 29:58] + node _T_4266 = cat(_T_4265, _T_4254) @[Cat.scala 29:58] + buf_write <= _T_4266 @[el2_lsu_bus_buffer.scala 535:15] + node _T_4267 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:119] + reg _T_4268 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4267 : @[Reg.scala 28:19] + _T_4268 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4269 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:119] + reg _T_4270 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4269 : @[Reg.scala 28:19] + _T_4270 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4271 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:119] + reg _T_4272 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4271 : @[Reg.scala 28:19] + _T_4272 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4273 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:119] + reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4273 : @[Reg.scala 28:19] + _T_4274 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4268 @[el2_lsu_bus_buffer.scala 536:12] + buf_sz[1] <= _T_4270 @[el2_lsu_bus_buffer.scala 536:12] + buf_sz[2] <= _T_4272 @[el2_lsu_bus_buffer.scala 536:12] + buf_sz[3] <= _T_4274 @[el2_lsu_bus_buffer.scala 536:12] + node _T_4275 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4224 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4275 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4225 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4225 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4226 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] + reg _T_4276 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4276 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4277 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4226 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4277 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4227 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4227 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4228 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] + reg _T_4278 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4278 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4279 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4228 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4279 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4229 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4229 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4230 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] + reg _T_4280 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4280 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4281 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4230 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4281 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4231 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4231 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4225 @[el2_lsu_bus_buffer.scala 532:14] - buf_addr[1] <= _T_4227 @[el2_lsu_bus_buffer.scala 532:14] - buf_addr[2] <= _T_4229 @[el2_lsu_bus_buffer.scala 532:14] - buf_addr[3] <= _T_4231 @[el2_lsu_bus_buffer.scala 532:14] - node _T_4232 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] - reg _T_4233 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4232 : @[Reg.scala 28:19] - _T_4233 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4282 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4282 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4276 @[el2_lsu_bus_buffer.scala 537:14] + buf_addr[1] <= _T_4278 @[el2_lsu_bus_buffer.scala 537:14] + buf_addr[2] <= _T_4280 @[el2_lsu_bus_buffer.scala 537:14] + buf_addr[3] <= _T_4282 @[el2_lsu_bus_buffer.scala 537:14] + node _T_4283 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:127] + reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4283 : @[Reg.scala 28:19] + _T_4284 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] - reg _T_4235 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4234 : @[Reg.scala 28:19] - _T_4235 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4285 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:127] + reg _T_4286 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4285 : @[Reg.scala 28:19] + _T_4286 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4236 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] - reg _T_4237 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4236 : @[Reg.scala 28:19] - _T_4237 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4287 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:127] + reg _T_4288 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4287 : @[Reg.scala 28:19] + _T_4288 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] - reg _T_4239 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4238 : @[Reg.scala 28:19] - _T_4239 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4289 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:127] + reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4233 @[el2_lsu_bus_buffer.scala 533:16] - buf_byteen[1] <= _T_4235 @[el2_lsu_bus_buffer.scala 533:16] - buf_byteen[2] <= _T_4237 @[el2_lsu_bus_buffer.scala 533:16] - buf_byteen[3] <= _T_4239 @[el2_lsu_bus_buffer.scala 533:16] + buf_byteen[0] <= _T_4284 @[el2_lsu_bus_buffer.scala 538:16] + buf_byteen[1] <= _T_4286 @[el2_lsu_bus_buffer.scala 538:16] + buf_byteen[2] <= _T_4288 @[el2_lsu_bus_buffer.scala 538:16] + buf_byteen[3] <= _T_4290 @[el2_lsu_bus_buffer.scala 538:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4240 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4240 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4291 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4291 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4241 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4241 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4292 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4292 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4242 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4242 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4293 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4293 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4243 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4243 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4240 @[el2_lsu_bus_buffer.scala 534:14] - buf_data[1] <= _T_4241 @[el2_lsu_bus_buffer.scala 534:14] - buf_data[2] <= _T_4242 @[el2_lsu_bus_buffer.scala 534:14] - buf_data[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 534:14] - node _T_4244 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 535:121] - node _T_4245 = mux(buf_error_en[0], UInt<1>("h01"), _T_4244) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4246 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] - node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 535:126] - reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] - _T_4248 <= _T_4247 @[el2_lsu_bus_buffer.scala 535:82] - node _T_4249 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 535:121] - node _T_4250 = mux(buf_error_en[1], UInt<1>("h01"), _T_4249) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4251 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] - node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 535:126] - reg _T_4253 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] - _T_4253 <= _T_4252 @[el2_lsu_bus_buffer.scala 535:82] - node _T_4254 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 535:121] - node _T_4255 = mux(buf_error_en[2], UInt<1>("h01"), _T_4254) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4256 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] - node _T_4257 = and(_T_4255, _T_4256) @[el2_lsu_bus_buffer.scala 535:126] - reg _T_4258 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] - _T_4258 <= _T_4257 @[el2_lsu_bus_buffer.scala 535:82] - node _T_4259 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 535:121] - node _T_4260 = mux(buf_error_en[3], UInt<1>("h01"), _T_4259) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4261 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] - node _T_4262 = and(_T_4260, _T_4261) @[el2_lsu_bus_buffer.scala 535:126] - reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] - _T_4263 <= _T_4262 @[el2_lsu_bus_buffer.scala 535:82] - node _T_4264 = cat(_T_4263, _T_4258) @[Cat.scala 29:58] - node _T_4265 = cat(_T_4264, _T_4253) @[Cat.scala 29:58] - node _T_4266 = cat(_T_4265, _T_4248) @[Cat.scala 29:58] - buf_error <= _T_4266 @[el2_lsu_bus_buffer.scala 535:15] - node _T_4267 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4268 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4269 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4270 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4271 = add(_T_4270, _T_4269) @[el2_lsu_bus_buffer.scala 537:96] - node _T_4272 = add(_T_4271, _T_4268) @[el2_lsu_bus_buffer.scala 537:96] - node buf_numvld_any = add(_T_4272, _T_4267) @[el2_lsu_bus_buffer.scala 537:96] - node _T_4273 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4274 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:76] - node _T_4275 = eq(_T_4274, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] - node _T_4276 = and(_T_4273, _T_4275) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4277 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4278 = and(_T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 538:89] - node _T_4279 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4280 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:76] - node _T_4281 = eq(_T_4280, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] - node _T_4282 = and(_T_4279, _T_4281) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4283 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4284 = and(_T_4282, _T_4283) @[el2_lsu_bus_buffer.scala 538:89] - node _T_4285 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4286 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:76] - node _T_4287 = eq(_T_4286, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] - node _T_4288 = and(_T_4285, _T_4287) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4289 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4290 = and(_T_4288, _T_4289) @[el2_lsu_bus_buffer.scala 538:89] - node _T_4291 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4292 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:76] - node _T_4293 = eq(_T_4292, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] - node _T_4294 = and(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4295 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4296 = and(_T_4294, _T_4295) @[el2_lsu_bus_buffer.scala 538:89] - node _T_4297 = add(_T_4296, _T_4290) @[el2_lsu_bus_buffer.scala 538:142] - node _T_4298 = add(_T_4297, _T_4284) @[el2_lsu_bus_buffer.scala 538:142] - node _T_4299 = add(_T_4298, _T_4278) @[el2_lsu_bus_buffer.scala 538:142] - buf_numvld_wrcmd_any <= _T_4299 @[el2_lsu_bus_buffer.scala 538:24] - node _T_4300 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4301 = eq(_T_4300, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] - node _T_4302 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] - node _T_4303 = and(_T_4301, _T_4302) @[el2_lsu_bus_buffer.scala 539:73] - node _T_4304 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4305 = eq(_T_4304, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] - node _T_4306 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] - node _T_4307 = and(_T_4305, _T_4306) @[el2_lsu_bus_buffer.scala 539:73] - node _T_4308 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4309 = eq(_T_4308, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] - node _T_4310 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] - node _T_4311 = and(_T_4309, _T_4310) @[el2_lsu_bus_buffer.scala 539:73] - node _T_4312 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4313 = eq(_T_4312, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] - node _T_4314 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] - node _T_4315 = and(_T_4313, _T_4314) @[el2_lsu_bus_buffer.scala 539:73] - node _T_4316 = add(_T_4315, _T_4311) @[el2_lsu_bus_buffer.scala 539:126] - node _T_4317 = add(_T_4316, _T_4307) @[el2_lsu_bus_buffer.scala 539:126] - node _T_4318 = add(_T_4317, _T_4303) @[el2_lsu_bus_buffer.scala 539:126] - buf_numvld_cmd_any <= _T_4318 @[el2_lsu_bus_buffer.scala 539:22] - node _T_4319 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4320 = eq(_T_4319, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] - node _T_4321 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 540:85] - node _T_4322 = eq(_T_4321, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] - node _T_4323 = or(_T_4320, _T_4322) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4324 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4325 = and(_T_4323, _T_4324) @[el2_lsu_bus_buffer.scala 540:100] - node _T_4326 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4327 = eq(_T_4326, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] - node _T_4328 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 540:85] - node _T_4329 = eq(_T_4328, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] - node _T_4330 = or(_T_4327, _T_4329) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 540:100] - node _T_4333 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4334 = eq(_T_4333, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] - node _T_4335 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 540:85] - node _T_4336 = eq(_T_4335, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] - node _T_4337 = or(_T_4334, _T_4336) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4338 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 540:100] - node _T_4340 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4341 = eq(_T_4340, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] - node _T_4342 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 540:85] - node _T_4343 = eq(_T_4342, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] - node _T_4344 = or(_T_4341, _T_4343) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4345 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4346 = and(_T_4344, _T_4345) @[el2_lsu_bus_buffer.scala 540:100] - node _T_4347 = add(_T_4346, _T_4339) @[el2_lsu_bus_buffer.scala 540:153] - node _T_4348 = add(_T_4347, _T_4332) @[el2_lsu_bus_buffer.scala 540:153] - node _T_4349 = add(_T_4348, _T_4325) @[el2_lsu_bus_buffer.scala 540:153] - buf_numvld_pend_any <= _T_4349 @[el2_lsu_bus_buffer.scala 540:23] - node _T_4350 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] - node _T_4351 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] - node _T_4352 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] - node _T_4353 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] - node _T_4354 = or(_T_4353, _T_4352) @[el2_lsu_bus_buffer.scala 541:93] - node _T_4355 = or(_T_4354, _T_4351) @[el2_lsu_bus_buffer.scala 541:93] - node _T_4356 = or(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 541:93] - any_done_wait_state <= _T_4356 @[el2_lsu_bus_buffer.scala 541:23] - node _T_4357 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 542:53] - io.lsu_bus_buffer_pend_any <= _T_4357 @[el2_lsu_bus_buffer.scala 542:30] - node _T_4358 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4359 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 543:92] - node _T_4360 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 543:119] - node _T_4361 = mux(_T_4358, _T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 543:36] - io.lsu_bus_buffer_full_any <= _T_4361 @[el2_lsu_bus_buffer.scala 543:30] - node _T_4362 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 544:52] - node _T_4363 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 544:52] - node _T_4364 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 544:52] - node _T_4365 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 544:52] - node _T_4366 = or(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 544:65] - node _T_4367 = or(_T_4366, _T_4364) @[el2_lsu_bus_buffer.scala 544:65] - node _T_4368 = or(_T_4367, _T_4365) @[el2_lsu_bus_buffer.scala 544:65] - node _T_4369 = eq(_T_4368, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:34] - node _T_4370 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:72] - node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 544:70] - node _T_4372 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4373 = and(_T_4371, _T_4372) @[el2_lsu_bus_buffer.scala 544:84] - io.lsu_bus_buffer_empty_any <= _T_4373 @[el2_lsu_bus_buffer.scala 544:31] - node _T_4374 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 546:51] - node _T_4375 = and(_T_4374, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 546:72] - node _T_4376 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:94] - node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 546:92] - node _T_4378 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:111] - node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 546:109] - io.lsu_nonblock_load_valid_m <= _T_4379 @[el2_lsu_bus_buffer.scala 546:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 547:30] + reg _T_4294 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4294 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4291 @[el2_lsu_bus_buffer.scala 539:14] + buf_data[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 539:14] + buf_data[2] <= _T_4293 @[el2_lsu_bus_buffer.scala 539:14] + buf_data[3] <= _T_4294 @[el2_lsu_bus_buffer.scala 539:14] + node _T_4295 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 540:121] + node _T_4296 = mux(buf_error_en[0], UInt<1>("h01"), _T_4295) @[el2_lsu_bus_buffer.scala 540:86] + node _T_4297 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:128] + node _T_4298 = and(_T_4296, _T_4297) @[el2_lsu_bus_buffer.scala 540:126] + reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 540:82] + _T_4299 <= _T_4298 @[el2_lsu_bus_buffer.scala 540:82] + node _T_4300 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 540:121] + node _T_4301 = mux(buf_error_en[1], UInt<1>("h01"), _T_4300) @[el2_lsu_bus_buffer.scala 540:86] + node _T_4302 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:128] + node _T_4303 = and(_T_4301, _T_4302) @[el2_lsu_bus_buffer.scala 540:126] + reg _T_4304 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 540:82] + _T_4304 <= _T_4303 @[el2_lsu_bus_buffer.scala 540:82] + node _T_4305 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 540:121] + node _T_4306 = mux(buf_error_en[2], UInt<1>("h01"), _T_4305) @[el2_lsu_bus_buffer.scala 540:86] + node _T_4307 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:128] + node _T_4308 = and(_T_4306, _T_4307) @[el2_lsu_bus_buffer.scala 540:126] + reg _T_4309 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 540:82] + _T_4309 <= _T_4308 @[el2_lsu_bus_buffer.scala 540:82] + node _T_4310 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 540:121] + node _T_4311 = mux(buf_error_en[3], UInt<1>("h01"), _T_4310) @[el2_lsu_bus_buffer.scala 540:86] + node _T_4312 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:128] + node _T_4313 = and(_T_4311, _T_4312) @[el2_lsu_bus_buffer.scala 540:126] + reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 540:82] + _T_4314 <= _T_4313 @[el2_lsu_bus_buffer.scala 540:82] + node _T_4315 = cat(_T_4314, _T_4309) @[Cat.scala 29:58] + node _T_4316 = cat(_T_4315, _T_4304) @[Cat.scala 29:58] + node _T_4317 = cat(_T_4316, _T_4299) @[Cat.scala 29:58] + buf_error <= _T_4317 @[el2_lsu_bus_buffer.scala 540:15] + node _T_4318 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4319 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4320 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4321 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4322 = add(_T_4321, _T_4320) @[el2_lsu_bus_buffer.scala 542:96] + node _T_4323 = add(_T_4322, _T_4319) @[el2_lsu_bus_buffer.scala 542:96] + node buf_numvld_any = add(_T_4323, _T_4318) @[el2_lsu_bus_buffer.scala 542:96] + node _T_4324 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4325 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 543:76] + node _T_4326 = eq(_T_4325, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:79] + node _T_4327 = and(_T_4324, _T_4326) @[el2_lsu_bus_buffer.scala 543:64] + node _T_4328 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:91] + node _T_4329 = and(_T_4327, _T_4328) @[el2_lsu_bus_buffer.scala 543:89] + node _T_4330 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4331 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 543:76] + node _T_4332 = eq(_T_4331, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:79] + node _T_4333 = and(_T_4330, _T_4332) @[el2_lsu_bus_buffer.scala 543:64] + node _T_4334 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:91] + node _T_4335 = and(_T_4333, _T_4334) @[el2_lsu_bus_buffer.scala 543:89] + node _T_4336 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4337 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 543:76] + node _T_4338 = eq(_T_4337, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:79] + node _T_4339 = and(_T_4336, _T_4338) @[el2_lsu_bus_buffer.scala 543:64] + node _T_4340 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:91] + node _T_4341 = and(_T_4339, _T_4340) @[el2_lsu_bus_buffer.scala 543:89] + node _T_4342 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4343 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 543:76] + node _T_4344 = eq(_T_4343, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:79] + node _T_4345 = and(_T_4342, _T_4344) @[el2_lsu_bus_buffer.scala 543:64] + node _T_4346 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:91] + node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 543:89] + node _T_4348 = add(_T_4347, _T_4341) @[el2_lsu_bus_buffer.scala 543:142] + node _T_4349 = add(_T_4348, _T_4335) @[el2_lsu_bus_buffer.scala 543:142] + node _T_4350 = add(_T_4349, _T_4329) @[el2_lsu_bus_buffer.scala 543:142] + buf_numvld_wrcmd_any <= _T_4350 @[el2_lsu_bus_buffer.scala 543:24] + node _T_4351 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4352 = eq(_T_4351, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:63] + node _T_4353 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:75] + node _T_4354 = and(_T_4352, _T_4353) @[el2_lsu_bus_buffer.scala 544:73] + node _T_4355 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4356 = eq(_T_4355, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:63] + node _T_4357 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:75] + node _T_4358 = and(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 544:73] + node _T_4359 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4360 = eq(_T_4359, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:63] + node _T_4361 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:75] + node _T_4362 = and(_T_4360, _T_4361) @[el2_lsu_bus_buffer.scala 544:73] + node _T_4363 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4364 = eq(_T_4363, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:63] + node _T_4365 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:75] + node _T_4366 = and(_T_4364, _T_4365) @[el2_lsu_bus_buffer.scala 544:73] + node _T_4367 = add(_T_4366, _T_4362) @[el2_lsu_bus_buffer.scala 544:126] + node _T_4368 = add(_T_4367, _T_4358) @[el2_lsu_bus_buffer.scala 544:126] + node _T_4369 = add(_T_4368, _T_4354) @[el2_lsu_bus_buffer.scala 544:126] + buf_numvld_cmd_any <= _T_4369 @[el2_lsu_bus_buffer.scala 544:22] + node _T_4370 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 545:61] + node _T_4371 = eq(_T_4370, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 545:64] + node _T_4372 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 545:85] + node _T_4373 = eq(_T_4372, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:88] + node _T_4374 = or(_T_4371, _T_4373) @[el2_lsu_bus_buffer.scala 545:74] + node _T_4375 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:102] + node _T_4376 = and(_T_4374, _T_4375) @[el2_lsu_bus_buffer.scala 545:100] + node _T_4377 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 545:61] + node _T_4378 = eq(_T_4377, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 545:64] + node _T_4379 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 545:85] + node _T_4380 = eq(_T_4379, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:88] + node _T_4381 = or(_T_4378, _T_4380) @[el2_lsu_bus_buffer.scala 545:74] + node _T_4382 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:102] + node _T_4383 = and(_T_4381, _T_4382) @[el2_lsu_bus_buffer.scala 545:100] + node _T_4384 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 545:61] + node _T_4385 = eq(_T_4384, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 545:64] + node _T_4386 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 545:85] + node _T_4387 = eq(_T_4386, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:88] + node _T_4388 = or(_T_4385, _T_4387) @[el2_lsu_bus_buffer.scala 545:74] + node _T_4389 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:102] + node _T_4390 = and(_T_4388, _T_4389) @[el2_lsu_bus_buffer.scala 545:100] + node _T_4391 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 545:61] + node _T_4392 = eq(_T_4391, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 545:64] + node _T_4393 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 545:85] + node _T_4394 = eq(_T_4393, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:88] + node _T_4395 = or(_T_4392, _T_4394) @[el2_lsu_bus_buffer.scala 545:74] + node _T_4396 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:102] + node _T_4397 = and(_T_4395, _T_4396) @[el2_lsu_bus_buffer.scala 545:100] + node _T_4398 = add(_T_4397, _T_4390) @[el2_lsu_bus_buffer.scala 545:153] + node _T_4399 = add(_T_4398, _T_4383) @[el2_lsu_bus_buffer.scala 545:153] + node _T_4400 = add(_T_4399, _T_4376) @[el2_lsu_bus_buffer.scala 545:153] + buf_numvld_pend_any <= _T_4400 @[el2_lsu_bus_buffer.scala 545:23] + node _T_4401 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4402 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4403 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4404 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4405 = or(_T_4404, _T_4403) @[el2_lsu_bus_buffer.scala 546:93] + node _T_4406 = or(_T_4405, _T_4402) @[el2_lsu_bus_buffer.scala 546:93] + node _T_4407 = or(_T_4406, _T_4401) @[el2_lsu_bus_buffer.scala 546:93] + any_done_wait_state <= _T_4407 @[el2_lsu_bus_buffer.scala 546:23] + node _T_4408 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 547:53] + io.lsu_bus_buffer_pend_any <= _T_4408 @[el2_lsu_bus_buffer.scala 547:30] + node _T_4409 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 548:52] + node _T_4410 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 548:92] + node _T_4411 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 548:119] + node _T_4412 = mux(_T_4409, _T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 548:36] + io.lsu_bus_buffer_full_any <= _T_4412 @[el2_lsu_bus_buffer.scala 548:30] + node _T_4413 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4414 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4415 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4416 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4417 = or(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4418 = or(_T_4417, _T_4415) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4419 = or(_T_4418, _T_4416) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4420 = eq(_T_4419, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:34] + node _T_4421 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:72] + node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 549:70] + node _T_4423 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 549:84] + io.lsu_bus_buffer_empty_any <= _T_4424 @[el2_lsu_bus_buffer.scala 549:31] + node _T_4425 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 551:51] + node _T_4426 = and(_T_4425, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 551:72] + node _T_4427 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:94] + node _T_4428 = and(_T_4426, _T_4427) @[el2_lsu_bus_buffer.scala 551:92] + node _T_4429 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:111] + node _T_4430 = and(_T_4428, _T_4429) @[el2_lsu_bus_buffer.scala 551:109] + io.lsu_nonblock_load_valid_m <= _T_4430 @[el2_lsu_bus_buffer.scala 551:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 552:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4380 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:61] - node _T_4381 = and(lsu_nonblock_load_valid_r, _T_4380) @[el2_lsu_bus_buffer.scala 549:59] - io.lsu_nonblock_load_inv_r <= _T_4381 @[el2_lsu_bus_buffer.scala 549:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 550:34] - node _T_4382 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4383 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:127] - node _T_4384 = and(UInt<1>("h01"), _T_4383) @[el2_lsu_bus_buffer.scala 551:116] - node _T_4385 = eq(_T_4384, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] - node _T_4386 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4387 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:127] - node _T_4388 = and(UInt<1>("h01"), _T_4387) @[el2_lsu_bus_buffer.scala 551:116] - node _T_4389 = eq(_T_4388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] - node _T_4390 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4391 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:127] - node _T_4392 = and(UInt<1>("h01"), _T_4391) @[el2_lsu_bus_buffer.scala 551:116] - node _T_4393 = eq(_T_4392, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] - node _T_4394 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4395 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:127] - node _T_4396 = and(UInt<1>("h01"), _T_4395) @[el2_lsu_bus_buffer.scala 551:116] - node _T_4397 = eq(_T_4396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] - node _T_4398 = mux(_T_4382, _T_4385, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4399 = mux(_T_4386, _T_4389, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4400 = mux(_T_4390, _T_4393, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4401 = mux(_T_4394, _T_4397, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4402 = or(_T_4398, _T_4399) @[Mux.scala 27:72] - node _T_4403 = or(_T_4402, _T_4400) @[Mux.scala 27:72] - node _T_4404 = or(_T_4403, _T_4401) @[Mux.scala 27:72] + node _T_4431 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:61] + node _T_4432 = and(lsu_nonblock_load_valid_r, _T_4431) @[el2_lsu_bus_buffer.scala 554:59] + io.lsu_nonblock_load_inv_r <= _T_4432 @[el2_lsu_bus_buffer.scala 554:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 555:34] + node _T_4433 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4434 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 556:127] + node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 556:116] + node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:95] + node _T_4437 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4438 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 556:127] + node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 556:116] + node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:95] + node _T_4441 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4442 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 556:127] + node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 556:116] + node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:95] + node _T_4445 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4446 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 556:127] + node _T_4447 = and(UInt<1>("h01"), _T_4446) @[el2_lsu_bus_buffer.scala 556:116] + node _T_4448 = eq(_T_4447, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:95] + node _T_4449 = mux(_T_4433, _T_4436, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4450 = mux(_T_4437, _T_4440, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4451 = mux(_T_4441, _T_4444, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4452 = mux(_T_4445, _T_4448, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4453 = or(_T_4449, _T_4450) @[Mux.scala 27:72] + node _T_4454 = or(_T_4453, _T_4451) @[Mux.scala 27:72] + node _T_4455 = or(_T_4454, _T_4452) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4404 @[Mux.scala 27:72] - node _T_4405 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] - node _T_4406 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 552:104] - node _T_4407 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:120] - node _T_4408 = eq(_T_4407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] - node _T_4409 = and(_T_4406, _T_4408) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4410 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] - node _T_4411 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 552:104] - node _T_4412 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:120] - node _T_4413 = eq(_T_4412, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] - node _T_4414 = and(_T_4411, _T_4413) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4415 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] - node _T_4416 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 552:104] - node _T_4417 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:120] - node _T_4418 = eq(_T_4417, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] - node _T_4419 = and(_T_4416, _T_4418) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4420 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] - node _T_4421 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 552:104] - node _T_4422 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:120] - node _T_4423 = eq(_T_4422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] - node _T_4424 = and(_T_4421, _T_4423) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4425 = mux(_T_4405, _T_4409, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4426 = mux(_T_4410, _T_4414, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4427 = mux(_T_4415, _T_4419, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4428 = mux(_T_4420, _T_4424, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4429 = or(_T_4425, _T_4426) @[Mux.scala 27:72] - node _T_4430 = or(_T_4429, _T_4427) @[Mux.scala 27:72] - node _T_4431 = or(_T_4430, _T_4428) @[Mux.scala 27:72] - wire _T_4432 : UInt<1> @[Mux.scala 27:72] - _T_4432 <= _T_4431 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4432 @[el2_lsu_bus_buffer.scala 552:35] - node _T_4433 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4434 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:102] - node _T_4435 = eq(_T_4434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4436 = and(_T_4433, _T_4435) @[el2_lsu_bus_buffer.scala 553:90] - node _T_4437 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] - node _T_4438 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] - node _T_4439 = or(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 553:122] - node _T_4440 = and(_T_4436, _T_4439) @[el2_lsu_bus_buffer.scala 553:106] - node _T_4441 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4442 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:102] - node _T_4443 = eq(_T_4442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4444 = and(_T_4441, _T_4443) @[el2_lsu_bus_buffer.scala 553:90] - node _T_4445 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] - node _T_4446 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] - node _T_4447 = or(_T_4445, _T_4446) @[el2_lsu_bus_buffer.scala 553:122] - node _T_4448 = and(_T_4444, _T_4447) @[el2_lsu_bus_buffer.scala 553:106] - node _T_4449 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4450 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:102] - node _T_4451 = eq(_T_4450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4452 = and(_T_4449, _T_4451) @[el2_lsu_bus_buffer.scala 553:90] - node _T_4453 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] - node _T_4454 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] - node _T_4455 = or(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 553:122] - node _T_4456 = and(_T_4452, _T_4455) @[el2_lsu_bus_buffer.scala 553:106] - node _T_4457 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4458 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:102] - node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 553:90] - node _T_4461 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] - node _T_4462 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] - node _T_4463 = or(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 553:122] - node _T_4464 = and(_T_4460, _T_4463) @[el2_lsu_bus_buffer.scala 553:106] - node _T_4465 = mux(_T_4440, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4466 = mux(_T_4448, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4467 = mux(_T_4456, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4468 = mux(_T_4464, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4469 = or(_T_4465, _T_4466) @[Mux.scala 27:72] - node _T_4470 = or(_T_4469, _T_4467) @[Mux.scala 27:72] - node _T_4471 = or(_T_4470, _T_4468) @[Mux.scala 27:72] - wire _T_4472 : UInt<2> @[Mux.scala 27:72] - _T_4472 <= _T_4471 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4472 @[el2_lsu_bus_buffer.scala 553:33] - node _T_4473 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4474 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4475 = eq(_T_4474, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4476 = and(_T_4473, _T_4475) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4477 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] - node _T_4478 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] - node _T_4479 = or(_T_4477, _T_4478) @[el2_lsu_bus_buffer.scala 554:121] - node _T_4480 = and(_T_4476, _T_4479) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4481 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4482 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4483 = eq(_T_4482, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4484 = and(_T_4481, _T_4483) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4485 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] - node _T_4486 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] - node _T_4487 = or(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 554:121] - node _T_4488 = and(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4489 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4490 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4491 = eq(_T_4490, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4492 = and(_T_4489, _T_4491) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4493 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] - node _T_4494 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] - node _T_4495 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 554:121] - node _T_4496 = and(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4497 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4498 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4499 = eq(_T_4498, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4500 = and(_T_4497, _T_4499) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4501 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] - node _T_4502 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] - node _T_4503 = or(_T_4501, _T_4502) @[el2_lsu_bus_buffer.scala 554:121] - node _T_4504 = and(_T_4500, _T_4503) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4505 = mux(_T_4480, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4506 = mux(_T_4488, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4507 = mux(_T_4496, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4508 = mux(_T_4504, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4509 = or(_T_4505, _T_4506) @[Mux.scala 27:72] - node _T_4510 = or(_T_4509, _T_4507) @[Mux.scala 27:72] - node _T_4511 = or(_T_4510, _T_4508) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4455 @[Mux.scala 27:72] + node _T_4456 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4457 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 557:104] + node _T_4458 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 557:120] + node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:110] + node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 557:108] + node _T_4461 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4462 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 557:104] + node _T_4463 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 557:120] + node _T_4464 = eq(_T_4463, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:110] + node _T_4465 = and(_T_4462, _T_4464) @[el2_lsu_bus_buffer.scala 557:108] + node _T_4466 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4467 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 557:104] + node _T_4468 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 557:120] + node _T_4469 = eq(_T_4468, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:110] + node _T_4470 = and(_T_4467, _T_4469) @[el2_lsu_bus_buffer.scala 557:108] + node _T_4471 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4472 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 557:104] + node _T_4473 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 557:120] + node _T_4474 = eq(_T_4473, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:110] + node _T_4475 = and(_T_4472, _T_4474) @[el2_lsu_bus_buffer.scala 557:108] + node _T_4476 = mux(_T_4456, _T_4460, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4477 = mux(_T_4461, _T_4465, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4478 = mux(_T_4466, _T_4470, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4479 = mux(_T_4471, _T_4475, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4480 = or(_T_4476, _T_4477) @[Mux.scala 27:72] + node _T_4481 = or(_T_4480, _T_4478) @[Mux.scala 27:72] + node _T_4482 = or(_T_4481, _T_4479) @[Mux.scala 27:72] + wire _T_4483 : UInt<1> @[Mux.scala 27:72] + _T_4483 <= _T_4482 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4483 @[el2_lsu_bus_buffer.scala 557:35] + node _T_4484 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:79] + node _T_4485 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4486 = eq(_T_4485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4487 = and(_T_4484, _T_4486) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4488 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:109] + node _T_4489 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:124] + node _T_4490 = or(_T_4488, _T_4489) @[el2_lsu_bus_buffer.scala 558:122] + node _T_4491 = and(_T_4487, _T_4490) @[el2_lsu_bus_buffer.scala 558:106] + node _T_4492 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:79] + node _T_4493 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4494 = eq(_T_4493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4495 = and(_T_4492, _T_4494) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4496 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:109] + node _T_4497 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:124] + node _T_4498 = or(_T_4496, _T_4497) @[el2_lsu_bus_buffer.scala 558:122] + node _T_4499 = and(_T_4495, _T_4498) @[el2_lsu_bus_buffer.scala 558:106] + node _T_4500 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:79] + node _T_4501 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4502 = eq(_T_4501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4503 = and(_T_4500, _T_4502) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4504 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:109] + node _T_4505 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:124] + node _T_4506 = or(_T_4504, _T_4505) @[el2_lsu_bus_buffer.scala 558:122] + node _T_4507 = and(_T_4503, _T_4506) @[el2_lsu_bus_buffer.scala 558:106] + node _T_4508 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:79] + node _T_4509 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4510 = eq(_T_4509, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4511 = and(_T_4508, _T_4510) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4512 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:109] + node _T_4513 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:124] + node _T_4514 = or(_T_4512, _T_4513) @[el2_lsu_bus_buffer.scala 558:122] + node _T_4515 = and(_T_4511, _T_4514) @[el2_lsu_bus_buffer.scala 558:106] + node _T_4516 = mux(_T_4491, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4517 = mux(_T_4499, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4518 = mux(_T_4507, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4519 = mux(_T_4515, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4520 = or(_T_4516, _T_4517) @[Mux.scala 27:72] + node _T_4521 = or(_T_4520, _T_4518) @[Mux.scala 27:72] + node _T_4522 = or(_T_4521, _T_4519) @[Mux.scala 27:72] + wire _T_4523 : UInt<2> @[Mux.scala 27:72] + _T_4523 <= _T_4522 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4523 @[el2_lsu_bus_buffer.scala 558:33] + node _T_4524 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4525 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 559:101] + node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:91] + node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 559:89] + node _T_4528 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:108] + node _T_4529 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:123] + node _T_4530 = or(_T_4528, _T_4529) @[el2_lsu_bus_buffer.scala 559:121] + node _T_4531 = and(_T_4527, _T_4530) @[el2_lsu_bus_buffer.scala 559:105] + node _T_4532 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4533 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 559:101] + node _T_4534 = eq(_T_4533, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:91] + node _T_4535 = and(_T_4532, _T_4534) @[el2_lsu_bus_buffer.scala 559:89] + node _T_4536 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:108] + node _T_4537 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:123] + node _T_4538 = or(_T_4536, _T_4537) @[el2_lsu_bus_buffer.scala 559:121] + node _T_4539 = and(_T_4535, _T_4538) @[el2_lsu_bus_buffer.scala 559:105] + node _T_4540 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4541 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 559:101] + node _T_4542 = eq(_T_4541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:91] + node _T_4543 = and(_T_4540, _T_4542) @[el2_lsu_bus_buffer.scala 559:89] + node _T_4544 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:108] + node _T_4545 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:123] + node _T_4546 = or(_T_4544, _T_4545) @[el2_lsu_bus_buffer.scala 559:121] + node _T_4547 = and(_T_4543, _T_4546) @[el2_lsu_bus_buffer.scala 559:105] + node _T_4548 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4549 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 559:101] + node _T_4550 = eq(_T_4549, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:91] + node _T_4551 = and(_T_4548, _T_4550) @[el2_lsu_bus_buffer.scala 559:89] + node _T_4552 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:108] + node _T_4553 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:123] + node _T_4554 = or(_T_4552, _T_4553) @[el2_lsu_bus_buffer.scala 559:121] + node _T_4555 = and(_T_4551, _T_4554) @[el2_lsu_bus_buffer.scala 559:105] + node _T_4556 = mux(_T_4531, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4557 = mux(_T_4539, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4558 = mux(_T_4547, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4559 = mux(_T_4555, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] + node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] + node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4511 @[Mux.scala 27:72] - node _T_4512 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4513 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 555:101] - node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] - node _T_4515 = and(_T_4512, _T_4514) @[el2_lsu_bus_buffer.scala 555:89] - node _T_4516 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4517 = and(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 555:105] - node _T_4518 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4519 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 555:101] - node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] - node _T_4521 = and(_T_4518, _T_4520) @[el2_lsu_bus_buffer.scala 555:89] - node _T_4522 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 555:105] - node _T_4524 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4525 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 555:101] - node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] - node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 555:89] - node _T_4528 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 555:105] - node _T_4530 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4531 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 555:101] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] - node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 555:89] - node _T_4534 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4535 = and(_T_4533, _T_4534) @[el2_lsu_bus_buffer.scala 555:105] - node _T_4536 = mux(_T_4517, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4537 = mux(_T_4523, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4538 = mux(_T_4529, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4539 = mux(_T_4535, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4540 = or(_T_4536, _T_4537) @[Mux.scala 27:72] - node _T_4541 = or(_T_4540, _T_4538) @[Mux.scala 27:72] - node _T_4542 = or(_T_4541, _T_4539) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4562 @[Mux.scala 27:72] + node _T_4563 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4564 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4565 = eq(_T_4564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4566 = and(_T_4563, _T_4565) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4567 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4568 = and(_T_4566, _T_4567) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4569 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4570 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4572 = and(_T_4569, _T_4571) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4573 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4574 = and(_T_4572, _T_4573) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4575 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4576 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4577 = eq(_T_4576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4578 = and(_T_4575, _T_4577) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4579 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4580 = and(_T_4578, _T_4579) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4581 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4582 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4584 = and(_T_4581, _T_4583) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4585 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4586 = and(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4587 = mux(_T_4568, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4588 = mux(_T_4574, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = mux(_T_4580, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4590 = mux(_T_4586, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4591 = or(_T_4587, _T_4588) @[Mux.scala 27:72] + node _T_4592 = or(_T_4591, _T_4589) @[Mux.scala 27:72] + node _T_4593 = or(_T_4592, _T_4590) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4542 @[Mux.scala 27:72] - node _T_4543 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4544 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4545 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4546 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4547 = mux(_T_4543, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4548 = mux(_T_4544, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4549 = mux(_T_4545, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4550 = mux(_T_4546, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4551 = or(_T_4547, _T_4548) @[Mux.scala 27:72] - node _T_4552 = or(_T_4551, _T_4549) @[Mux.scala 27:72] - node _T_4553 = or(_T_4552, _T_4550) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4593 @[Mux.scala 27:72] + node _T_4594 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4595 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4596 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4597 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4598 = mux(_T_4594, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4599 = mux(_T_4595, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4600 = mux(_T_4596, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4601 = mux(_T_4597, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4602 = or(_T_4598, _T_4599) @[Mux.scala 27:72] + node _T_4603 = or(_T_4602, _T_4600) @[Mux.scala 27:72] + node _T_4604 = or(_T_4603, _T_4601) @[Mux.scala 27:72] wire lsu_nonblock_addr_offset : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_addr_offset <= _T_4553 @[Mux.scala 27:72] - node _T_4554 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4555 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4556 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4557 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4558 = mux(_T_4554, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4559 = mux(_T_4555, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4560 = mux(_T_4556, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4561 = mux(_T_4557, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4562 = or(_T_4558, _T_4559) @[Mux.scala 27:72] - node _T_4563 = or(_T_4562, _T_4560) @[Mux.scala 27:72] - node _T_4564 = or(_T_4563, _T_4561) @[Mux.scala 27:72] + lsu_nonblock_addr_offset <= _T_4604 @[Mux.scala 27:72] + node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4606 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4607 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4608 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4609 = mux(_T_4605, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4610 = mux(_T_4606, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4611 = mux(_T_4607, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4612 = mux(_T_4608, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4613 = or(_T_4609, _T_4610) @[Mux.scala 27:72] + node _T_4614 = or(_T_4613, _T_4611) @[Mux.scala 27:72] + node _T_4615 = or(_T_4614, _T_4612) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4564 @[Mux.scala 27:72] - node _T_4565 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4566 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4567 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4568 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4569 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4570 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4571 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4572 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4573 = mux(_T_4565, _T_4566, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4574 = mux(_T_4567, _T_4568, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4575 = mux(_T_4569, _T_4570, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4576 = mux(_T_4571, _T_4572, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4577 = or(_T_4573, _T_4574) @[Mux.scala 27:72] - node _T_4578 = or(_T_4577, _T_4575) @[Mux.scala 27:72] - node _T_4579 = or(_T_4578, _T_4576) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4615 @[Mux.scala 27:72] + node _T_4616 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4617 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4618 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4619 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4620 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4621 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4622 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4623 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4624 = mux(_T_4616, _T_4617, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4625 = mux(_T_4618, _T_4619, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4626 = mux(_T_4620, _T_4621, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4627 = mux(_T_4622, _T_4623, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4628 = or(_T_4624, _T_4625) @[Mux.scala 27:72] + node _T_4629 = or(_T_4628, _T_4626) @[Mux.scala 27:72] + node _T_4630 = or(_T_4629, _T_4627) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4579 @[Mux.scala 27:72] - node _T_4580 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4581 = cat(_T_4580, buf_dual[1]) @[Cat.scala 29:58] - node _T_4582 = cat(_T_4581, buf_dual[0]) @[Cat.scala 29:58] - node _T_4583 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4584 = bits(_T_4582, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4585 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4586 = bits(_T_4582, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4587 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4588 = bits(_T_4582, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4589 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4590 = bits(_T_4582, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4591 = mux(_T_4583, _T_4584, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4592 = mux(_T_4585, _T_4586, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4593 = mux(_T_4587, _T_4588, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4594 = mux(_T_4589, _T_4590, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4595 = or(_T_4591, _T_4592) @[Mux.scala 27:72] - node _T_4596 = or(_T_4595, _T_4593) @[Mux.scala 27:72] - node _T_4597 = or(_T_4596, _T_4594) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4630 @[Mux.scala 27:72] + node _T_4631 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4632 = cat(_T_4631, buf_dual[1]) @[Cat.scala 29:58] + node _T_4633 = cat(_T_4632, buf_dual[0]) @[Cat.scala 29:58] + node _T_4634 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4635 = bits(_T_4633, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4636 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4637 = bits(_T_4633, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4638 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4639 = bits(_T_4633, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4640 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4641 = bits(_T_4633, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4642 = mux(_T_4634, _T_4635, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4643 = mux(_T_4636, _T_4637, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4644 = mux(_T_4638, _T_4639, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4645 = mux(_T_4640, _T_4641, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4646 = or(_T_4642, _T_4643) @[Mux.scala 27:72] + node _T_4647 = or(_T_4646, _T_4644) @[Mux.scala 27:72] + node _T_4648 = or(_T_4647, _T_4645) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4597 @[Mux.scala 27:72] - node _T_4598 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4599 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 560:121] - node lsu_nonblock_data_unalgn = dshr(_T_4598, _T_4599) @[el2_lsu_bus_buffer.scala 560:92] - node _T_4600 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:69] - node _T_4601 = and(lsu_nonblock_load_data_ready, _T_4600) @[el2_lsu_bus_buffer.scala 561:67] - io.lsu_nonblock_load_data_valid <= _T_4601 @[el2_lsu_bus_buffer.scala 561:35] - node _T_4602 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:81] - node _T_4603 = and(lsu_nonblock_unsign, _T_4602) @[el2_lsu_bus_buffer.scala 562:63] - node _T_4604 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 562:131] - node _T_4605 = cat(UInt<24>("h00"), _T_4604) @[Cat.scala 29:58] - node _T_4606 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 563:45] - node _T_4607 = and(lsu_nonblock_unsign, _T_4606) @[el2_lsu_bus_buffer.scala 563:26] - node _T_4608 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 563:95] - node _T_4609 = cat(UInt<16>("h00"), _T_4608) @[Cat.scala 29:58] - node _T_4610 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:6] - node _T_4611 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:45] - node _T_4612 = and(_T_4610, _T_4611) @[el2_lsu_bus_buffer.scala 564:27] - node _T_4613 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 564:93] - node _T_4614 = bits(_T_4613, 0, 0) @[Bitwise.scala 72:15] - node _T_4615 = mux(_T_4614, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4616 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4617 = cat(_T_4615, _T_4616) @[Cat.scala 29:58] - node _T_4618 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:6] - node _T_4619 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 565:45] - node _T_4620 = and(_T_4618, _T_4619) @[el2_lsu_bus_buffer.scala 565:27] - node _T_4621 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 565:93] - node _T_4622 = bits(_T_4621, 0, 0) @[Bitwise.scala 72:15] - node _T_4623 = mux(_T_4622, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4624 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 565:124] - node _T_4625 = cat(_T_4623, _T_4624) @[Cat.scala 29:58] - node _T_4626 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 566:21] - node _T_4627 = mux(_T_4603, _T_4605, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4628 = mux(_T_4607, _T_4609, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4629 = mux(_T_4612, _T_4617, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4630 = mux(_T_4620, _T_4625, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4631 = mux(_T_4626, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4632 = or(_T_4627, _T_4628) @[Mux.scala 27:72] - node _T_4633 = or(_T_4632, _T_4629) @[Mux.scala 27:72] - node _T_4634 = or(_T_4633, _T_4630) @[Mux.scala 27:72] - node _T_4635 = or(_T_4634, _T_4631) @[Mux.scala 27:72] - wire _T_4636 : UInt<64> @[Mux.scala 27:72] - _T_4636 <= _T_4635 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4636 @[el2_lsu_bus_buffer.scala 562:29] - node _T_4637 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] - node _T_4638 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 567:89] - node _T_4639 = and(_T_4637, _T_4638) @[el2_lsu_bus_buffer.scala 567:73] - node _T_4640 = and(_T_4639, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4641 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] - node _T_4642 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 567:89] - node _T_4643 = and(_T_4641, _T_4642) @[el2_lsu_bus_buffer.scala 567:73] - node _T_4644 = and(_T_4643, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4645 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] - node _T_4646 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 567:89] - node _T_4647 = and(_T_4645, _T_4646) @[el2_lsu_bus_buffer.scala 567:73] - node _T_4648 = and(_T_4647, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4649 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] - node _T_4650 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 567:89] - node _T_4651 = and(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 567:73] - node _T_4652 = and(_T_4651, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4653 = or(_T_4640, _T_4644) @[el2_lsu_bus_buffer.scala 567:141] - node _T_4654 = or(_T_4653, _T_4648) @[el2_lsu_bus_buffer.scala 567:141] - node _T_4655 = or(_T_4654, _T_4652) @[el2_lsu_bus_buffer.scala 567:141] - bus_sideeffect_pend <= _T_4655 @[el2_lsu_bus_buffer.scala 567:23] - node _T_4656 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] - node _T_4657 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] - node _T_4658 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] - node _T_4659 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] - node _T_4660 = eq(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 569:56] - node _T_4661 = and(_T_4657, _T_4660) @[el2_lsu_bus_buffer.scala 569:38] - node _T_4662 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:92] - node _T_4663 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:126] - node _T_4664 = and(obuf_merge, _T_4663) @[el2_lsu_bus_buffer.scala 569:114] - node _T_4665 = or(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 569:100] - node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4667 = and(_T_4661, _T_4666) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4668 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] - node _T_4669 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] - node _T_4670 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] - node _T_4671 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] - node _T_4672 = eq(_T_4670, _T_4671) @[el2_lsu_bus_buffer.scala 569:56] - node _T_4673 = and(_T_4669, _T_4672) @[el2_lsu_bus_buffer.scala 569:38] - node _T_4674 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:92] - node _T_4675 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:126] - node _T_4676 = and(obuf_merge, _T_4675) @[el2_lsu_bus_buffer.scala 569:114] - node _T_4677 = or(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 569:100] - node _T_4678 = eq(_T_4677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4679 = and(_T_4673, _T_4678) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4680 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] - node _T_4681 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] - node _T_4682 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] - node _T_4683 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] - node _T_4684 = eq(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 569:56] - node _T_4685 = and(_T_4681, _T_4684) @[el2_lsu_bus_buffer.scala 569:38] - node _T_4686 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:92] - node _T_4687 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:126] - node _T_4688 = and(obuf_merge, _T_4687) @[el2_lsu_bus_buffer.scala 569:114] - node _T_4689 = or(_T_4686, _T_4688) @[el2_lsu_bus_buffer.scala 569:100] - node _T_4690 = eq(_T_4689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4691 = and(_T_4685, _T_4690) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4692 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] - node _T_4693 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] - node _T_4694 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] - node _T_4695 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] - node _T_4696 = eq(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 569:56] - node _T_4697 = and(_T_4693, _T_4696) @[el2_lsu_bus_buffer.scala 569:38] - node _T_4698 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 569:92] - node _T_4699 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 569:126] - node _T_4700 = and(obuf_merge, _T_4699) @[el2_lsu_bus_buffer.scala 569:114] - node _T_4701 = or(_T_4698, _T_4700) @[el2_lsu_bus_buffer.scala 569:100] - node _T_4702 = eq(_T_4701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4703 = and(_T_4697, _T_4702) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4704 = mux(_T_4656, _T_4667, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4705 = mux(_T_4668, _T_4679, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4706 = mux(_T_4680, _T_4691, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4707 = mux(_T_4692, _T_4703, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4708 = or(_T_4704, _T_4705) @[Mux.scala 27:72] - node _T_4709 = or(_T_4708, _T_4706) @[Mux.scala 27:72] - node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] - wire _T_4711 : UInt<1> @[Mux.scala 27:72] - _T_4711 <= _T_4710 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4711 @[el2_lsu_bus_buffer.scala 568:26] - node _T_4712 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 571:54] - node _T_4713 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:75] - node _T_4714 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:150] - node _T_4715 = mux(_T_4712, _T_4713, _T_4714) @[el2_lsu_bus_buffer.scala 571:39] - node _T_4716 = mux(obuf_write, _T_4715, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 571:23] - bus_cmd_ready <= _T_4716 @[el2_lsu_bus_buffer.scala 571:17] - node _T_4717 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 572:39] - bus_wcmd_sent <= _T_4717 @[el2_lsu_bus_buffer.scala 572:17] - node _T_4718 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 573:39] - bus_wdata_sent <= _T_4718 @[el2_lsu_bus_buffer.scala 573:18] - node _T_4719 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 574:35] - node _T_4720 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 574:70] - node _T_4721 = and(_T_4719, _T_4720) @[el2_lsu_bus_buffer.scala 574:52] - node _T_4722 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 574:111] - node _T_4723 = or(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 574:89] - bus_cmd_sent <= _T_4723 @[el2_lsu_bus_buffer.scala 574:16] - node _T_4724 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 575:37] - bus_rsp_read <= _T_4724 @[el2_lsu_bus_buffer.scala 575:16] - node _T_4725 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 576:38] - bus_rsp_write <= _T_4725 @[el2_lsu_bus_buffer.scala 576:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 577:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 578:21] - node _T_4726 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:60] - node _T_4727 = and(bus_rsp_write, _T_4726) @[el2_lsu_bus_buffer.scala 579:40] - bus_rsp_write_error <= _T_4727 @[el2_lsu_bus_buffer.scala 579:23] - node _T_4728 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:58] - node _T_4729 = and(bus_rsp_read, _T_4728) @[el2_lsu_bus_buffer.scala 580:38] - bus_rsp_read_error <= _T_4729 @[el2_lsu_bus_buffer.scala 580:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 581:17] - node _T_4730 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 584:36] - node _T_4731 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:51] - node _T_4732 = and(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 584:49] - node _T_4733 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:68] - node _T_4734 = and(_T_4732, _T_4733) @[el2_lsu_bus_buffer.scala 584:66] - io.lsu_axi_awvalid <= _T_4734 @[el2_lsu_bus_buffer.scala 584:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 585:19] - node _T_4735 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:69] - node _T_4736 = cat(_T_4735, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4737 = mux(obuf_sideeffect, obuf_addr, _T_4736) @[el2_lsu_bus_buffer.scala 586:27] - io.lsu_axi_awaddr <= _T_4737 @[el2_lsu_bus_buffer.scala 586:21] - node _T_4738 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4739 = mux(obuf_sideeffect, _T_4738, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 587:27] - io.lsu_axi_awsize <= _T_4739 @[el2_lsu_bus_buffer.scala 587:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 588:21] - node _T_4740 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 589:28] - io.lsu_axi_awcache <= _T_4740 @[el2_lsu_bus_buffer.scala 589:22] - node _T_4741 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 590:35] - io.lsu_axi_awregion <= _T_4741 @[el2_lsu_bus_buffer.scala 590:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 591:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 592:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:21] - node _T_4742 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 596:35] - node _T_4743 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:50] - node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 596:48] - node _T_4745 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:68] - node _T_4746 = and(_T_4744, _T_4745) @[el2_lsu_bus_buffer.scala 596:66] - io.lsu_axi_wvalid <= _T_4746 @[el2_lsu_bus_buffer.scala 596:21] - node _T_4747 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4748 = mux(_T_4747, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4749 = and(obuf_byteen, _T_4748) @[el2_lsu_bus_buffer.scala 597:35] - io.lsu_axi_wstrb <= _T_4749 @[el2_lsu_bus_buffer.scala 597:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 598:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 599:20] - node _T_4750 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:38] - node _T_4751 = and(obuf_valid, _T_4750) @[el2_lsu_bus_buffer.scala 601:36] - node _T_4752 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:52] - node _T_4753 = and(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 601:50] - node _T_4754 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:67] - node _T_4755 = and(_T_4753, _T_4754) @[el2_lsu_bus_buffer.scala 601:65] - io.lsu_axi_arvalid <= _T_4755 @[el2_lsu_bus_buffer.scala 601:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 602:19] - node _T_4756 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:69] - node _T_4757 = cat(_T_4756, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4758 = mux(obuf_sideeffect, obuf_addr, _T_4757) @[el2_lsu_bus_buffer.scala 603:27] - io.lsu_axi_araddr <= _T_4758 @[el2_lsu_bus_buffer.scala 603:21] - node _T_4759 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4760 = mux(obuf_sideeffect, _T_4759, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:27] - io.lsu_axi_arsize <= _T_4760 @[el2_lsu_bus_buffer.scala 604:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:21] - node _T_4761 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 606:28] - io.lsu_axi_arcache <= _T_4761 @[el2_lsu_bus_buffer.scala 606:22] - node _T_4762 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 607:35] - io.lsu_axi_arregion <= _T_4762 @[el2_lsu_bus_buffer.scala 607:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 609:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 612:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 613:21] - node _T_4763 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] - node _T_4764 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 614:125] - node _T_4765 = and(io.lsu_bus_clk_en_q, _T_4764) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4766 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 614:140] - node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 614:129] - node _T_4768 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] - node _T_4769 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 614:125] - node _T_4770 = and(io.lsu_bus_clk_en_q, _T_4769) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4771 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 614:140] - node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 614:129] - node _T_4773 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] - node _T_4774 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 614:125] - node _T_4775 = and(io.lsu_bus_clk_en_q, _T_4774) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4776 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 614:140] - node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 614:129] - node _T_4778 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] - node _T_4779 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 614:125] - node _T_4780 = and(io.lsu_bus_clk_en_q, _T_4779) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4781 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 614:140] - node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 614:129] - node _T_4783 = mux(_T_4763, _T_4767, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4784 = mux(_T_4768, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4785 = mux(_T_4773, _T_4777, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4786 = mux(_T_4778, _T_4782, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4787 = or(_T_4783, _T_4784) @[Mux.scala 27:72] - node _T_4788 = or(_T_4787, _T_4785) @[Mux.scala 27:72] - node _T_4789 = or(_T_4788, _T_4786) @[Mux.scala 27:72] - wire _T_4790 : UInt<1> @[Mux.scala 27:72] - _T_4790 <= _T_4789 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4790 @[el2_lsu_bus_buffer.scala 614:36] - node _T_4791 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 615:87] - node _T_4792 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 615:109] - node _T_4793 = and(_T_4791, _T_4792) @[el2_lsu_bus_buffer.scala 615:98] - node _T_4794 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 615:124] - node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 615:113] - node _T_4796 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 615:87] - node _T_4797 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 615:109] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 615:98] - node _T_4799 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 615:124] - node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 615:113] - node _T_4801 = mux(_T_4795, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4802 = mux(_T_4800, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4803 = or(_T_4801, _T_4802) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4648 @[Mux.scala 27:72] + node _T_4649 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4650 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 565:121] + node lsu_nonblock_data_unalgn = dshr(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 565:92] + node _T_4651 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:69] + node _T_4652 = and(lsu_nonblock_load_data_ready, _T_4651) @[el2_lsu_bus_buffer.scala 566:67] + io.lsu_nonblock_load_data_valid <= _T_4652 @[el2_lsu_bus_buffer.scala 566:35] + node _T_4653 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:81] + node _T_4654 = and(lsu_nonblock_unsign, _T_4653) @[el2_lsu_bus_buffer.scala 567:63] + node _T_4655 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 567:131] + node _T_4656 = cat(UInt<24>("h00"), _T_4655) @[Cat.scala 29:58] + node _T_4657 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:45] + node _T_4658 = and(lsu_nonblock_unsign, _T_4657) @[el2_lsu_bus_buffer.scala 568:26] + node _T_4659 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 568:95] + node _T_4660 = cat(UInt<16>("h00"), _T_4659) @[Cat.scala 29:58] + node _T_4661 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:6] + node _T_4662 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:45] + node _T_4663 = and(_T_4661, _T_4662) @[el2_lsu_bus_buffer.scala 569:27] + node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 569:93] + node _T_4665 = bits(_T_4664, 0, 0) @[Bitwise.scala 72:15] + node _T_4666 = mux(_T_4665, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4667 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4668 = cat(_T_4666, _T_4667) @[Cat.scala 29:58] + node _T_4669 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:6] + node _T_4670 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 570:45] + node _T_4671 = and(_T_4669, _T_4670) @[el2_lsu_bus_buffer.scala 570:27] + node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 570:93] + node _T_4673 = bits(_T_4672, 0, 0) @[Bitwise.scala 72:15] + node _T_4674 = mux(_T_4673, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4675 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 570:124] + node _T_4676 = cat(_T_4674, _T_4675) @[Cat.scala 29:58] + node _T_4677 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 571:21] + node _T_4678 = mux(_T_4654, _T_4656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4658, _T_4660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4663, _T_4668, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4671, _T_4676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = mux(_T_4677, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4683 = or(_T_4678, _T_4679) @[Mux.scala 27:72] + node _T_4684 = or(_T_4683, _T_4680) @[Mux.scala 27:72] + node _T_4685 = or(_T_4684, _T_4681) @[Mux.scala 27:72] + node _T_4686 = or(_T_4685, _T_4682) @[Mux.scala 27:72] + wire _T_4687 : UInt<64> @[Mux.scala 27:72] + _T_4687 <= _T_4686 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4687 @[el2_lsu_bus_buffer.scala 567:29] + node _T_4688 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 572:62] + node _T_4689 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4690 = and(_T_4688, _T_4689) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4691 = and(_T_4690, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 572:93] + node _T_4692 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 572:62] + node _T_4693 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4694 = and(_T_4692, _T_4693) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4695 = and(_T_4694, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 572:93] + node _T_4696 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 572:62] + node _T_4697 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4698 = and(_T_4696, _T_4697) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4699 = and(_T_4698, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 572:93] + node _T_4700 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 572:62] + node _T_4701 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4702 = and(_T_4700, _T_4701) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4703 = and(_T_4702, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 572:93] + node _T_4704 = or(_T_4691, _T_4695) @[el2_lsu_bus_buffer.scala 572:141] + node _T_4705 = or(_T_4704, _T_4699) @[el2_lsu_bus_buffer.scala 572:141] + node _T_4706 = or(_T_4705, _T_4703) @[el2_lsu_bus_buffer.scala 572:141] + bus_sideeffect_pend <= _T_4706 @[el2_lsu_bus_buffer.scala 572:23] + node _T_4707 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:71] + node _T_4708 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 574:25] + node _T_4709 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 574:50] + node _T_4710 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4711 = eq(_T_4709, _T_4710) @[el2_lsu_bus_buffer.scala 574:56] + node _T_4712 = and(_T_4708, _T_4711) @[el2_lsu_bus_buffer.scala 574:38] + node _T_4713 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:92] + node _T_4714 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4715 = and(obuf_merge, _T_4714) @[el2_lsu_bus_buffer.scala 574:114] + node _T_4716 = or(_T_4713, _T_4715) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4717 = eq(_T_4716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:80] + node _T_4718 = and(_T_4712, _T_4717) @[el2_lsu_bus_buffer.scala 574:78] + node _T_4719 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:71] + node _T_4720 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 574:25] + node _T_4721 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 574:50] + node _T_4722 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4723 = eq(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 574:56] + node _T_4724 = and(_T_4720, _T_4723) @[el2_lsu_bus_buffer.scala 574:38] + node _T_4725 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 574:92] + node _T_4726 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4727 = and(obuf_merge, _T_4726) @[el2_lsu_bus_buffer.scala 574:114] + node _T_4728 = or(_T_4725, _T_4727) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4729 = eq(_T_4728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:80] + node _T_4730 = and(_T_4724, _T_4729) @[el2_lsu_bus_buffer.scala 574:78] + node _T_4731 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:71] + node _T_4732 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 574:25] + node _T_4733 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 574:50] + node _T_4734 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4735 = eq(_T_4733, _T_4734) @[el2_lsu_bus_buffer.scala 574:56] + node _T_4736 = and(_T_4732, _T_4735) @[el2_lsu_bus_buffer.scala 574:38] + node _T_4737 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 574:92] + node _T_4738 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4739 = and(obuf_merge, _T_4738) @[el2_lsu_bus_buffer.scala 574:114] + node _T_4740 = or(_T_4737, _T_4739) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4741 = eq(_T_4740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:80] + node _T_4742 = and(_T_4736, _T_4741) @[el2_lsu_bus_buffer.scala 574:78] + node _T_4743 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:71] + node _T_4744 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 574:25] + node _T_4745 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 574:50] + node _T_4746 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4747 = eq(_T_4745, _T_4746) @[el2_lsu_bus_buffer.scala 574:56] + node _T_4748 = and(_T_4744, _T_4747) @[el2_lsu_bus_buffer.scala 574:38] + node _T_4749 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 574:92] + node _T_4750 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4751 = and(obuf_merge, _T_4750) @[el2_lsu_bus_buffer.scala 574:114] + node _T_4752 = or(_T_4749, _T_4751) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4753 = eq(_T_4752, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:80] + node _T_4754 = and(_T_4748, _T_4753) @[el2_lsu_bus_buffer.scala 574:78] + node _T_4755 = mux(_T_4707, _T_4718, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4756 = mux(_T_4719, _T_4730, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4757 = mux(_T_4731, _T_4742, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4758 = mux(_T_4743, _T_4754, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4759 = or(_T_4755, _T_4756) @[Mux.scala 27:72] + node _T_4760 = or(_T_4759, _T_4757) @[Mux.scala 27:72] + node _T_4761 = or(_T_4760, _T_4758) @[Mux.scala 27:72] + wire _T_4762 : UInt<1> @[Mux.scala 27:72] + _T_4762 <= _T_4761 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4762 @[el2_lsu_bus_buffer.scala 573:26] + node _T_4763 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 576:54] + node _T_4764 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 576:75] + node _T_4765 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 576:150] + node _T_4766 = mux(_T_4763, _T_4764, _T_4765) @[el2_lsu_bus_buffer.scala 576:39] + node _T_4767 = mux(obuf_write, _T_4766, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 576:23] + bus_cmd_ready <= _T_4767 @[el2_lsu_bus_buffer.scala 576:17] + node _T_4768 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:39] + bus_wcmd_sent <= _T_4768 @[el2_lsu_bus_buffer.scala 577:17] + node _T_4769 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 578:39] + bus_wdata_sent <= _T_4769 @[el2_lsu_bus_buffer.scala 578:18] + node _T_4770 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 579:35] + node _T_4771 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 579:70] + node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4773 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 579:111] + node _T_4774 = or(_T_4772, _T_4773) @[el2_lsu_bus_buffer.scala 579:89] + bus_cmd_sent <= _T_4774 @[el2_lsu_bus_buffer.scala 579:16] + node _T_4775 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 580:37] + bus_rsp_read <= _T_4775 @[el2_lsu_bus_buffer.scala 580:16] + node _T_4776 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 581:38] + bus_rsp_write <= _T_4776 @[el2_lsu_bus_buffer.scala 581:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 582:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 583:21] + node _T_4777 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:60] + node _T_4778 = and(bus_rsp_write, _T_4777) @[el2_lsu_bus_buffer.scala 584:40] + bus_rsp_write_error <= _T_4778 @[el2_lsu_bus_buffer.scala 584:23] + node _T_4779 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:58] + node _T_4780 = and(bus_rsp_read, _T_4779) @[el2_lsu_bus_buffer.scala 585:38] + bus_rsp_read_error <= _T_4780 @[el2_lsu_bus_buffer.scala 585:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 586:17] + node _T_4781 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 589:36] + node _T_4782 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:51] + node _T_4783 = and(_T_4781, _T_4782) @[el2_lsu_bus_buffer.scala 589:49] + node _T_4784 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:68] + node _T_4785 = and(_T_4783, _T_4784) @[el2_lsu_bus_buffer.scala 589:66] + io.lsu_axi_awvalid <= _T_4785 @[el2_lsu_bus_buffer.scala 589:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 590:19] + node _T_4786 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 591:69] + node _T_4787 = cat(_T_4786, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4788 = mux(obuf_sideeffect, obuf_addr, _T_4787) @[el2_lsu_bus_buffer.scala 591:27] + io.lsu_axi_awaddr <= _T_4788 @[el2_lsu_bus_buffer.scala 591:21] + node _T_4789 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4790 = mux(obuf_sideeffect, _T_4789, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 592:27] + io.lsu_axi_awsize <= _T_4790 @[el2_lsu_bus_buffer.scala 592:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:21] + node _T_4791 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 594:28] + io.lsu_axi_awcache <= _T_4791 @[el2_lsu_bus_buffer.scala 594:22] + node _T_4792 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 595:35] + io.lsu_axi_awregion <= _T_4792 @[el2_lsu_bus_buffer.scala 595:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 596:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 597:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 598:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 599:21] + node _T_4793 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 601:35] + node _T_4794 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:50] + node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 601:48] + node _T_4796 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:68] + node _T_4797 = and(_T_4795, _T_4796) @[el2_lsu_bus_buffer.scala 601:66] + io.lsu_axi_wvalid <= _T_4797 @[el2_lsu_bus_buffer.scala 601:21] + node _T_4798 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4799 = mux(_T_4798, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4800 = and(obuf_byteen, _T_4799) @[el2_lsu_bus_buffer.scala 602:35] + io.lsu_axi_wstrb <= _T_4800 @[el2_lsu_bus_buffer.scala 602:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 603:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 604:20] + node _T_4801 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4802 = and(obuf_valid, _T_4801) @[el2_lsu_bus_buffer.scala 606:36] + node _T_4803 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:52] + node _T_4804 = and(_T_4802, _T_4803) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4805 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:67] + node _T_4806 = and(_T_4804, _T_4805) @[el2_lsu_bus_buffer.scala 606:65] + io.lsu_axi_arvalid <= _T_4806 @[el2_lsu_bus_buffer.scala 606:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 607:19] + node _T_4807 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:69] + node _T_4808 = cat(_T_4807, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4809 = mux(obuf_sideeffect, obuf_addr, _T_4808) @[el2_lsu_bus_buffer.scala 608:27] + io.lsu_axi_araddr <= _T_4809 @[el2_lsu_bus_buffer.scala 608:21] + node _T_4810 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4811 = mux(obuf_sideeffect, _T_4810, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 609:27] + io.lsu_axi_arsize <= _T_4811 @[el2_lsu_bus_buffer.scala 609:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:21] + node _T_4812 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 611:28] + io.lsu_axi_arcache <= _T_4812 @[el2_lsu_bus_buffer.scala 611:22] + node _T_4813 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 612:35] + io.lsu_axi_arregion <= _T_4813 @[el2_lsu_bus_buffer.scala 612:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 613:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 614:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 615:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 616:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 617:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 618:21] + node _T_4814 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 619:81] + node _T_4815 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 619:125] + node _T_4816 = and(io.lsu_bus_clk_en_q, _T_4815) @[el2_lsu_bus_buffer.scala 619:114] + node _T_4817 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 619:140] + node _T_4818 = and(_T_4816, _T_4817) @[el2_lsu_bus_buffer.scala 619:129] + node _T_4819 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 619:81] + node _T_4820 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 619:125] + node _T_4821 = and(io.lsu_bus_clk_en_q, _T_4820) @[el2_lsu_bus_buffer.scala 619:114] + node _T_4822 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 619:140] + node _T_4823 = and(_T_4821, _T_4822) @[el2_lsu_bus_buffer.scala 619:129] + node _T_4824 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 619:81] + node _T_4825 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 619:125] + node _T_4826 = and(io.lsu_bus_clk_en_q, _T_4825) @[el2_lsu_bus_buffer.scala 619:114] + node _T_4827 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 619:140] + node _T_4828 = and(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 619:129] + node _T_4829 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 619:81] + node _T_4830 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 619:125] + node _T_4831 = and(io.lsu_bus_clk_en_q, _T_4830) @[el2_lsu_bus_buffer.scala 619:114] + node _T_4832 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 619:140] + node _T_4833 = and(_T_4831, _T_4832) @[el2_lsu_bus_buffer.scala 619:129] + node _T_4834 = mux(_T_4814, _T_4818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4835 = mux(_T_4819, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4836 = mux(_T_4824, _T_4828, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4837 = mux(_T_4829, _T_4833, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4838 = or(_T_4834, _T_4835) @[Mux.scala 27:72] + node _T_4839 = or(_T_4838, _T_4836) @[Mux.scala 27:72] + node _T_4840 = or(_T_4839, _T_4837) @[Mux.scala 27:72] + wire _T_4841 : UInt<1> @[Mux.scala 27:72] + _T_4841 <= _T_4840 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4841 @[el2_lsu_bus_buffer.scala 619:36] + node _T_4842 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:87] + node _T_4843 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 620:109] + node _T_4844 = and(_T_4842, _T_4843) @[el2_lsu_bus_buffer.scala 620:98] + node _T_4845 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 620:124] + node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 620:113] + node _T_4847 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:87] + node _T_4848 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 620:109] + node _T_4849 = and(_T_4847, _T_4848) @[el2_lsu_bus_buffer.scala 620:98] + node _T_4850 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 620:124] + node _T_4851 = and(_T_4849, _T_4850) @[el2_lsu_bus_buffer.scala 620:113] + node _T_4852 = mux(_T_4846, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4853 = mux(_T_4851, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4854 = or(_T_4852, _T_4853) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4803 @[Mux.scala 27:72] - node _T_4804 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:72] - node _T_4805 = and(io.lsu_nonblock_load_data_error, _T_4804) @[el2_lsu_bus_buffer.scala 617:70] - io.lsu_imprecise_error_load_any <= _T_4805 @[el2_lsu_bus_buffer.scala 617:35] - node _T_4806 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4807 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4808 = mux(_T_4806, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4809 = mux(_T_4807, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4810 = or(_T_4808, _T_4809) @[Mux.scala 27:72] - wire _T_4811 : UInt<32> @[Mux.scala 27:72] - _T_4811 <= _T_4810 @[Mux.scala 27:72] - node _T_4812 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4813 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4814 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4815 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4816 = mux(_T_4812, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4817 = mux(_T_4813, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4818 = mux(_T_4814, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4819 = mux(_T_4815, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4820 = or(_T_4816, _T_4817) @[Mux.scala 27:72] - node _T_4821 = or(_T_4820, _T_4818) @[Mux.scala 27:72] - node _T_4822 = or(_T_4821, _T_4819) @[Mux.scala 27:72] - wire _T_4823 : UInt<32> @[Mux.scala 27:72] - _T_4823 <= _T_4822 @[Mux.scala 27:72] - node _T_4824 = mux(io.lsu_imprecise_error_store_any, _T_4811, _T_4823) @[el2_lsu_bus_buffer.scala 618:41] - io.lsu_imprecise_error_addr_any <= _T_4824 @[el2_lsu_bus_buffer.scala 618:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 619:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 621:23] - node _T_4825 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 624:46] - node _T_4826 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 624:89] - node _T_4827 = or(_T_4825, _T_4826) @[el2_lsu_bus_buffer.scala 624:68] - node _T_4828 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 624:132] - node _T_4829 = or(_T_4827, _T_4828) @[el2_lsu_bus_buffer.scala 624:110] - io.lsu_pmu_bus_trxn <= _T_4829 @[el2_lsu_bus_buffer.scala 624:23] - node _T_4830 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 625:48] - node _T_4831 = and(_T_4830, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 625:65] - io.lsu_pmu_bus_misaligned <= _T_4831 @[el2_lsu_bus_buffer.scala 625:29] - node _T_4832 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 626:59] - io.lsu_pmu_bus_error <= _T_4832 @[el2_lsu_bus_buffer.scala 626:24] - node _T_4833 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:48] - node _T_4834 = and(io.lsu_axi_awvalid, _T_4833) @[el2_lsu_bus_buffer.scala 628:46] - node _T_4835 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:92] - node _T_4836 = and(io.lsu_axi_wvalid, _T_4835) @[el2_lsu_bus_buffer.scala 628:90] - node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 628:69] - node _T_4838 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:136] - node _T_4839 = and(io.lsu_axi_arvalid, _T_4838) @[el2_lsu_bus_buffer.scala 628:134] - node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 628:112] - io.lsu_pmu_bus_busy <= _T_4840 @[el2_lsu_bus_buffer.scala 628:23] - reg _T_4841 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:49] - _T_4841 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 630:49] - WrPtr0_r <= _T_4841 @[el2_lsu_bus_buffer.scala 630:12] - reg _T_4842 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:49] - _T_4842 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 631:49] - WrPtr1_r <= _T_4842 @[el2_lsu_bus_buffer.scala 631:12] - node _T_4843 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:75] - node _T_4844 = and(io.lsu_busreq_m, _T_4843) @[el2_lsu_bus_buffer.scala 632:73] - node _T_4845 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:89] - node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 632:87] - reg _T_4847 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 632:56] - _T_4847 <= _T_4846 @[el2_lsu_bus_buffer.scala 632:56] - io.lsu_busreq_r <= _T_4847 @[el2_lsu_bus_buffer.scala 632:19] - reg _T_4848 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 633:66] - _T_4848 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 633:66] - lsu_nonblock_load_valid_r <= _T_4848 @[el2_lsu_bus_buffer.scala 633:29] + lsu_imprecise_error_store_tag <= _T_4854 @[Mux.scala 27:72] + node _T_4855 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:72] + node _T_4856 = and(io.lsu_nonblock_load_data_error, _T_4855) @[el2_lsu_bus_buffer.scala 622:70] + io.lsu_imprecise_error_load_any <= _T_4856 @[el2_lsu_bus_buffer.scala 622:35] + node _T_4857 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4858 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4859 = mux(_T_4857, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4860 = mux(_T_4858, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4861 = or(_T_4859, _T_4860) @[Mux.scala 27:72] + wire _T_4862 : UInt<32> @[Mux.scala 27:72] + _T_4862 <= _T_4861 @[Mux.scala 27:72] + node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4864 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4865 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4866 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4867 = mux(_T_4863, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4868 = mux(_T_4864, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4869 = mux(_T_4865, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4870 = mux(_T_4866, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4871 = or(_T_4867, _T_4868) @[Mux.scala 27:72] + node _T_4872 = or(_T_4871, _T_4869) @[Mux.scala 27:72] + node _T_4873 = or(_T_4872, _T_4870) @[Mux.scala 27:72] + wire _T_4874 : UInt<32> @[Mux.scala 27:72] + _T_4874 <= _T_4873 @[Mux.scala 27:72] + node _T_4875 = mux(io.lsu_imprecise_error_store_any, _T_4862, _T_4874) @[el2_lsu_bus_buffer.scala 623:41] + io.lsu_imprecise_error_addr_any <= _T_4875 @[el2_lsu_bus_buffer.scala 623:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 624:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 626:23] + node _T_4876 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 629:46] + node _T_4877 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 629:89] + node _T_4878 = or(_T_4876, _T_4877) @[el2_lsu_bus_buffer.scala 629:68] + node _T_4879 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 629:132] + node _T_4880 = or(_T_4878, _T_4879) @[el2_lsu_bus_buffer.scala 629:110] + io.lsu_pmu_bus_trxn <= _T_4880 @[el2_lsu_bus_buffer.scala 629:23] + node _T_4881 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 630:48] + node _T_4882 = and(_T_4881, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 630:65] + io.lsu_pmu_bus_misaligned <= _T_4882 @[el2_lsu_bus_buffer.scala 630:29] + node _T_4883 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 631:59] + io.lsu_pmu_bus_error <= _T_4883 @[el2_lsu_bus_buffer.scala 631:24] + node _T_4884 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:48] + node _T_4885 = and(io.lsu_axi_awvalid, _T_4884) @[el2_lsu_bus_buffer.scala 633:46] + node _T_4886 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:92] + node _T_4887 = and(io.lsu_axi_wvalid, _T_4886) @[el2_lsu_bus_buffer.scala 633:90] + node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 633:69] + node _T_4889 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:136] + node _T_4890 = and(io.lsu_axi_arvalid, _T_4889) @[el2_lsu_bus_buffer.scala 633:134] + node _T_4891 = or(_T_4888, _T_4890) @[el2_lsu_bus_buffer.scala 633:112] + io.lsu_pmu_bus_busy <= _T_4891 @[el2_lsu_bus_buffer.scala 633:23] + reg _T_4892 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 635:49] + _T_4892 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 635:49] + WrPtr0_r <= _T_4892 @[el2_lsu_bus_buffer.scala 635:12] + reg _T_4893 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 636:49] + _T_4893 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 636:49] + WrPtr1_r <= _T_4893 @[el2_lsu_bus_buffer.scala 636:12] + node _T_4894 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:75] + node _T_4895 = and(io.lsu_busreq_m, _T_4894) @[el2_lsu_bus_buffer.scala 637:73] + node _T_4896 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:89] + node _T_4897 = and(_T_4895, _T_4896) @[el2_lsu_bus_buffer.scala 637:87] + reg _T_4898 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 637:56] + _T_4898 <= _T_4897 @[el2_lsu_bus_buffer.scala 637:56] + io.lsu_busreq_r <= _T_4898 @[el2_lsu_bus_buffer.scala 637:19] + reg _T_4899 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 638:66] + _T_4899 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 638:66] + lsu_nonblock_load_valid_r <= _T_4899 @[el2_lsu_bus_buffer.scala 638:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 5e50768e..db0bb7cd 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -141,7 +141,7 @@ module el2_lsu_bus_buffer( output [2:0] io_lsu_axi_arprot, output [3:0] io_lsu_axi_arqos, output io_lsu_axi_rready, - output [1:0] io_test + output [2:0] io_test ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -304,11 +304,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 126:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] - reg _T_4212; // @[Reg.scala 27:20] - reg _T_4209; // @[Reg.scala 27:20] - reg _T_4206; // @[Reg.scala 27:20] - reg _T_4203; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4212,_T_4209,_T_4206,_T_4203}; // @[Cat.scala 29:58] + reg _T_4263; // @[Reg.scala 27:20] + reg _T_4260; // @[Reg.scala 27:20] + reg _T_4257; // @[Reg.scala 27:20] + reg _T_4254; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4263,_T_4260,_T_4257,_T_4254}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 128:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] @@ -351,99 +351,99 @@ module el2_lsu_bus_buffer( wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 129:98] wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] - reg [2:0] _T_4239; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4239}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] + reg [2:0] _T_4290; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 538:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4237; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4237}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] + reg [2:0] _T_4288; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4288}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 538:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4235; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4235}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] + reg [2:0] _T_4286; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4286}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 538:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4233; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4233}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] + reg [2:0] _T_4284; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4284}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 538:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 517:60] - wire _T_2474 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_3959 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_3982 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_3986 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 522:60] + wire _T_2525 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 435:94] + wire _T_4010 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4033 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4037 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 381:13] - wire _T_3993 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_4044 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 477:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3994 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3995 = obuf_merge & _T_3994; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_3996 = _T_3993 | _T_3995; // @[el2_lsu_bus_buffer.scala 472:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_4045 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_4046 = obuf_merge & _T_4045; // @[el2_lsu_bus_buffer.scala 477:91] + wire _T_4047 = _T_4044 | _T_4046; // @[el2_lsu_bus_buffer.scala 477:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 375:54] - wire _T_3997 = _T_3996 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] + wire _T_4048 = _T_4047 & obuf_valid; // @[el2_lsu_bus_buffer.scala 477:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 374:55] - wire _T_3998 = _T_3997 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] - wire _GEN_280 = _T_3986 & _T_3998; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_3982 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2475 = _T_2474 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2476 = buf_ageQ_3[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2470 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_3766 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3789 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3793 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3800 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:48] - wire _T_3801 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3802 = obuf_merge & _T_3801; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_3803 = _T_3800 | _T_3802; // @[el2_lsu_bus_buffer.scala 472:77] - wire _T_3804 = _T_3803 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] - wire _T_3805 = _T_3804 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] - wire _GEN_204 = _T_3793 & _T_3805; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3789 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2471 = _T_2470 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2472 = buf_ageQ_3[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2466 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_3573 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3596 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3600 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3607 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 472:48] - wire _T_3608 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3609 = obuf_merge & _T_3608; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_3610 = _T_3607 | _T_3609; // @[el2_lsu_bus_buffer.scala 472:77] - wire _T_3611 = _T_3610 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] - wire _T_3612 = _T_3611 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] - wire _GEN_128 = _T_3600 & _T_3612; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3596 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2467 = _T_2466 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2468 = buf_ageQ_3[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2462 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_3380 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3403 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3407 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3414 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 472:48] - wire _T_3415 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 472:104] - wire _T_3416 = obuf_merge & _T_3415; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_3417 = _T_3414 | _T_3416; // @[el2_lsu_bus_buffer.scala 472:77] - wire _T_3418 = _T_3417 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] - wire _T_3419 = _T_3418 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] - wire _GEN_52 = _T_3407 & _T_3419; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3403 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2463 = _T_2462 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2464 = buf_ageQ_3[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] - wire [3:0] buf_age_3 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2575 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2577 = _T_2575 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2569 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2571 = _T_2569 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2563 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2565 = _T_2563 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2577,_T_2571,_T_2565}; // @[Cat.scala 29:58] + wire _T_4049 = _T_4048 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 477:148] + wire _GEN_280 = _T_4037 & _T_4049; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4033 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4010 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2526 = _T_2525 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2527 = buf_ageQ_3[3] & _T_2526; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2521 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 435:94] + wire _T_3817 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3840 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3844 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3851 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 477:48] + wire _T_3852 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_3853 = obuf_merge & _T_3852; // @[el2_lsu_bus_buffer.scala 477:91] + wire _T_3854 = _T_3851 | _T_3853; // @[el2_lsu_bus_buffer.scala 477:77] + wire _T_3855 = _T_3854 & obuf_valid; // @[el2_lsu_bus_buffer.scala 477:135] + wire _T_3856 = _T_3855 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 477:148] + wire _GEN_204 = _T_3844 & _T_3856; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3840 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3817 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2522 = _T_2521 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2523 = buf_ageQ_3[2] & _T_2522; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2517 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 435:94] + wire _T_3624 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3647 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3651 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3658 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 477:48] + wire _T_3659 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_3660 = obuf_merge & _T_3659; // @[el2_lsu_bus_buffer.scala 477:91] + wire _T_3661 = _T_3658 | _T_3660; // @[el2_lsu_bus_buffer.scala 477:77] + wire _T_3662 = _T_3661 & obuf_valid; // @[el2_lsu_bus_buffer.scala 477:135] + wire _T_3663 = _T_3662 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 477:148] + wire _GEN_128 = _T_3651 & _T_3663; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3647 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3624 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2518 = _T_2517 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2519 = buf_ageQ_3[1] & _T_2518; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2513 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 435:94] + wire _T_3431 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3454 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3458 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3465 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 477:48] + wire _T_3466 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_3467 = obuf_merge & _T_3466; // @[el2_lsu_bus_buffer.scala 477:91] + wire _T_3468 = _T_3465 | _T_3467; // @[el2_lsu_bus_buffer.scala 477:77] + wire _T_3469 = _T_3468 & obuf_valid; // @[el2_lsu_bus_buffer.scala 477:135] + wire _T_3470 = _T_3469 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 477:148] + wire _GEN_52 = _T_3458 & _T_3470; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3454 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3431 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2514 = _T_2513 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2515 = buf_ageQ_3[0] & _T_2514; // @[el2_lsu_bus_buffer.scala 435:78] + wire [3:0] buf_age_3 = {_T_2527,_T_2523,_T_2519,_T_2515}; // @[Cat.scala 29:58] + wire _T_2626 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2628 = _T_2626 & _T_19; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2620 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2622 = _T_2620 & _T_12; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2614 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2616 = _T_2614 & _T_5; // @[el2_lsu_bus_buffer.scala 436:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2628,_T_2622,_T_2616}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 196:99] @@ -461,55 +461,55 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 517:60] - wire _T_2457 = buf_ageQ_2[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2453 = buf_ageQ_2[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2449 = buf_ageQ_2[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2445 = buf_ageQ_2[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] - wire [3:0] buf_age_2 = {_T_2457,_T_2453,_T_2449,_T_2445}; // @[Cat.scala 29:58] - wire _T_2554 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2556 = _T_2554 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2542 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2544 = _T_2542 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2536 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2538 = _T_2536 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] - wire [3:0] buf_age_younger_2 = {_T_2556,1'h0,_T_2544,_T_2538}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 522:60] + wire _T_2508 = buf_ageQ_2[3] & _T_2526; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2504 = buf_ageQ_2[2] & _T_2522; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2500 = buf_ageQ_2[1] & _T_2518; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2496 = buf_ageQ_2[0] & _T_2514; // @[el2_lsu_bus_buffer.scala 435:78] + wire [3:0] buf_age_2 = {_T_2508,_T_2504,_T_2500,_T_2496}; // @[Cat.scala 29:58] + wire _T_2605 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2607 = _T_2605 & _T_26; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2593 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2595 = _T_2593 & _T_12; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2587 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2589 = _T_2587 & _T_5; // @[el2_lsu_bus_buffer.scala 436:106] + wire [3:0] buf_age_younger_2 = {_T_2607,1'h0,_T_2595,_T_2589}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 517:60] - wire _T_2438 = buf_ageQ_1[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2434 = buf_ageQ_1[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2430 = buf_ageQ_1[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2426 = buf_ageQ_1[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] - wire [3:0] buf_age_1 = {_T_2438,_T_2434,_T_2430,_T_2426}; // @[Cat.scala 29:58] - wire _T_2527 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2529 = _T_2527 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2521 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2523 = _T_2521 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2509 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2511 = _T_2509 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] - wire [3:0] buf_age_younger_1 = {_T_2529,_T_2523,1'h0,_T_2511}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 522:60] + wire _T_2489 = buf_ageQ_1[3] & _T_2526; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2485 = buf_ageQ_1[2] & _T_2522; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2481 = buf_ageQ_1[1] & _T_2518; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2477 = buf_ageQ_1[0] & _T_2514; // @[el2_lsu_bus_buffer.scala 435:78] + wire [3:0] buf_age_1 = {_T_2489,_T_2485,_T_2481,_T_2477}; // @[Cat.scala 29:58] + wire _T_2578 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2580 = _T_2578 & _T_26; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2572 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2574 = _T_2572 & _T_19; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2560 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2562 = _T_2560 & _T_5; // @[el2_lsu_bus_buffer.scala 436:106] + wire [3:0] buf_age_younger_1 = {_T_2580,_T_2574,1'h0,_T_2562}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 517:60] - wire _T_2419 = buf_ageQ_0[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2415 = buf_ageQ_0[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2411 = buf_ageQ_0[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_2407 = buf_ageQ_0[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] - wire [3:0] buf_age_0 = {_T_2419,_T_2415,_T_2411,_T_2407}; // @[Cat.scala 29:58] - wire _T_2500 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2502 = _T_2500 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2494 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2496 = _T_2494 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] - wire _T_2488 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 431:91] - wire _T_2490 = _T_2488 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] - wire [3:0] buf_age_younger_0 = {_T_2502,_T_2496,_T_2490,1'h0}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 522:60] + wire _T_2470 = buf_ageQ_0[3] & _T_2526; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2466 = buf_ageQ_0[2] & _T_2522; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2462 = buf_ageQ_0[1] & _T_2518; // @[el2_lsu_bus_buffer.scala 435:78] + wire _T_2458 = buf_ageQ_0[0] & _T_2514; // @[el2_lsu_bus_buffer.scala 435:78] + wire [3:0] buf_age_0 = {_T_2470,_T_2466,_T_2462,_T_2458}; // @[Cat.scala 29:58] + wire _T_2551 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2553 = _T_2551 & _T_26; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2545 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2547 = _T_2545 & _T_19; // @[el2_lsu_bus_buffer.scala 436:106] + wire _T_2539 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 436:91] + wire _T_2541 = _T_2539 & _T_12; // @[el2_lsu_bus_buffer.scala 436:106] + wire [3:0] buf_age_younger_0 = {_T_2553,_T_2547,_T_2541,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 196:99] @@ -759,23 +759,23 @@ module el2_lsu_bus_buffer( wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 189:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4240; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4240}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] + reg [3:0] _T_4291; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_0 = {{28'd0}, _T_4291}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 539:14] wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4241; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4241}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] + reg [3:0] _T_4292; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_1 = {{28'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 539:14] wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4242; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4242}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] + reg [3:0] _T_4293; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_2 = {{28'd0}, _T_4293}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 539:14] wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4243; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4243}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] + reg [3:0] _T_4294; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_3 = {{28'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 539:14] wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 214:123] @@ -928,8 +928,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 247:32] wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 241:34] wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 241:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 631:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 630:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 636:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 635:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 257:77] @@ -970,41 +970,41 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4293 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4294 = buf_write[3] & _T_4293; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4295 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4296 = _T_4294 & _T_4295; // @[el2_lsu_bus_buffer.scala 538:89] - wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4287 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4288 = buf_write[2] & _T_4287; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4289 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4290 = _T_4288 & _T_4289; // @[el2_lsu_bus_buffer.scala 538:89] - wire [1:0] _T_4297 = _T_4296 + _T_4290; // @[el2_lsu_bus_buffer.scala 538:142] - wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4281 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4282 = buf_write[1] & _T_4281; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4283 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4284 = _T_4282 & _T_4283; // @[el2_lsu_bus_buffer.scala 538:89] - wire [1:0] _GEN_368 = {{1'd0}, _T_4284}; // @[el2_lsu_bus_buffer.scala 538:142] - wire [2:0] _T_4298 = _T_4297 + _GEN_368; // @[el2_lsu_bus_buffer.scala 538:142] - wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4275 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] - wire _T_4276 = buf_write[0] & _T_4275; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4277 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4278 = _T_4276 & _T_4277; // @[el2_lsu_bus_buffer.scala 538:89] - wire [2:0] _GEN_370 = {{2'd0}, _T_4278}; // @[el2_lsu_bus_buffer.scala 538:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4298 + _GEN_370; // @[el2_lsu_bus_buffer.scala 538:142] + wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4344 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4345 = buf_write[3] & _T_4344; // @[el2_lsu_bus_buffer.scala 543:64] + wire _T_4346 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 543:91] + wire _T_4347 = _T_4345 & _T_4346; // @[el2_lsu_bus_buffer.scala 543:89] + wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4338 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4339 = buf_write[2] & _T_4338; // @[el2_lsu_bus_buffer.scala 543:64] + wire _T_4340 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 543:91] + wire _T_4341 = _T_4339 & _T_4340; // @[el2_lsu_bus_buffer.scala 543:89] + wire [1:0] _T_4348 = _T_4347 + _T_4341; // @[el2_lsu_bus_buffer.scala 543:142] + wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4332 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4333 = buf_write[1] & _T_4332; // @[el2_lsu_bus_buffer.scala 543:64] + wire _T_4334 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 543:91] + wire _T_4335 = _T_4333 & _T_4334; // @[el2_lsu_bus_buffer.scala 543:89] + wire [1:0] _GEN_368 = {{1'd0}, _T_4335}; // @[el2_lsu_bus_buffer.scala 543:142] + wire [2:0] _T_4349 = _T_4348 + _GEN_368; // @[el2_lsu_bus_buffer.scala 543:142] + wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4326 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 543:79] + wire _T_4327 = buf_write[0] & _T_4326; // @[el2_lsu_bus_buffer.scala 543:64] + wire _T_4328 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 543:91] + wire _T_4329 = _T_4327 & _T_4328; // @[el2_lsu_bus_buffer.scala 543:89] + wire [2:0] _GEN_370 = {{2'd0}, _T_4329}; // @[el2_lsu_bus_buffer.scala 543:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4349 + _GEN_370; // @[el2_lsu_bus_buffer.scala 543:142] wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4315 = _T_4293 & _T_4295; // @[el2_lsu_bus_buffer.scala 539:73] - wire _T_4311 = _T_4287 & _T_4289; // @[el2_lsu_bus_buffer.scala 539:73] - wire [1:0] _T_4316 = _T_4315 + _T_4311; // @[el2_lsu_bus_buffer.scala 539:126] - wire _T_4307 = _T_4281 & _T_4283; // @[el2_lsu_bus_buffer.scala 539:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4307}; // @[el2_lsu_bus_buffer.scala 539:126] - wire [2:0] _T_4317 = _T_4316 + _GEN_374; // @[el2_lsu_bus_buffer.scala 539:126] - wire _T_4303 = _T_4275 & _T_4277; // @[el2_lsu_bus_buffer.scala 539:73] - wire [2:0] _GEN_376 = {{2'd0}, _T_4303}; // @[el2_lsu_bus_buffer.scala 539:126] - wire [3:0] buf_numvld_cmd_any = _T_4317 + _GEN_376; // @[el2_lsu_bus_buffer.scala 539:126] + wire _T_4366 = _T_4344 & _T_4346; // @[el2_lsu_bus_buffer.scala 544:73] + wire _T_4362 = _T_4338 & _T_4340; // @[el2_lsu_bus_buffer.scala 544:73] + wire [1:0] _T_4367 = _T_4366 + _T_4362; // @[el2_lsu_bus_buffer.scala 544:126] + wire _T_4358 = _T_4332 & _T_4334; // @[el2_lsu_bus_buffer.scala 544:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4358}; // @[el2_lsu_bus_buffer.scala 544:126] + wire [2:0] _T_4368 = _T_4367 + _GEN_374; // @[el2_lsu_bus_buffer.scala 544:126] + wire _T_4354 = _T_4326 & _T_4328; // @[el2_lsu_bus_buffer.scala 544:73] + wire [2:0] _GEN_376 = {{2'd0}, _T_4354}; // @[el2_lsu_bus_buffer.scala 544:126] + wire [3:0] buf_numvld_cmd_any = _T_4368 + _GEN_376; // @[el2_lsu_bus_buffer.scala 544:126] wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 293:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] @@ -1017,11 +1017,11 @@ module el2_lsu_bus_buffer( reg buf_nomerge_3; // @[Reg.scala 27:20] wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 294:31] wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 294:29] - reg _T_4182; // @[Reg.scala 27:20] - reg _T_4179; // @[Reg.scala 27:20] - reg _T_4176; // @[Reg.scala 27:20] - reg _T_4173; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4182,_T_4179,_T_4176,_T_4173}; // @[Cat.scala 29:58] + reg _T_4233; // @[Reg.scala 27:20] + reg _T_4230; // @[Reg.scala 27:20] + reg _T_4227; // @[Reg.scala 27:20] + reg _T_4224; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4233,_T_4230,_T_4227,_T_4224}; // @[Cat.scala 29:58] wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 295:5] wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 294:140] wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 297:58] @@ -1034,66 +1034,67 @@ module el2_lsu_bus_buffer( wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 296:79] wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4341 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] - wire _T_4344 = _T_4341 | _T_4293; // @[el2_lsu_bus_buffer.scala 540:74] - wire _T_4346 = _T_4344 & _T_4295; // @[el2_lsu_bus_buffer.scala 540:100] - wire _T_4334 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] - wire _T_4337 = _T_4334 | _T_4287; // @[el2_lsu_bus_buffer.scala 540:74] - wire _T_4339 = _T_4337 & _T_4289; // @[el2_lsu_bus_buffer.scala 540:100] - wire [1:0] _T_4347 = _T_4346 + _T_4339; // @[el2_lsu_bus_buffer.scala 540:153] - wire _T_4327 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] - wire _T_4330 = _T_4327 | _T_4281; // @[el2_lsu_bus_buffer.scala 540:74] - wire _T_4332 = _T_4330 & _T_4283; // @[el2_lsu_bus_buffer.scala 540:100] - wire [1:0] _GEN_383 = {{1'd0}, _T_4332}; // @[el2_lsu_bus_buffer.scala 540:153] - wire [2:0] _T_4348 = _T_4347 + _GEN_383; // @[el2_lsu_bus_buffer.scala 540:153] - wire _T_4320 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] - wire _T_4323 = _T_4320 | _T_4275; // @[el2_lsu_bus_buffer.scala 540:74] - wire _T_4325 = _T_4323 & _T_4277; // @[el2_lsu_bus_buffer.scala 540:100] - wire [2:0] _GEN_386 = {{2'd0}, _T_4325}; // @[el2_lsu_bus_buffer.scala 540:153] - wire [3:0] buf_numvld_pend_any = _T_4348 + _GEN_386; // @[el2_lsu_bus_buffer.scala 540:153] + wire _T_4392 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 545:64] + wire _T_4395 = _T_4392 | _T_4344; // @[el2_lsu_bus_buffer.scala 545:74] + wire _T_4397 = _T_4395 & _T_4346; // @[el2_lsu_bus_buffer.scala 545:100] + wire _T_4385 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 545:64] + wire _T_4388 = _T_4385 | _T_4338; // @[el2_lsu_bus_buffer.scala 545:74] + wire _T_4390 = _T_4388 & _T_4340; // @[el2_lsu_bus_buffer.scala 545:100] + wire [1:0] _T_4398 = _T_4397 + _T_4390; // @[el2_lsu_bus_buffer.scala 545:153] + wire _T_4378 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 545:64] + wire _T_4381 = _T_4378 | _T_4332; // @[el2_lsu_bus_buffer.scala 545:74] + wire _T_4383 = _T_4381 & _T_4334; // @[el2_lsu_bus_buffer.scala 545:100] + wire [1:0] _GEN_383 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 545:153] + wire [2:0] _T_4399 = _T_4398 + _GEN_383; // @[el2_lsu_bus_buffer.scala 545:153] + wire _T_4371 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 545:64] + wire _T_4374 = _T_4371 | _T_4326; // @[el2_lsu_bus_buffer.scala 545:74] + wire _T_4376 = _T_4374 & _T_4328; // @[el2_lsu_bus_buffer.scala 545:100] + wire [2:0] _GEN_386 = {{2'd0}, _T_4376}; // @[el2_lsu_bus_buffer.scala 545:153] + wire [3:0] buf_numvld_pend_any = _T_4399 + _GEN_386; // @[el2_lsu_bus_buffer.scala 545:153] wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 299:31] wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 299:61] wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4637 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] - wire _T_4639 = _T_4637 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 567:73] - wire _T_4640 = _T_4639 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] - wire _T_4641 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] - wire _T_4643 = _T_4641 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 567:73] - wire _T_4644 = _T_4643 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] - wire _T_4653 = _T_4640 | _T_4644; // @[el2_lsu_bus_buffer.scala 567:141] - wire _T_4645 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] - wire _T_4647 = _T_4645 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 567:73] - wire _T_4648 = _T_4647 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] - wire _T_4654 = _T_4653 | _T_4648; // @[el2_lsu_bus_buffer.scala 567:141] - wire _T_4649 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] - wire _T_4651 = _T_4649 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 567:73] - wire _T_4652 = _T_4651 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] - wire bus_sideeffect_pend = _T_4654 | _T_4652; // @[el2_lsu_bus_buffer.scala 567:141] + wire _T_4688 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 572:62] + wire _T_4690 = _T_4688 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 572:73] + wire _T_4691 = _T_4690 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 572:93] + wire _T_4692 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 572:62] + wire _T_4694 = _T_4692 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 572:73] + wire _T_4695 = _T_4694 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 572:93] + wire _T_4704 = _T_4691 | _T_4695; // @[el2_lsu_bus_buffer.scala 572:141] + wire _T_4696 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 572:62] + wire _T_4698 = _T_4696 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 572:73] + wire _T_4699 = _T_4698 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 572:93] + wire _T_4705 = _T_4704 | _T_4699; // @[el2_lsu_bus_buffer.scala 572:141] + wire _T_4700 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 572:62] + wire _T_4702 = _T_4700 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 572:73] + wire _T_4703 = _T_4702 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 572:93] + wire bus_sideeffect_pend = _T_4705 | _T_4703; // @[el2_lsu_bus_buffer.scala 572:141] wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 314:52] wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 314:50] - wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 401:58] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 401:45] - wire _T_1907 = _T_1905 & _T_2474; // @[el2_lsu_bus_buffer.scala 401:63] - wire _T_1909 = _T_1907 & _T_4295; // @[el2_lsu_bus_buffer.scala 401:88] - wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 401:58] - wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 401:45] - wire _T_1901 = _T_1899 & _T_2470; // @[el2_lsu_bus_buffer.scala 401:63] - wire _T_1903 = _T_1901 & _T_4289; // @[el2_lsu_bus_buffer.scala 401:88] - wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 401:58] - wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 401:45] - wire _T_1895 = _T_1893 & _T_2466; // @[el2_lsu_bus_buffer.scala 401:63] - wire _T_1897 = _T_1895 & _T_4283; // @[el2_lsu_bus_buffer.scala 401:88] - wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 401:58] - wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 401:45] - wire _T_1889 = _T_1887 & _T_2462; // @[el2_lsu_bus_buffer.scala 401:63] - wire _T_1891 = _T_1889 & _T_4277; // @[el2_lsu_bus_buffer.scala 401:88] - wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 406:31] - wire _T_1034 = _T_2462 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] + wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 403:55] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 403:42] + wire _T_1907 = _T_1905 & _T_2525; // @[el2_lsu_bus_buffer.scala 403:60] + wire _T_1909 = _T_1907 & _T_4346; // @[el2_lsu_bus_buffer.scala 403:85] + wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 403:55] + wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 403:42] + wire _T_1901 = _T_1899 & _T_2521; // @[el2_lsu_bus_buffer.scala 403:60] + wire _T_1903 = _T_1901 & _T_4340; // @[el2_lsu_bus_buffer.scala 403:85] + wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 403:55] + wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 403:42] + wire _T_1895 = _T_1893 & _T_2517; // @[el2_lsu_bus_buffer.scala 403:60] + wire _T_1897 = _T_1895 & _T_4334; // @[el2_lsu_bus_buffer.scala 403:85] + wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 403:55] + wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 403:42] + wire _T_1889 = _T_1887 & _T_2513; // @[el2_lsu_bus_buffer.scala 403:60] + wire _T_1891 = _T_1889 & _T_4328; // @[el2_lsu_bus_buffer.scala 403:85] + wire [3:0] _T_1912 = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] + wire [7:0] CmdPtr0Dec = {{4'd0}, _T_1912}; // @[el2_lsu_bus_buffer.scala 403:14] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 409:31] + wire _T_1034 = _T_2513 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 316:23] wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 316:21] @@ -1114,37 +1115,42 @@ module el2_lsu_bus_buffer( wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 317:150] wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 317:148] wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 317:8] - wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 402:62] - wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 402:59] - wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 402:76] - wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 402:83] - wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 402:81] - wire _T_1953 = _T_1951 & _T_2474; // @[el2_lsu_bus_buffer.scala 402:98] - wire _T_1955 = _T_1953 & _T_4295; // @[el2_lsu_bus_buffer.scala 402:123] - wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 402:59] - wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 402:76] - wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 402:83] - wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 402:81] - wire _T_1942 = _T_1940 & _T_2470; // @[el2_lsu_bus_buffer.scala 402:98] - wire _T_1944 = _T_1942 & _T_4289; // @[el2_lsu_bus_buffer.scala 402:123] - wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 402:59] - wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 402:76] - wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 402:83] - wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 402:81] - wire _T_1931 = _T_1929 & _T_2466; // @[el2_lsu_bus_buffer.scala 402:98] - wire _T_1933 = _T_1931 & _T_4283; // @[el2_lsu_bus_buffer.scala 402:123] - wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 402:59] - wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 402:76] - wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 402:83] - wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 402:81] - wire _T_1920 = _T_1918 & _T_2462; // @[el2_lsu_bus_buffer.scala 402:98] - wire _T_1922 = _T_1920 & _T_4277; // @[el2_lsu_bus_buffer.scala 402:123] - wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 407:31] + wire [7:0] _T_1946 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 404:59] + wire [7:0] _GEN_387 = {{4'd0}, buf_age_3}; // @[el2_lsu_bus_buffer.scala 404:56] + wire [7:0] _T_1947 = _GEN_387 & _T_1946; // @[el2_lsu_bus_buffer.scala 404:56] + wire _T_1948 = |_T_1947; // @[el2_lsu_bus_buffer.scala 404:73] + wire _T_1949 = ~_T_1948; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1951 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 404:80] + wire _T_1952 = _T_1949 & _T_1951; // @[el2_lsu_bus_buffer.scala 404:78] + wire _T_1954 = _T_1952 & _T_2525; // @[el2_lsu_bus_buffer.scala 404:95] + wire _T_1956 = _T_1954 & _T_4346; // @[el2_lsu_bus_buffer.scala 404:120] + wire [7:0] _GEN_388 = {{4'd0}, buf_age_2}; // @[el2_lsu_bus_buffer.scala 404:56] + wire [7:0] _T_1936 = _GEN_388 & _T_1946; // @[el2_lsu_bus_buffer.scala 404:56] + wire _T_1937 = |_T_1936; // @[el2_lsu_bus_buffer.scala 404:73] + wire _T_1938 = ~_T_1937; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1940 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 404:80] + wire _T_1941 = _T_1938 & _T_1940; // @[el2_lsu_bus_buffer.scala 404:78] + wire _T_1943 = _T_1941 & _T_2521; // @[el2_lsu_bus_buffer.scala 404:95] + wire _T_1945 = _T_1943 & _T_4340; // @[el2_lsu_bus_buffer.scala 404:120] + wire [7:0] _GEN_389 = {{4'd0}, buf_age_1}; // @[el2_lsu_bus_buffer.scala 404:56] + wire [7:0] _T_1925 = _GEN_389 & _T_1946; // @[el2_lsu_bus_buffer.scala 404:56] + wire _T_1926 = |_T_1925; // @[el2_lsu_bus_buffer.scala 404:73] + wire _T_1927 = ~_T_1926; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1929 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 404:80] + wire _T_1930 = _T_1927 & _T_1929; // @[el2_lsu_bus_buffer.scala 404:78] + wire _T_1932 = _T_1930 & _T_2517; // @[el2_lsu_bus_buffer.scala 404:95] + wire _T_1934 = _T_1932 & _T_4334; // @[el2_lsu_bus_buffer.scala 404:120] + wire [7:0] _GEN_390 = {{4'd0}, buf_age_0}; // @[el2_lsu_bus_buffer.scala 404:56] + wire [7:0] _T_1914 = _GEN_390 & _T_1946; // @[el2_lsu_bus_buffer.scala 404:56] + wire _T_1915 = |_T_1914; // @[el2_lsu_bus_buffer.scala 404:73] + wire _T_1916 = ~_T_1915; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1918 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 404:80] + wire _T_1919 = _T_1916 & _T_1918; // @[el2_lsu_bus_buffer.scala 404:78] + wire _T_1921 = _T_1919 & _T_2513; // @[el2_lsu_bus_buffer.scala 404:95] + wire _T_1923 = _T_1921 & _T_4328; // @[el2_lsu_bus_buffer.scala 404:120] + wire [3:0] _T_1959 = {_T_1956,_T_1945,_T_1934,_T_1923}; // @[Cat.scala 29:58] + wire [7:0] CmdPtr1Dec = {{4'd0}, _T_1959}; // @[el2_lsu_bus_buffer.scala 404:14] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 410:31] wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 317:181] wire [3:0] _T_1136 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 317:197] @@ -1154,10 +1160,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4712 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 571:54] - wire _T_4713 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:75] - wire _T_4715 = _T_4712 ? _T_4713 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:39] - wire bus_cmd_ready = obuf_write ? _T_4715 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 571:23] + wire _T_4763 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 576:54] + wire _T_4764 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 576:75] + wire _T_4766 = _T_4763 ? _T_4764 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 576:39] + wire bus_cmd_ready = obuf_write ? _T_4766 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 576:23] wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 318:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1166,52 +1172,52 @@ module el2_lsu_bus_buffer( wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 318:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4660 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] - wire _T_4661 = obuf_valid & _T_4660; // @[el2_lsu_bus_buffer.scala 569:38] - wire _T_4663 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 569:126] - wire _T_4664 = obuf_merge & _T_4663; // @[el2_lsu_bus_buffer.scala 569:114] - wire _T_4665 = _T_3414 | _T_4664; // @[el2_lsu_bus_buffer.scala 569:100] - wire _T_4666 = ~_T_4665; // @[el2_lsu_bus_buffer.scala 569:80] - wire _T_4667 = _T_4661 & _T_4666; // @[el2_lsu_bus_buffer.scala 569:78] - wire _T_4704 = _T_4637 & _T_4667; // @[Mux.scala 27:72] - wire _T_4672 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] - wire _T_4673 = obuf_valid & _T_4672; // @[el2_lsu_bus_buffer.scala 569:38] - wire _T_4675 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 569:126] - wire _T_4676 = obuf_merge & _T_4675; // @[el2_lsu_bus_buffer.scala 569:114] - wire _T_4677 = _T_3607 | _T_4676; // @[el2_lsu_bus_buffer.scala 569:100] - wire _T_4678 = ~_T_4677; // @[el2_lsu_bus_buffer.scala 569:80] - wire _T_4679 = _T_4673 & _T_4678; // @[el2_lsu_bus_buffer.scala 569:78] - wire _T_4705 = _T_4641 & _T_4679; // @[Mux.scala 27:72] - wire _T_4708 = _T_4704 | _T_4705; // @[Mux.scala 27:72] - wire _T_4684 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] - wire _T_4685 = obuf_valid & _T_4684; // @[el2_lsu_bus_buffer.scala 569:38] - wire _T_4687 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 569:126] - wire _T_4688 = obuf_merge & _T_4687; // @[el2_lsu_bus_buffer.scala 569:114] - wire _T_4689 = _T_3800 | _T_4688; // @[el2_lsu_bus_buffer.scala 569:100] - wire _T_4690 = ~_T_4689; // @[el2_lsu_bus_buffer.scala 569:80] - wire _T_4691 = _T_4685 & _T_4690; // @[el2_lsu_bus_buffer.scala 569:78] - wire _T_4706 = _T_4645 & _T_4691; // @[Mux.scala 27:72] - wire _T_4709 = _T_4708 | _T_4706; // @[Mux.scala 27:72] - wire _T_4696 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] - wire _T_4697 = obuf_valid & _T_4696; // @[el2_lsu_bus_buffer.scala 569:38] - wire _T_4699 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 569:126] - wire _T_4700 = obuf_merge & _T_4699; // @[el2_lsu_bus_buffer.scala 569:114] - wire _T_4701 = _T_3993 | _T_4700; // @[el2_lsu_bus_buffer.scala 569:100] - wire _T_4702 = ~_T_4701; // @[el2_lsu_bus_buffer.scala 569:80] - wire _T_4703 = _T_4697 & _T_4702; // @[el2_lsu_bus_buffer.scala 569:78] - wire _T_4707 = _T_4649 & _T_4703; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4709 | _T_4707; // @[Mux.scala 27:72] + wire _T_4711 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 574:56] + wire _T_4712 = obuf_valid & _T_4711; // @[el2_lsu_bus_buffer.scala 574:38] + wire _T_4714 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4715 = obuf_merge & _T_4714; // @[el2_lsu_bus_buffer.scala 574:114] + wire _T_4716 = _T_3465 | _T_4715; // @[el2_lsu_bus_buffer.scala 574:100] + wire _T_4717 = ~_T_4716; // @[el2_lsu_bus_buffer.scala 574:80] + wire _T_4718 = _T_4712 & _T_4717; // @[el2_lsu_bus_buffer.scala 574:78] + wire _T_4755 = _T_4688 & _T_4718; // @[Mux.scala 27:72] + wire _T_4723 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 574:56] + wire _T_4724 = obuf_valid & _T_4723; // @[el2_lsu_bus_buffer.scala 574:38] + wire _T_4726 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4727 = obuf_merge & _T_4726; // @[el2_lsu_bus_buffer.scala 574:114] + wire _T_4728 = _T_3658 | _T_4727; // @[el2_lsu_bus_buffer.scala 574:100] + wire _T_4729 = ~_T_4728; // @[el2_lsu_bus_buffer.scala 574:80] + wire _T_4730 = _T_4724 & _T_4729; // @[el2_lsu_bus_buffer.scala 574:78] + wire _T_4756 = _T_4692 & _T_4730; // @[Mux.scala 27:72] + wire _T_4759 = _T_4755 | _T_4756; // @[Mux.scala 27:72] + wire _T_4735 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 574:56] + wire _T_4736 = obuf_valid & _T_4735; // @[el2_lsu_bus_buffer.scala 574:38] + wire _T_4738 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4739 = obuf_merge & _T_4738; // @[el2_lsu_bus_buffer.scala 574:114] + wire _T_4740 = _T_3851 | _T_4739; // @[el2_lsu_bus_buffer.scala 574:100] + wire _T_4741 = ~_T_4740; // @[el2_lsu_bus_buffer.scala 574:80] + wire _T_4742 = _T_4736 & _T_4741; // @[el2_lsu_bus_buffer.scala 574:78] + wire _T_4757 = _T_4696 & _T_4742; // @[Mux.scala 27:72] + wire _T_4760 = _T_4759 | _T_4757; // @[Mux.scala 27:72] + wire _T_4747 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 574:56] + wire _T_4748 = obuf_valid & _T_4747; // @[el2_lsu_bus_buffer.scala 574:38] + wire _T_4750 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4751 = obuf_merge & _T_4750; // @[el2_lsu_bus_buffer.scala 574:114] + wire _T_4752 = _T_4044 | _T_4751; // @[el2_lsu_bus_buffer.scala 574:100] + wire _T_4753 = ~_T_4752; // @[el2_lsu_bus_buffer.scala 574:80] + wire _T_4754 = _T_4748 & _T_4753; // @[el2_lsu_bus_buffer.scala 574:78] + wire _T_4758 = _T_4700 & _T_4754; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4760 | _T_4758; // @[Mux.scala 27:72] wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 318:116] wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 572:39] - wire _T_4719 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 574:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 573:39] - wire _T_4720 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 574:70] - wire _T_4721 = _T_4719 & _T_4720; // @[el2_lsu_bus_buffer.scala 574:52] - wire _T_4722 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 574:111] - wire bus_cmd_sent = _T_4721 | _T_4722; // @[el2_lsu_bus_buffer.scala 574:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:39] + wire _T_4770 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 579:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 578:39] + wire _T_4771 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 579:70] + wire _T_4772 = _T_4770 & _T_4771; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4773 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 579:111] + wire bus_cmd_sent = _T_4772 | _T_4773; // @[el2_lsu_bus_buffer.scala 579:89] wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 320:33] wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 320:63] @@ -1249,7 +1255,7 @@ module el2_lsu_bus_buffer( wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 353:20] wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 353:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 379:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 575:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 580:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:55] wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 353:90] wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 353:70] @@ -1397,1117 +1403,1133 @@ module el2_lsu_bus_buffer( wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:83] wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 395:72] wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 395:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 518:63] - wire _T_2598 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] - wire _T_2599 = buf_rspageQ_0[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2595 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] - wire _T_2596 = buf_rspageQ_0[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2592 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] - wire _T_2593 = buf_rspageQ_0[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2589 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] - wire _T_2590 = buf_rspageQ_0[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2599,_T_2596,_T_2593,_T_2590}; // @[Cat.scala 29:58] - wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1961 = _T_1959 & _T_2589; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 518:63] - wire _T_2614 = buf_rspageQ_1[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2611 = buf_rspageQ_1[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2608 = buf_rspageQ_1[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2605 = buf_rspageQ_1[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2614,_T_2611,_T_2608,_T_2605}; // @[Cat.scala 29:58] - wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1965 = _T_1963 & _T_2592; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 518:63] - wire _T_2629 = buf_rspageQ_2[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2626 = buf_rspageQ_2[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2623 = buf_rspageQ_2[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2620 = buf_rspageQ_2[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2629,_T_2626,_T_2623,_T_2620}; // @[Cat.scala 29:58] - wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1969 = _T_1967 & _T_2595; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 518:63] - wire _T_2644 = buf_rspageQ_3[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2641 = buf_rspageQ_3[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2638 = buf_rspageQ_3[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] - wire _T_2635 = buf_rspageQ_3[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2644,_T_2641,_T_2638,_T_2635}; // @[Cat.scala 29:58] - wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1973 = _T_1971 & _T_2598; // @[el2_lsu_bus_buffer.scala 405:70] - wire [3:0] RspPtrDec = {_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire [1:0] _T_1982 = CmdPtr0Dec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] - wire [1:0] _T_1983 = CmdPtr0Dec[1] ? 2'h1 : _T_1982; // @[Mux.scala 47:69] - wire [1:0] _T_1994 = RspPtrDec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] - wire [1:0] _T_1995 = RspPtrDec[1] ? 2'h1 : _T_1994; // @[Mux.scala 47:69] - wire [1:0] RspPtr = RspPtrDec[0] ? 2'h0 : _T_1995; // @[Mux.scala 47:69] - wire _T_3384 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:77] - wire _T_3385 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_3386 = _T_3384 & _T_3385; // @[el2_lsu_bus_buffer.scala 461:95] - wire _T_3387 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] - wire _T_3388 = _T_3386 & _T_3387; // @[el2_lsu_bus_buffer.scala 461:112] - wire _T_3389 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:144] - wire _T_3390 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] - wire _T_3391 = _T_3389 & _T_3390; // @[el2_lsu_bus_buffer.scala 461:161] - wire _T_3392 = _T_3388 | _T_3391; // @[el2_lsu_bus_buffer.scala 461:132] - wire _T_3393 = _T_766 & _T_3392; // @[el2_lsu_bus_buffer.scala 461:63] - wire _T_3394 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] - wire _T_3395 = ibuf_drain_vld & _T_3394; // @[el2_lsu_bus_buffer.scala 461:201] - wire _T_3396 = _T_3393 | _T_3395; // @[el2_lsu_bus_buffer.scala 461:183] - wire _T_3406 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 468:46] - wire _T_3441 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 576:38] - wire _T_3486 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3487 = bus_rsp_write & _T_3486; // @[el2_lsu_bus_buffer.scala 486:52] - wire _T_3488 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 487:46] - reg _T_4159; // @[Reg.scala 27:20] - reg _T_4157; // @[Reg.scala 27:20] - reg _T_4155; // @[Reg.scala 27:20] - reg _T_4153; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4159,_T_4157,_T_4155,_T_4153}; // @[Cat.scala 29:58] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 523:63] + wire _T_2649 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 437:104] + wire _T_2650 = buf_rspageQ_0[3] & _T_2649; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2646 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 437:104] + wire _T_2647 = buf_rspageQ_0[2] & _T_2646; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2643 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 437:104] + wire _T_2644 = buf_rspageQ_0[1] & _T_2643; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2640 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 437:104] + wire _T_2641 = buf_rspageQ_0[0] & _T_2640; // @[el2_lsu_bus_buffer.scala 437:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2650,_T_2647,_T_2644,_T_2641}; // @[Cat.scala 29:58] + wire _T_1960 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 408:62] + wire _T_1961 = ~_T_1960; // @[el2_lsu_bus_buffer.scala 408:41] + wire _T_1963 = _T_1961 & _T_2640; // @[el2_lsu_bus_buffer.scala 408:67] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 523:63] + wire _T_2665 = buf_rspageQ_1[3] & _T_2649; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2662 = buf_rspageQ_1[2] & _T_2646; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2659 = buf_rspageQ_1[1] & _T_2643; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2656 = buf_rspageQ_1[0] & _T_2640; // @[el2_lsu_bus_buffer.scala 437:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2665,_T_2662,_T_2659,_T_2656}; // @[Cat.scala 29:58] + wire _T_1964 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 408:62] + wire _T_1965 = ~_T_1964; // @[el2_lsu_bus_buffer.scala 408:41] + wire _T_1967 = _T_1965 & _T_2643; // @[el2_lsu_bus_buffer.scala 408:67] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 523:63] + wire _T_2680 = buf_rspageQ_2[3] & _T_2649; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2677 = buf_rspageQ_2[2] & _T_2646; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2674 = buf_rspageQ_2[1] & _T_2643; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2671 = buf_rspageQ_2[0] & _T_2640; // @[el2_lsu_bus_buffer.scala 437:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2680,_T_2677,_T_2674,_T_2671}; // @[Cat.scala 29:58] + wire _T_1968 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 408:62] + wire _T_1969 = ~_T_1968; // @[el2_lsu_bus_buffer.scala 408:41] + wire _T_1971 = _T_1969 & _T_2646; // @[el2_lsu_bus_buffer.scala 408:67] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 523:63] + wire _T_2695 = buf_rspageQ_3[3] & _T_2649; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2692 = buf_rspageQ_3[2] & _T_2646; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2689 = buf_rspageQ_3[1] & _T_2643; // @[el2_lsu_bus_buffer.scala 437:89] + wire _T_2686 = buf_rspageQ_3[0] & _T_2640; // @[el2_lsu_bus_buffer.scala 437:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2695,_T_2692,_T_2689,_T_2686}; // @[Cat.scala 29:58] + wire _T_1972 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 408:62] + wire _T_1973 = ~_T_1972; // @[el2_lsu_bus_buffer.scala 408:41] + wire _T_1975 = _T_1973 & _T_2649; // @[el2_lsu_bus_buffer.scala 408:67] + wire [3:0] _T_1978 = {_T_1975,_T_1971,_T_1967,_T_1963}; // @[Cat.scala 29:58] + wire _T_1983 = CmdPtr0Dec[4] | CmdPtr0Dec[5]; // @[el2_lsu_bus_buffer.scala 412:42] + wire _T_1985 = _T_1983 | CmdPtr0Dec[6]; // @[el2_lsu_bus_buffer.scala 412:48] + wire _T_1987 = _T_1985 | CmdPtr0Dec[7]; // @[el2_lsu_bus_buffer.scala 412:54] + wire _T_1990 = CmdPtr0Dec[2] | CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 412:67] + wire _T_1992 = _T_1990 | CmdPtr0Dec[6]; // @[el2_lsu_bus_buffer.scala 412:73] + wire _T_1994 = _T_1992 | CmdPtr0Dec[7]; // @[el2_lsu_bus_buffer.scala 412:79] + wire _T_1997 = CmdPtr0Dec[1] | CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 412:92] + wire _T_1999 = _T_1997 | CmdPtr0Dec[5]; // @[el2_lsu_bus_buffer.scala 412:98] + wire _T_2001 = _T_1999 | CmdPtr0Dec[7]; // @[el2_lsu_bus_buffer.scala 412:104] + wire [1:0] _T_2002 = {_T_1987,_T_1994}; // @[Cat.scala 29:58] + wire [7:0] RspPtrDec = {{4'd0}, _T_1978}; // @[el2_lsu_bus_buffer.scala 408:13] + wire _T_2027 = RspPtrDec[4] | RspPtrDec[5]; // @[el2_lsu_bus_buffer.scala 412:42] + wire _T_2029 = _T_2027 | RspPtrDec[6]; // @[el2_lsu_bus_buffer.scala 412:48] + wire _T_2031 = _T_2029 | RspPtrDec[7]; // @[el2_lsu_bus_buffer.scala 412:54] + wire _T_2034 = RspPtrDec[2] | RspPtrDec[3]; // @[el2_lsu_bus_buffer.scala 412:67] + wire _T_2036 = _T_2034 | RspPtrDec[6]; // @[el2_lsu_bus_buffer.scala 412:73] + wire _T_2038 = _T_2036 | RspPtrDec[7]; // @[el2_lsu_bus_buffer.scala 412:79] + wire _T_2041 = RspPtrDec[1] | RspPtrDec[3]; // @[el2_lsu_bus_buffer.scala 412:92] + wire _T_2043 = _T_2041 | RspPtrDec[5]; // @[el2_lsu_bus_buffer.scala 412:98] + wire _T_2045 = _T_2043 | RspPtrDec[7]; // @[el2_lsu_bus_buffer.scala 412:104] + wire [2:0] RspPtr = {_T_2031,_T_2038,_T_2045}; // @[Cat.scala 29:58] + wire _T_3435 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 466:77] + wire _T_3436 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 466:97] + wire _T_3437 = _T_3435 & _T_3436; // @[el2_lsu_bus_buffer.scala 466:95] + wire _T_3438 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 466:117] + wire _T_3439 = _T_3437 & _T_3438; // @[el2_lsu_bus_buffer.scala 466:112] + wire _T_3440 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 466:144] + wire _T_3441 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 466:166] + wire _T_3442 = _T_3440 & _T_3441; // @[el2_lsu_bus_buffer.scala 466:161] + wire _T_3443 = _T_3439 | _T_3442; // @[el2_lsu_bus_buffer.scala 466:132] + wire _T_3444 = _T_766 & _T_3443; // @[el2_lsu_bus_buffer.scala 466:63] + wire _T_3445 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 466:206] + wire _T_3446 = ibuf_drain_vld & _T_3445; // @[el2_lsu_bus_buffer.scala 466:201] + wire _T_3447 = _T_3444 | _T_3446; // @[el2_lsu_bus_buffer.scala 466:183] + wire _T_3457 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:46] + wire _T_3492 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 581:38] + wire _T_3537 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 491:73] + wire _T_3538 = bus_rsp_write & _T_3537; // @[el2_lsu_bus_buffer.scala 491:52] + wire _T_3539 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 492:46] + reg _T_4210; // @[Reg.scala 27:20] + reg _T_4208; // @[Reg.scala 27:20] + reg _T_4206; // @[Reg.scala 27:20] + reg _T_4204; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4210,_T_4208,_T_4206,_T_4204}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3490 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3491 = buf_ldfwd[0] & _T_3490; // @[el2_lsu_bus_buffer.scala 488:27] - wire _T_3492 = _T_3488 | _T_3491; // @[el2_lsu_bus_buffer.scala 487:77] - wire _T_3493 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 489:26] - wire _T_3496 = _T_3493 & _T_1130; // @[el2_lsu_bus_buffer.scala 489:42] - wire _T_3497 = _T_3496 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3541 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3542 = buf_ldfwd[0] & _T_3541; // @[el2_lsu_bus_buffer.scala 493:27] + wire _T_3543 = _T_3539 | _T_3542; // @[el2_lsu_bus_buffer.scala 492:77] + wire _T_3544 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 494:26] + wire _T_3547 = _T_3544 & _T_1130; // @[el2_lsu_bus_buffer.scala 494:42] + wire _T_3548 = _T_3547 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 494:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3498 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3499 = _T_3497 & _T_3498; // @[el2_lsu_bus_buffer.scala 489:74] - wire _T_3500 = _T_3492 | _T_3499; // @[el2_lsu_bus_buffer.scala 488:71] - wire _T_3501 = bus_rsp_read & _T_3500; // @[el2_lsu_bus_buffer.scala 487:25] - wire _T_3502 = _T_3487 | _T_3501; // @[el2_lsu_bus_buffer.scala 486:105] - wire _GEN_42 = _T_3441 & _T_3502; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3407 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3403 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3528 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3538 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 501:21] + wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3549 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3550 = _T_3548 & _T_3549; // @[el2_lsu_bus_buffer.scala 494:74] + wire _T_3551 = _T_3543 | _T_3550; // @[el2_lsu_bus_buffer.scala 493:71] + wire _T_3552 = bus_rsp_read & _T_3551; // @[el2_lsu_bus_buffer.scala 492:25] + wire _T_3553 = _T_3538 | _T_3552; // @[el2_lsu_bus_buffer.scala 491:105] + wire _GEN_42 = _T_3492 & _T_3553; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3458 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3454 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3431 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3579 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3589 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 506:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 501:58] - wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3540 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3541 = _T_3538[0] & _T_3540; // @[el2_lsu_bus_buffer.scala 501:38] - wire _T_3542 = _T_3498 | _T_3541; // @[el2_lsu_bus_buffer.scala 500:95] - wire _T_3543 = bus_rsp_read & _T_3542; // @[el2_lsu_bus_buffer.scala 500:45] - wire _GEN_36 = _T_3528 & _T_3543; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3441 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3407 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3403 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3420 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] - wire _T_3421 = _T_3420 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] - wire _T_3546 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3549 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 506:37] - wire _T_3550 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] - wire _T_3551 = buf_dual_0 & _T_3550; // @[el2_lsu_bus_buffer.scala 506:80] - wire _T_3552 = _T_3549 | _T_3551; // @[el2_lsu_bus_buffer.scala 506:65] - wire _T_3553 = _T_3552 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_3554 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3546 ? _T_3553 : _T_3554; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3528 ? _T_3421 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3441 ? _T_3421 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3407 ? _T_3421 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3403 ? _T_3406 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3380 ? _T_3396 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_1997 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 424:94] - wire _T_1998 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] - wire _T_2001 = _T_2462 & _T_4277; // @[el2_lsu_bus_buffer.scala 425:57] - wire _T_2002 = _T_1998 | _T_2001; // @[el2_lsu_bus_buffer.scala 425:31] - wire _T_2003 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 426:23] - wire _T_2005 = _T_2003 & _T_3384; // @[el2_lsu_bus_buffer.scala 426:41] - wire _T_2007 = _T_2005 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:71] - wire _T_2009 = _T_2007 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2010 = _T_2002 | _T_2009; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2011 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 427:17] - wire _T_2012 = _T_2011 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 427:35] - wire _T_2014 = _T_2012 & _T_1785; // @[el2_lsu_bus_buffer.scala 427:52] - wire _T_2016 = _T_2014 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2017 = _T_2010 | _T_2016; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2018 = _T_1997 & _T_2017; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2020 = _T_2018 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2023 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] - wire _T_2026 = _T_2466 & _T_4283; // @[el2_lsu_bus_buffer.scala 425:57] - wire _T_2027 = _T_2023 | _T_2026; // @[el2_lsu_bus_buffer.scala 425:31] - wire _T_2034 = _T_2007 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2035 = _T_2027 | _T_2034; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2041 = _T_2014 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2042 = _T_2035 | _T_2041; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2043 = _T_1997 & _T_2042; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2045 = _T_2043 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2048 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] - wire _T_2051 = _T_2470 & _T_4289; // @[el2_lsu_bus_buffer.scala 425:57] - wire _T_2052 = _T_2048 | _T_2051; // @[el2_lsu_bus_buffer.scala 425:31] - wire _T_2059 = _T_2007 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2060 = _T_2052 | _T_2059; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2066 = _T_2014 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2067 = _T_2060 | _T_2066; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2068 = _T_1997 & _T_2067; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2070 = _T_2068 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2073 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] - wire _T_2076 = _T_2474 & _T_4295; // @[el2_lsu_bus_buffer.scala 425:57] - wire _T_2077 = _T_2073 | _T_2076; // @[el2_lsu_bus_buffer.scala 425:31] - wire _T_2084 = _T_2007 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2085 = _T_2077 | _T_2084; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2091 = _T_2014 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2092 = _T_2085 | _T_2091; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2093 = _T_1997 & _T_2092; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2095 = _T_2093 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 427:97] - wire [2:0] _T_2097 = {_T_2095,_T_2070,_T_2045}; // @[Cat.scala 29:58] - wire _T_3580 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] - wire _T_3581 = _T_3386 & _T_3580; // @[el2_lsu_bus_buffer.scala 461:112] - wire _T_3583 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] - wire _T_3584 = _T_3389 & _T_3583; // @[el2_lsu_bus_buffer.scala 461:161] - wire _T_3585 = _T_3581 | _T_3584; // @[el2_lsu_bus_buffer.scala 461:132] - wire _T_3586 = _T_766 & _T_3585; // @[el2_lsu_bus_buffer.scala 461:63] - wire _T_3587 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] - wire _T_3588 = ibuf_drain_vld & _T_3587; // @[el2_lsu_bus_buffer.scala 461:201] - wire _T_3589 = _T_3586 | _T_3588; // @[el2_lsu_bus_buffer.scala 461:183] - wire _T_3634 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3679 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3680 = bus_rsp_write & _T_3679; // @[el2_lsu_bus_buffer.scala 486:52] - wire _T_3681 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 487:46] - wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3683 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3684 = buf_ldfwd[1] & _T_3683; // @[el2_lsu_bus_buffer.scala 488:27] - wire _T_3685 = _T_3681 | _T_3684; // @[el2_lsu_bus_buffer.scala 487:77] - wire _T_3686 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 489:26] - wire _T_3688 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 489:44] - wire _T_3689 = _T_3686 & _T_3688; // @[el2_lsu_bus_buffer.scala 489:42] - wire _T_3690 = _T_3689 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_394 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3591 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3592 = _T_3589[0] & _T_3591; // @[el2_lsu_bus_buffer.scala 506:38] + wire _T_3593 = _T_3549 | _T_3592; // @[el2_lsu_bus_buffer.scala 505:95] + wire _T_3594 = bus_rsp_read & _T_3593; // @[el2_lsu_bus_buffer.scala 505:45] + wire _GEN_36 = _T_3579 & _T_3594; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3492 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3458 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3454 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3431 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3471 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 479:49] + wire _T_3472 = _T_3471 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 479:70] + wire _T_3597 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3600 = RspPtr == 3'h0; // @[el2_lsu_bus_buffer.scala 511:37] + wire _T_3601 = _GEN_392 == RspPtr; // @[el2_lsu_bus_buffer.scala 511:98] + wire _T_3602 = buf_dual_0 & _T_3601; // @[el2_lsu_bus_buffer.scala 511:80] + wire _T_3603 = _T_3600 | _T_3602; // @[el2_lsu_bus_buffer.scala 511:65] + wire _T_3604 = _T_3603 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:112] + wire _T_3605 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3597 ? _T_3604 : _T_3605; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3579 ? _T_3472 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3492 ? _T_3472 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3458 ? _T_3472 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3454 ? _T_3457 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3431 ? _T_3447 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2048 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2049 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 430:20] + wire _T_2052 = _T_2513 & _T_4328; // @[el2_lsu_bus_buffer.scala 430:57] + wire _T_2053 = _T_2049 | _T_2052; // @[el2_lsu_bus_buffer.scala 430:31] + wire _T_2054 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 431:23] + wire _T_2056 = _T_2054 & _T_3435; // @[el2_lsu_bus_buffer.scala 431:41] + wire _T_2058 = _T_2056 & _T_1782; // @[el2_lsu_bus_buffer.scala 431:71] + wire _T_2060 = _T_2058 & _T_1780; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2061 = _T_2053 | _T_2060; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2062 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 432:17] + wire _T_2063 = _T_2062 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 432:35] + wire _T_2065 = _T_2063 & _T_1785; // @[el2_lsu_bus_buffer.scala 432:52] + wire _T_2067 = _T_2065 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2068 = _T_2061 | _T_2067; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2069 = _T_2048 & _T_2068; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2071 = _T_2069 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2074 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 430:20] + wire _T_2077 = _T_2517 & _T_4334; // @[el2_lsu_bus_buffer.scala 430:57] + wire _T_2078 = _T_2074 | _T_2077; // @[el2_lsu_bus_buffer.scala 430:31] + wire _T_2085 = _T_2058 & _T_1791; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2086 = _T_2078 | _T_2085; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2092 = _T_2065 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2093 = _T_2086 | _T_2092; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2094 = _T_2048 & _T_2093; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2096 = _T_2094 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2099 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 430:20] + wire _T_2102 = _T_2521 & _T_4340; // @[el2_lsu_bus_buffer.scala 430:57] + wire _T_2103 = _T_2099 | _T_2102; // @[el2_lsu_bus_buffer.scala 430:31] + wire _T_2110 = _T_2058 & _T_1802; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2111 = _T_2103 | _T_2110; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2117 = _T_2065 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2118 = _T_2111 | _T_2117; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2119 = _T_2048 & _T_2118; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2121 = _T_2119 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2124 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 430:20] + wire _T_2127 = _T_2525 & _T_4346; // @[el2_lsu_bus_buffer.scala 430:57] + wire _T_2128 = _T_2124 | _T_2127; // @[el2_lsu_bus_buffer.scala 430:31] + wire _T_2135 = _T_2058 & _T_1813; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2136 = _T_2128 | _T_2135; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2142 = _T_2065 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2143 = _T_2136 | _T_2142; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2144 = _T_2048 & _T_2143; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2146 = _T_2144 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 432:97] + wire [2:0] _T_2148 = {_T_2146,_T_2121,_T_2096}; // @[Cat.scala 29:58] + wire _T_3631 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 466:117] + wire _T_3632 = _T_3437 & _T_3631; // @[el2_lsu_bus_buffer.scala 466:112] + wire _T_3634 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 466:166] + wire _T_3635 = _T_3440 & _T_3634; // @[el2_lsu_bus_buffer.scala 466:161] + wire _T_3636 = _T_3632 | _T_3635; // @[el2_lsu_bus_buffer.scala 466:132] + wire _T_3637 = _T_766 & _T_3636; // @[el2_lsu_bus_buffer.scala 466:63] + wire _T_3638 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 466:206] + wire _T_3639 = ibuf_drain_vld & _T_3638; // @[el2_lsu_bus_buffer.scala 466:201] + wire _T_3640 = _T_3637 | _T_3639; // @[el2_lsu_bus_buffer.scala 466:183] + wire _T_3685 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3730 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 491:73] + wire _T_3731 = bus_rsp_write & _T_3730; // @[el2_lsu_bus_buffer.scala 491:52] + wire _T_3732 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 492:46] + wire [2:0] _GEN_396 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3734 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3735 = buf_ldfwd[1] & _T_3734; // @[el2_lsu_bus_buffer.scala 493:27] + wire _T_3736 = _T_3732 | _T_3735; // @[el2_lsu_bus_buffer.scala 492:77] + wire _T_3737 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 494:26] + wire _T_3739 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 494:44] + wire _T_3740 = _T_3737 & _T_3739; // @[el2_lsu_bus_buffer.scala 494:42] + wire _T_3741 = _T_3740 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 494:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3691 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3692 = _T_3690 & _T_3691; // @[el2_lsu_bus_buffer.scala 489:74] - wire _T_3693 = _T_3685 | _T_3692; // @[el2_lsu_bus_buffer.scala 488:71] - wire _T_3694 = bus_rsp_read & _T_3693; // @[el2_lsu_bus_buffer.scala 487:25] - wire _T_3695 = _T_3680 | _T_3694; // @[el2_lsu_bus_buffer.scala 486:105] - wire _GEN_118 = _T_3634 & _T_3695; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3600 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3596 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3721 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3731 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 501:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 501:58] - wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3733 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3734 = _T_3731[0] & _T_3733; // @[el2_lsu_bus_buffer.scala 501:38] - wire _T_3735 = _T_3691 | _T_3734; // @[el2_lsu_bus_buffer.scala 500:95] - wire _T_3736 = bus_rsp_read & _T_3735; // @[el2_lsu_bus_buffer.scala 500:45] - wire _GEN_112 = _T_3721 & _T_3736; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3634 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3600 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3596 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3613 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] - wire _T_3614 = _T_3613 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] - wire _T_3739 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3742 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 506:37] - wire _T_3743 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] - wire _T_3744 = buf_dual_1 & _T_3743; // @[el2_lsu_bus_buffer.scala 506:80] - wire _T_3745 = _T_3742 | _T_3744; // @[el2_lsu_bus_buffer.scala 506:65] - wire _T_3746 = _T_3745 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_3747 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3739 ? _T_3746 : _T_3747; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3721 ? _T_3614 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3634 ? _T_3614 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3600 ? _T_3614 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3596 ? _T_3406 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3573 ? _T_3589 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2099 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 424:94] - wire _T_2109 = _T_2005 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:71] - wire _T_2111 = _T_2109 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2112 = _T_2002 | _T_2111; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2116 = _T_2012 & _T_1796; // @[el2_lsu_bus_buffer.scala 427:52] - wire _T_2118 = _T_2116 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2119 = _T_2112 | _T_2118; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2120 = _T_2099 & _T_2119; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2122 = _T_2120 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2136 = _T_2109 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2137 = _T_2027 | _T_2136; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2143 = _T_2116 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2144 = _T_2137 | _T_2143; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2145 = _T_2099 & _T_2144; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2147 = _T_2145 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2161 = _T_2109 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2162 = _T_2052 | _T_2161; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2168 = _T_2116 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2169 = _T_2162 | _T_2168; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2170 = _T_2099 & _T_2169; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2172 = _T_2170 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2186 = _T_2109 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2187 = _T_2077 | _T_2186; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2193 = _T_2116 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2194 = _T_2187 | _T_2193; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2195 = _T_2099 & _T_2194; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2197 = _T_2195 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 427:97] - wire [2:0] _T_2199 = {_T_2197,_T_2172,_T_2147}; // @[Cat.scala 29:58] - wire _T_3773 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] - wire _T_3774 = _T_3386 & _T_3773; // @[el2_lsu_bus_buffer.scala 461:112] - wire _T_3776 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] - wire _T_3777 = _T_3389 & _T_3776; // @[el2_lsu_bus_buffer.scala 461:161] - wire _T_3778 = _T_3774 | _T_3777; // @[el2_lsu_bus_buffer.scala 461:132] - wire _T_3779 = _T_766 & _T_3778; // @[el2_lsu_bus_buffer.scala 461:63] - wire _T_3780 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] - wire _T_3781 = ibuf_drain_vld & _T_3780; // @[el2_lsu_bus_buffer.scala 461:201] - wire _T_3782 = _T_3779 | _T_3781; // @[el2_lsu_bus_buffer.scala 461:183] - wire _T_3827 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3872 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3873 = bus_rsp_write & _T_3872; // @[el2_lsu_bus_buffer.scala 486:52] - wire _T_3874 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 487:46] - wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3876 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_3877 = buf_ldfwd[2] & _T_3876; // @[el2_lsu_bus_buffer.scala 488:27] - wire _T_3878 = _T_3874 | _T_3877; // @[el2_lsu_bus_buffer.scala 487:77] - wire _T_3879 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 489:26] - wire _T_3881 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 489:44] - wire _T_3882 = _T_3879 & _T_3881; // @[el2_lsu_bus_buffer.scala 489:42] - wire _T_3883 = _T_3882 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_397 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3742 = io_lsu_axi_rid == _GEN_397; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3743 = _T_3741 & _T_3742; // @[el2_lsu_bus_buffer.scala 494:74] + wire _T_3744 = _T_3736 | _T_3743; // @[el2_lsu_bus_buffer.scala 493:71] + wire _T_3745 = bus_rsp_read & _T_3744; // @[el2_lsu_bus_buffer.scala 492:25] + wire _T_3746 = _T_3731 | _T_3745; // @[el2_lsu_bus_buffer.scala 491:105] + wire _GEN_118 = _T_3685 & _T_3746; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3651 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3647 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3624 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3772 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3782 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 506:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_399 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3784 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3785 = _T_3782[0] & _T_3784; // @[el2_lsu_bus_buffer.scala 506:38] + wire _T_3786 = _T_3742 | _T_3785; // @[el2_lsu_bus_buffer.scala 505:95] + wire _T_3787 = bus_rsp_read & _T_3786; // @[el2_lsu_bus_buffer.scala 505:45] + wire _GEN_112 = _T_3772 & _T_3787; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3685 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3651 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3647 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3624 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3664 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 479:49] + wire _T_3665 = _T_3664 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 479:70] + wire _T_3790 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3793 = RspPtr == 3'h1; // @[el2_lsu_bus_buffer.scala 511:37] + wire _T_3794 = _GEN_397 == RspPtr; // @[el2_lsu_bus_buffer.scala 511:98] + wire _T_3795 = buf_dual_1 & _T_3794; // @[el2_lsu_bus_buffer.scala 511:80] + wire _T_3796 = _T_3793 | _T_3795; // @[el2_lsu_bus_buffer.scala 511:65] + wire _T_3797 = _T_3796 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:112] + wire _T_3798 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3790 ? _T_3797 : _T_3798; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3772 ? _T_3665 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3685 ? _T_3665 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3651 ? _T_3665 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3647 ? _T_3457 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3624 ? _T_3640 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2150 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2160 = _T_2056 & _T_1793; // @[el2_lsu_bus_buffer.scala 431:71] + wire _T_2162 = _T_2160 & _T_1780; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2163 = _T_2053 | _T_2162; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2167 = _T_2063 & _T_1796; // @[el2_lsu_bus_buffer.scala 432:52] + wire _T_2169 = _T_2167 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2170 = _T_2163 | _T_2169; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2171 = _T_2150 & _T_2170; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2173 = _T_2171 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2187 = _T_2160 & _T_1791; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2188 = _T_2078 | _T_2187; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2194 = _T_2167 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2195 = _T_2188 | _T_2194; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2196 = _T_2150 & _T_2195; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2198 = _T_2196 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2212 = _T_2160 & _T_1802; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2213 = _T_2103 | _T_2212; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2219 = _T_2167 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2220 = _T_2213 | _T_2219; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2221 = _T_2150 & _T_2220; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2223 = _T_2221 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2237 = _T_2160 & _T_1813; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2238 = _T_2128 | _T_2237; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2244 = _T_2167 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2245 = _T_2238 | _T_2244; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2246 = _T_2150 & _T_2245; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2248 = _T_2246 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 432:97] + wire [2:0] _T_2250 = {_T_2248,_T_2223,_T_2198}; // @[Cat.scala 29:58] + wire _T_3824 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 466:117] + wire _T_3825 = _T_3437 & _T_3824; // @[el2_lsu_bus_buffer.scala 466:112] + wire _T_3827 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 466:166] + wire _T_3828 = _T_3440 & _T_3827; // @[el2_lsu_bus_buffer.scala 466:161] + wire _T_3829 = _T_3825 | _T_3828; // @[el2_lsu_bus_buffer.scala 466:132] + wire _T_3830 = _T_766 & _T_3829; // @[el2_lsu_bus_buffer.scala 466:63] + wire _T_3831 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 466:206] + wire _T_3832 = ibuf_drain_vld & _T_3831; // @[el2_lsu_bus_buffer.scala 466:201] + wire _T_3833 = _T_3830 | _T_3832; // @[el2_lsu_bus_buffer.scala 466:183] + wire _T_3878 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3923 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 491:73] + wire _T_3924 = bus_rsp_write & _T_3923; // @[el2_lsu_bus_buffer.scala 491:52] + wire _T_3925 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 492:46] + wire [2:0] _GEN_401 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3927 = io_lsu_axi_rid == _GEN_401; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_3928 = buf_ldfwd[2] & _T_3927; // @[el2_lsu_bus_buffer.scala 493:27] + wire _T_3929 = _T_3925 | _T_3928; // @[el2_lsu_bus_buffer.scala 492:77] + wire _T_3930 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 494:26] + wire _T_3932 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 494:44] + wire _T_3933 = _T_3930 & _T_3932; // @[el2_lsu_bus_buffer.scala 494:42] + wire _T_3934 = _T_3933 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 494:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3884 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_3885 = _T_3883 & _T_3884; // @[el2_lsu_bus_buffer.scala 489:74] - wire _T_3886 = _T_3878 | _T_3885; // @[el2_lsu_bus_buffer.scala 488:71] - wire _T_3887 = bus_rsp_read & _T_3886; // @[el2_lsu_bus_buffer.scala 487:25] - wire _T_3888 = _T_3873 | _T_3887; // @[el2_lsu_bus_buffer.scala 486:105] - wire _GEN_194 = _T_3827 & _T_3888; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3793 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3789 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_3914 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3924 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 501:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 501:58] - wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3926 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_3927 = _T_3924[0] & _T_3926; // @[el2_lsu_bus_buffer.scala 501:38] - wire _T_3928 = _T_3884 | _T_3927; // @[el2_lsu_bus_buffer.scala 500:95] - wire _T_3929 = bus_rsp_read & _T_3928; // @[el2_lsu_bus_buffer.scala 500:45] - wire _GEN_188 = _T_3914 & _T_3929; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3827 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3793 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3789 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3806 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] - wire _T_3807 = _T_3806 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] - wire _T_3932 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3935 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 506:37] - wire _T_3936 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] - wire _T_3937 = buf_dual_2 & _T_3936; // @[el2_lsu_bus_buffer.scala 506:80] - wire _T_3938 = _T_3935 | _T_3937; // @[el2_lsu_bus_buffer.scala 506:65] - wire _T_3939 = _T_3938 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_3940 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_3932 ? _T_3939 : _T_3940; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_3914 ? _T_3807 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3827 ? _T_3807 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3793 ? _T_3807 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3789 ? _T_3406 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3766 ? _T_3782 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2201 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 424:94] - wire _T_2211 = _T_2005 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:71] - wire _T_2213 = _T_2211 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2214 = _T_2002 | _T_2213; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2218 = _T_2012 & _T_1807; // @[el2_lsu_bus_buffer.scala 427:52] - wire _T_2220 = _T_2218 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2221 = _T_2214 | _T_2220; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2222 = _T_2201 & _T_2221; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2224 = _T_2222 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2238 = _T_2211 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2239 = _T_2027 | _T_2238; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2245 = _T_2218 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2246 = _T_2239 | _T_2245; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2247 = _T_2201 & _T_2246; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2249 = _T_2247 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2263 = _T_2211 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2264 = _T_2052 | _T_2263; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2270 = _T_2218 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2271 = _T_2264 | _T_2270; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2272 = _T_2201 & _T_2271; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2274 = _T_2272 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2288 = _T_2211 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2289 = _T_2077 | _T_2288; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2295 = _T_2218 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2296 = _T_2289 | _T_2295; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2297 = _T_2201 & _T_2296; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2299 = _T_2297 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 427:97] - wire [2:0] _T_2301 = {_T_2299,_T_2274,_T_2249}; // @[Cat.scala 29:58] - wire _T_3966 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] - wire _T_3967 = _T_3386 & _T_3966; // @[el2_lsu_bus_buffer.scala 461:112] - wire _T_3969 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] - wire _T_3970 = _T_3389 & _T_3969; // @[el2_lsu_bus_buffer.scala 461:161] - wire _T_3971 = _T_3967 | _T_3970; // @[el2_lsu_bus_buffer.scala 461:132] - wire _T_3972 = _T_766 & _T_3971; // @[el2_lsu_bus_buffer.scala 461:63] - wire _T_3973 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] - wire _T_3974 = ibuf_drain_vld & _T_3973; // @[el2_lsu_bus_buffer.scala 461:201] - wire _T_3975 = _T_3972 | _T_3974; // @[el2_lsu_bus_buffer.scala 461:183] - wire _T_4020 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4065 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_4066 = bus_rsp_write & _T_4065; // @[el2_lsu_bus_buffer.scala 486:52] - wire _T_4067 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 487:46] - wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_4069 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 488:47] - wire _T_4070 = buf_ldfwd[3] & _T_4069; // @[el2_lsu_bus_buffer.scala 488:27] - wire _T_4071 = _T_4067 | _T_4070; // @[el2_lsu_bus_buffer.scala 487:77] - wire _T_4072 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 489:26] - wire _T_4074 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 489:44] - wire _T_4075 = _T_4072 & _T_4074; // @[el2_lsu_bus_buffer.scala 489:42] - wire _T_4076 = _T_4075 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_402 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3935 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_3936 = _T_3934 & _T_3935; // @[el2_lsu_bus_buffer.scala 494:74] + wire _T_3937 = _T_3929 | _T_3936; // @[el2_lsu_bus_buffer.scala 493:71] + wire _T_3938 = bus_rsp_read & _T_3937; // @[el2_lsu_bus_buffer.scala 492:25] + wire _T_3939 = _T_3924 | _T_3938; // @[el2_lsu_bus_buffer.scala 491:105] + wire _GEN_194 = _T_3878 & _T_3939; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3844 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3840 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3817 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_3965 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_3975 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 506:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_404 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3977 = io_lsu_axi_rid == _GEN_404; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_3978 = _T_3975[0] & _T_3977; // @[el2_lsu_bus_buffer.scala 506:38] + wire _T_3979 = _T_3935 | _T_3978; // @[el2_lsu_bus_buffer.scala 505:95] + wire _T_3980 = bus_rsp_read & _T_3979; // @[el2_lsu_bus_buffer.scala 505:45] + wire _GEN_188 = _T_3965 & _T_3980; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3878 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3844 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3840 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3817 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3857 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 479:49] + wire _T_3858 = _T_3857 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 479:70] + wire _T_3983 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3986 = RspPtr == 3'h2; // @[el2_lsu_bus_buffer.scala 511:37] + wire _T_3987 = _GEN_402 == RspPtr; // @[el2_lsu_bus_buffer.scala 511:98] + wire _T_3988 = buf_dual_2 & _T_3987; // @[el2_lsu_bus_buffer.scala 511:80] + wire _T_3989 = _T_3986 | _T_3988; // @[el2_lsu_bus_buffer.scala 511:65] + wire _T_3990 = _T_3989 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:112] + wire _T_3991 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_3983 ? _T_3990 : _T_3991; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_3965 ? _T_3858 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3878 ? _T_3858 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3844 ? _T_3858 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3840 ? _T_3457 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3817 ? _T_3833 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2252 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2262 = _T_2056 & _T_1804; // @[el2_lsu_bus_buffer.scala 431:71] + wire _T_2264 = _T_2262 & _T_1780; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2265 = _T_2053 | _T_2264; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2269 = _T_2063 & _T_1807; // @[el2_lsu_bus_buffer.scala 432:52] + wire _T_2271 = _T_2269 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2272 = _T_2265 | _T_2271; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2273 = _T_2252 & _T_2272; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2275 = _T_2273 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2289 = _T_2262 & _T_1791; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2290 = _T_2078 | _T_2289; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2296 = _T_2269 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2297 = _T_2290 | _T_2296; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2298 = _T_2252 & _T_2297; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2300 = _T_2298 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2314 = _T_2262 & _T_1802; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2315 = _T_2103 | _T_2314; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2321 = _T_2269 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2322 = _T_2315 | _T_2321; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2323 = _T_2252 & _T_2322; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2325 = _T_2323 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2339 = _T_2262 & _T_1813; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2340 = _T_2128 | _T_2339; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2346 = _T_2269 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2347 = _T_2340 | _T_2346; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2348 = _T_2252 & _T_2347; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2350 = _T_2348 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 432:97] + wire [2:0] _T_2352 = {_T_2350,_T_2325,_T_2300}; // @[Cat.scala 29:58] + wire _T_4017 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 466:117] + wire _T_4018 = _T_3437 & _T_4017; // @[el2_lsu_bus_buffer.scala 466:112] + wire _T_4020 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 466:166] + wire _T_4021 = _T_3440 & _T_4020; // @[el2_lsu_bus_buffer.scala 466:161] + wire _T_4022 = _T_4018 | _T_4021; // @[el2_lsu_bus_buffer.scala 466:132] + wire _T_4023 = _T_766 & _T_4022; // @[el2_lsu_bus_buffer.scala 466:63] + wire _T_4024 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 466:206] + wire _T_4025 = ibuf_drain_vld & _T_4024; // @[el2_lsu_bus_buffer.scala 466:201] + wire _T_4026 = _T_4023 | _T_4025; // @[el2_lsu_bus_buffer.scala 466:183] + wire _T_4071 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4116 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 491:73] + wire _T_4117 = bus_rsp_write & _T_4116; // @[el2_lsu_bus_buffer.scala 491:52] + wire _T_4118 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 492:46] + wire [2:0] _GEN_406 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_4120 = io_lsu_axi_rid == _GEN_406; // @[el2_lsu_bus_buffer.scala 493:47] + wire _T_4121 = buf_ldfwd[3] & _T_4120; // @[el2_lsu_bus_buffer.scala 493:27] + wire _T_4122 = _T_4118 | _T_4121; // @[el2_lsu_bus_buffer.scala 492:77] + wire _T_4123 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 494:26] + wire _T_4125 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 494:44] + wire _T_4126 = _T_4123 & _T_4125; // @[el2_lsu_bus_buffer.scala 494:42] + wire _T_4127 = _T_4126 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 494:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_4077 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 489:94] - wire _T_4078 = _T_4076 & _T_4077; // @[el2_lsu_bus_buffer.scala 489:74] - wire _T_4079 = _T_4071 | _T_4078; // @[el2_lsu_bus_buffer.scala 488:71] - wire _T_4080 = bus_rsp_read & _T_4079; // @[el2_lsu_bus_buffer.scala 487:25] - wire _T_4081 = _T_4066 | _T_4080; // @[el2_lsu_bus_buffer.scala 486:105] - wire _GEN_270 = _T_4020 & _T_4081; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_3986 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_3982 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4107 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4117 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 501:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 501:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 501:58] - wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_4119 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 501:58] - wire _T_4120 = _T_4117[0] & _T_4119; // @[el2_lsu_bus_buffer.scala 501:38] - wire _T_4121 = _T_4077 | _T_4120; // @[el2_lsu_bus_buffer.scala 500:95] - wire _T_4122 = bus_rsp_read & _T_4121; // @[el2_lsu_bus_buffer.scala 500:45] - wire _GEN_264 = _T_4107 & _T_4122; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4020 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_3986 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_3982 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_3999 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] - wire _T_4000 = _T_3999 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] - wire _T_4125 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4128 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 506:37] - wire _T_4129 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] - wire _T_4130 = buf_dual_3 & _T_4129; // @[el2_lsu_bus_buffer.scala 506:80] - wire _T_4131 = _T_4128 | _T_4130; // @[el2_lsu_bus_buffer.scala 506:65] - wire _T_4132 = _T_4131 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_4133 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4125 ? _T_4132 : _T_4133; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4107 ? _T_4000 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4020 ? _T_4000 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_3986 ? _T_4000 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_3982 ? _T_3406 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_3959 ? _T_3975 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2303 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 424:94] - wire _T_2313 = _T_2005 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:71] - wire _T_2315 = _T_2313 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2316 = _T_2002 | _T_2315; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2320 = _T_2012 & _T_1818; // @[el2_lsu_bus_buffer.scala 427:52] - wire _T_2322 = _T_2320 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2323 = _T_2316 | _T_2322; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2324 = _T_2303 & _T_2323; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2326 = _T_2324 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2340 = _T_2313 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2341 = _T_2027 | _T_2340; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2347 = _T_2320 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2348 = _T_2341 | _T_2347; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2349 = _T_2303 & _T_2348; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2351 = _T_2349 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2365 = _T_2313 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2366 = _T_2052 | _T_2365; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2372 = _T_2320 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2373 = _T_2366 | _T_2372; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2374 = _T_2303 & _T_2373; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2376 = _T_2374 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 427:97] - wire _T_2390 = _T_2313 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] - wire _T_2391 = _T_2077 | _T_2390; // @[el2_lsu_bus_buffer.scala 425:86] - wire _T_2397 = _T_2320 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] - wire _T_2398 = _T_2391 | _T_2397; // @[el2_lsu_bus_buffer.scala 426:114] - wire _T_2399 = _T_2303 & _T_2398; // @[el2_lsu_bus_buffer.scala 424:113] - wire _T_2401 = _T_2399 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 427:97] - wire [2:0] _T_2403 = {_T_2401,_T_2376,_T_2351}; // @[Cat.scala 29:58] - wire _T_2651 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] - wire _T_2652 = _T_1779 | _T_2651; // @[el2_lsu_bus_buffer.scala 435:34] - wire _T_2653 = ~_T_2652; // @[el2_lsu_bus_buffer.scala 435:8] - wire _T_2661 = _T_2653 | _T_2009; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2668 = _T_2661 | _T_2016; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2669 = _T_1997 & _T_2668; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2673 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] - wire _T_2674 = _T_1790 | _T_2673; // @[el2_lsu_bus_buffer.scala 435:34] - wire _T_2675 = ~_T_2674; // @[el2_lsu_bus_buffer.scala 435:8] - wire _T_2683 = _T_2675 | _T_2034; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2690 = _T_2683 | _T_2041; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2691 = _T_1997 & _T_2690; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2695 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] - wire _T_2696 = _T_1801 | _T_2695; // @[el2_lsu_bus_buffer.scala 435:34] - wire _T_2697 = ~_T_2696; // @[el2_lsu_bus_buffer.scala 435:8] - wire _T_2705 = _T_2697 | _T_2059; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2712 = _T_2705 | _T_2066; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2713 = _T_1997 & _T_2712; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2717 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] - wire _T_2718 = _T_1812 | _T_2717; // @[el2_lsu_bus_buffer.scala 435:34] - wire _T_2719 = ~_T_2718; // @[el2_lsu_bus_buffer.scala 435:8] - wire _T_2727 = _T_2719 | _T_2084; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2734 = _T_2727 | _T_2091; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2735 = _T_1997 & _T_2734; // @[el2_lsu_bus_buffer.scala 434:114] - wire [3:0] buf_rspage_set_0 = {_T_2735,_T_2713,_T_2691,_T_2669}; // @[Cat.scala 29:58] - wire _T_2752 = _T_2653 | _T_2111; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2759 = _T_2752 | _T_2118; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2760 = _T_2099 & _T_2759; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2774 = _T_2675 | _T_2136; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2781 = _T_2774 | _T_2143; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2782 = _T_2099 & _T_2781; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2796 = _T_2697 | _T_2161; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2803 = _T_2796 | _T_2168; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2804 = _T_2099 & _T_2803; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2818 = _T_2719 | _T_2186; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2825 = _T_2818 | _T_2193; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2826 = _T_2099 & _T_2825; // @[el2_lsu_bus_buffer.scala 434:114] - wire [3:0] buf_rspage_set_1 = {_T_2826,_T_2804,_T_2782,_T_2760}; // @[Cat.scala 29:58] - wire _T_2843 = _T_2653 | _T_2213; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2850 = _T_2843 | _T_2220; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2851 = _T_2201 & _T_2850; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2865 = _T_2675 | _T_2238; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2872 = _T_2865 | _T_2245; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2873 = _T_2201 & _T_2872; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2887 = _T_2697 | _T_2263; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2894 = _T_2887 | _T_2270; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2895 = _T_2201 & _T_2894; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2909 = _T_2719 | _T_2288; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2916 = _T_2909 | _T_2295; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2917 = _T_2201 & _T_2916; // @[el2_lsu_bus_buffer.scala 434:114] - wire [3:0] buf_rspage_set_2 = {_T_2917,_T_2895,_T_2873,_T_2851}; // @[Cat.scala 29:58] - wire _T_2934 = _T_2653 | _T_2315; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2941 = _T_2934 | _T_2322; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2942 = _T_2303 & _T_2941; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2956 = _T_2675 | _T_2340; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2963 = _T_2956 | _T_2347; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2964 = _T_2303 & _T_2963; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2978 = _T_2697 | _T_2365; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_2985 = _T_2978 | _T_2372; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_2986 = _T_2303 & _T_2985; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_3000 = _T_2719 | _T_2390; // @[el2_lsu_bus_buffer.scala 435:61] - wire _T_3007 = _T_3000 | _T_2397; // @[el2_lsu_bus_buffer.scala 436:112] - wire _T_3008 = _T_2303 & _T_3007; // @[el2_lsu_bus_buffer.scala 434:114] - wire [3:0] buf_rspage_set_3 = {_T_3008,_T_2986,_T_2964,_T_2942}; // @[Cat.scala 29:58] - wire _T_3093 = _T_2717 | _T_1812; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3094 = ~_T_3093; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_3095 = buf_rspageQ_0[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3087 = _T_2695 | _T_1801; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3088 = ~_T_3087; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_3089 = buf_rspageQ_0[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3081 = _T_2673 | _T_1790; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3082 = ~_T_3081; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_3083 = buf_rspageQ_0[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3075 = _T_2651 | _T_1779; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3076 = ~_T_3075; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_3077 = buf_rspageQ_0[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] - wire [3:0] buf_rspage_0 = {_T_3095,_T_3089,_T_3083,_T_3077}; // @[Cat.scala 29:58] - wire _T_3014 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3017 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3020 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3023 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 438:90] - wire [2:0] _T_3025 = {_T_3023,_T_3020,_T_3017}; // @[Cat.scala 29:58] - wire _T_3122 = buf_rspageQ_1[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3116 = buf_rspageQ_1[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3110 = buf_rspageQ_1[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3104 = buf_rspageQ_1[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] - wire [3:0] buf_rspage_1 = {_T_3122,_T_3116,_T_3110,_T_3104}; // @[Cat.scala 29:58] - wire _T_3029 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3032 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3035 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3038 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 438:90] - wire [2:0] _T_3040 = {_T_3038,_T_3035,_T_3032}; // @[Cat.scala 29:58] - wire _T_3149 = buf_rspageQ_2[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3143 = buf_rspageQ_2[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3137 = buf_rspageQ_2[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3131 = buf_rspageQ_2[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] - wire [3:0] buf_rspage_2 = {_T_3149,_T_3143,_T_3137,_T_3131}; // @[Cat.scala 29:58] - wire _T_3044 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3047 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3050 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3053 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 438:90] - wire [2:0] _T_3055 = {_T_3053,_T_3050,_T_3047}; // @[Cat.scala 29:58] - wire _T_3176 = buf_rspageQ_3[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3170 = buf_rspageQ_3[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3164 = buf_rspageQ_3[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] - wire _T_3158 = buf_rspageQ_3[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] - wire [3:0] buf_rspage_3 = {_T_3176,_T_3170,_T_3164,_T_3158}; // @[Cat.scala 29:58] - wire _T_3059 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3062 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3065 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 438:90] - wire _T_3068 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 438:90] - wire [2:0] _T_3070 = {_T_3068,_T_3065,_T_3062}; // @[Cat.scala 29:58] - wire _T_3181 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 444:65] - wire _T_3183 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 444:65] - wire _T_3185 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 444:65] - wire _T_3187 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 444:65] - wire [3:0] ibuf_drainvec_vld = {_T_3187,_T_3185,_T_3183,_T_3181}; // @[Cat.scala 29:58] - wire _T_3195 = _T_3389 & _T_1785; // @[el2_lsu_bus_buffer.scala 445:123] - wire [3:0] _T_3198 = _T_3195 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] - wire [3:0] _T_3199 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3198; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_3204 = _T_3389 & _T_1796; // @[el2_lsu_bus_buffer.scala 445:123] - wire [3:0] _T_3207 = _T_3204 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] - wire [3:0] _T_3208 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3207; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_3213 = _T_3389 & _T_1807; // @[el2_lsu_bus_buffer.scala 445:123] - wire [3:0] _T_3216 = _T_3213 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] - wire [3:0] _T_3217 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3216; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_3222 = _T_3389 & _T_1818; // @[el2_lsu_bus_buffer.scala 445:123] - wire [3:0] _T_3225 = _T_3222 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] - wire [3:0] _T_3226 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3225; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_3252 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] - wire _T_3254 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] - wire _T_3256 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] - wire _T_3258 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] - wire [3:0] buf_dual_in = {_T_3258,_T_3256,_T_3254,_T_3252}; // @[Cat.scala 29:58] - wire _T_3263 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] - wire _T_3265 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] - wire _T_3267 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] - wire _T_3269 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] - wire [3:0] buf_samedw_in = {_T_3269,_T_3267,_T_3265,_T_3263}; // @[Cat.scala 29:58] - wire _T_3274 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 449:86] - wire _T_3275 = ibuf_drainvec_vld[0] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] - wire _T_3278 = ibuf_drainvec_vld[1] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] - wire _T_3281 = ibuf_drainvec_vld[2] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] - wire _T_3284 = ibuf_drainvec_vld[3] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] - wire [3:0] buf_nomerge_in = {_T_3284,_T_3281,_T_3278,_T_3275}; // @[Cat.scala 29:58] - wire _T_3292 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3195; // @[el2_lsu_bus_buffer.scala 450:49] - wire _T_3297 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3204; // @[el2_lsu_bus_buffer.scala 450:49] - wire _T_3302 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3213; // @[el2_lsu_bus_buffer.scala 450:49] - wire _T_3307 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3222; // @[el2_lsu_bus_buffer.scala 450:49] - wire [3:0] buf_dualhi_in = {_T_3307,_T_3302,_T_3297,_T_3292}; // @[Cat.scala 29:58] - wire _T_3336 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] - wire _T_3338 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] - wire _T_3340 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] - wire _T_3342 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] - wire [3:0] buf_sideeffect_in = {_T_3342,_T_3340,_T_3338,_T_3336}; // @[Cat.scala 29:58] - wire _T_3347 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3349 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3351 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3353 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] - wire [3:0] buf_unsign_in = {_T_3353,_T_3351,_T_3349,_T_3347}; // @[Cat.scala 29:58] - wire _T_3370 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] - wire _T_3372 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] - wire _T_3374 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] - wire _T_3376 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] - wire [3:0] buf_write_in = {_T_3376,_T_3374,_T_3372,_T_3370}; // @[Cat.scala 29:58] - wire [31:0] _T_3402 = _T_3395 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] - wire _T_3409 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 471:89] - wire _T_3411 = _T_3409 & _T_1277; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3424 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 476:44] - wire _T_3425 = _T_3424 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] - wire _T_3427 = _T_3425 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] - wire _T_3430 = _T_3420 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] - wire _T_3431 = _T_3430 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] - wire _T_4728 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 580:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4728; // @[el2_lsu_bus_buffer.scala 580:38] - wire _T_3434 = _T_3430 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] - wire [31:0] _T_3439 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] - wire _T_3509 = bus_rsp_read_error & _T_3488; // @[el2_lsu_bus_buffer.scala 493:91] - wire _T_3511 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3513 = _T_3511 & _T_3490; // @[el2_lsu_bus_buffer.scala 494:46] - wire _T_3514 = _T_3509 | _T_3513; // @[el2_lsu_bus_buffer.scala 493:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4728; // @[el2_lsu_bus_buffer.scala 579:40] - wire _T_3517 = bus_rsp_write_error & _T_3486; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_3518 = _T_3514 | _T_3517; // @[el2_lsu_bus_buffer.scala 494:88] - wire _T_3519 = _T_3420 & _T_3518; // @[el2_lsu_bus_buffer.scala 493:68] - wire _GEN_46 = _T_3441 & _T_3519; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3407 ? _T_3434 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3403 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3380 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3440 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3439; // @[el2_lsu_bus_buffer.scala 480:30] - wire _T_3444 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_3445 = buf_write[0] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] - wire _T_3446 = io_dec_tlu_force_halt | _T_3445; // @[el2_lsu_bus_buffer.scala 483:55] - wire _T_3448 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 484:30] - wire _T_3449 = buf_dual_0 & _T_3448; // @[el2_lsu_bus_buffer.scala 484:28] - wire _T_3452 = _T_3449 & _T_1130; // @[el2_lsu_bus_buffer.scala 484:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3453 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3454 = _T_3452 & _T_3453; // @[el2_lsu_bus_buffer.scala 484:61] - wire _T_4354 = _T_2598 | _T_2595; // @[el2_lsu_bus_buffer.scala 541:93] - wire _T_4355 = _T_4354 | _T_2592; // @[el2_lsu_bus_buffer.scala 541:93] - wire any_done_wait_state = _T_4355 | _T_2589; // @[el2_lsu_bus_buffer.scala 541:93] - wire _T_3456 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] - wire _T_3462 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3464 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3466 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3468 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3470 = _T_3462 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3471 = _T_3464 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3472 = _T_3466 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3473 = _T_3468 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3474 = _T_3470 | _T_3471; // @[Mux.scala 27:72] - wire _T_3475 = _T_3474 | _T_3472; // @[Mux.scala 27:72] - wire _T_3476 = _T_3475 | _T_3473; // @[Mux.scala 27:72] - wire _T_3478 = _T_3452 & _T_3476; // @[el2_lsu_bus_buffer.scala 485:101] - wire _T_3479 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] - wire _T_3480 = _T_3478 & _T_3479; // @[el2_lsu_bus_buffer.scala 485:138] - wire _T_3481 = _T_3480 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] - wire _T_3482 = _T_3456 | _T_3481; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3505 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_3506 = _T_3505 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] - wire _T_3520 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 496:50] - wire _T_3521 = buf_state_en_0 & _T_3520; // @[el2_lsu_bus_buffer.scala 496:48] - wire [31:0] _T_3527 = _T_3521 ? _T_3439 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] - wire _T_3533 = buf_ldfwd[0] | _T_3538[0]; // @[el2_lsu_bus_buffer.scala 499:90] - wire _T_3534 = _T_3533 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] - wire _GEN_29 = _T_3554 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3546 ? 1'h0 : _T_3554; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3546 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3528 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3528 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3441 & _T_3506; // @[Conditional.scala 39:67] - wire [31:0] _GEN_47 = _T_3441 ? _T_3527 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3441 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3441 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3407 ? _T_3427 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3407 ? _T_3431 : _GEN_45; // @[Conditional.scala 39:67] - wire [31:0] _GEN_60 = _T_3407 ? _T_3440 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3407 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3403 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3403 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire [31:0] _GEN_72 = _T_3403 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3403 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3380 & buf_state_en_0; // @[Conditional.scala 40:58] - wire [31:0] _GEN_79 = _T_3380 ? _T_3402 : _GEN_72; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3380 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3380 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3595 = _T_3588 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] - wire _T_3617 = buf_state_en_1 & _T_3688; // @[el2_lsu_bus_buffer.scala 476:44] - wire _T_3618 = _T_3617 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] - wire _T_3620 = _T_3618 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] - wire _T_3623 = _T_3613 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] - wire _T_3624 = _T_3623 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] - wire _T_3627 = _T_3623 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] - wire [31:0] _T_3632 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] - wire _T_3702 = bus_rsp_read_error & _T_3681; // @[el2_lsu_bus_buffer.scala 493:91] - wire _T_3704 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3706 = _T_3704 & _T_3683; // @[el2_lsu_bus_buffer.scala 494:46] - wire _T_3707 = _T_3702 | _T_3706; // @[el2_lsu_bus_buffer.scala 493:143] - wire _T_3710 = bus_rsp_write_error & _T_3679; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_3711 = _T_3707 | _T_3710; // @[el2_lsu_bus_buffer.scala 494:88] - wire _T_3712 = _T_3613 & _T_3711; // @[el2_lsu_bus_buffer.scala 493:68] - wire _GEN_122 = _T_3634 & _T_3712; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3600 ? _T_3627 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3596 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3573 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3633 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3632; // @[el2_lsu_bus_buffer.scala 480:30] - wire _T_3638 = buf_write[1] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] - wire _T_3639 = io_dec_tlu_force_halt | _T_3638; // @[el2_lsu_bus_buffer.scala 483:55] - wire _T_3641 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 484:30] - wire _T_3642 = buf_dual_1 & _T_3641; // @[el2_lsu_bus_buffer.scala 484:28] - wire _T_3645 = _T_3642 & _T_3688; // @[el2_lsu_bus_buffer.scala 484:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3646 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3647 = _T_3645 & _T_3646; // @[el2_lsu_bus_buffer.scala 484:61] - wire _T_3649 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] - wire _T_3655 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3657 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3659 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3661 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3663 = _T_3655 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3664 = _T_3657 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3665 = _T_3659 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3666 = _T_3661 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3667 = _T_3663 | _T_3664; // @[Mux.scala 27:72] - wire _T_3668 = _T_3667 | _T_3665; // @[Mux.scala 27:72] - wire _T_3669 = _T_3668 | _T_3666; // @[Mux.scala 27:72] - wire _T_3671 = _T_3645 & _T_3669; // @[el2_lsu_bus_buffer.scala 485:101] - wire _T_3672 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] - wire _T_3673 = _T_3671 & _T_3672; // @[el2_lsu_bus_buffer.scala 485:138] - wire _T_3674 = _T_3673 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] - wire _T_3675 = _T_3649 | _T_3674; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3698 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_3699 = _T_3698 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] - wire _T_3713 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 496:50] - wire _T_3714 = buf_state_en_1 & _T_3713; // @[el2_lsu_bus_buffer.scala 496:48] - wire [31:0] _T_3720 = _T_3714 ? _T_3632 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] - wire _T_3726 = buf_ldfwd[1] | _T_3731[0]; // @[el2_lsu_bus_buffer.scala 499:90] - wire _T_3727 = _T_3726 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] - wire _GEN_105 = _T_3747 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3739 ? 1'h0 : _T_3747; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3739 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3721 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3721 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3634 & _T_3699; // @[Conditional.scala 39:67] - wire [31:0] _GEN_123 = _T_3634 ? _T_3720 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3634 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3634 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3600 ? _T_3620 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3600 ? _T_3624 : _GEN_121; // @[Conditional.scala 39:67] - wire [31:0] _GEN_136 = _T_3600 ? _T_3633 : _GEN_123; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3600 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3596 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3596 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire [31:0] _GEN_148 = _T_3596 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3596 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3573 & buf_state_en_1; // @[Conditional.scala 40:58] - wire [31:0] _GEN_155 = _T_3573 ? _T_3595 : _GEN_148; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3573 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3573 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3788 = _T_3781 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] - wire _T_3810 = buf_state_en_2 & _T_3881; // @[el2_lsu_bus_buffer.scala 476:44] - wire _T_3811 = _T_3810 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] - wire _T_3813 = _T_3811 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] - wire _T_3816 = _T_3806 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] - wire _T_3817 = _T_3816 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] - wire _T_3820 = _T_3816 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] - wire [31:0] _T_3825 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] - wire _T_3895 = bus_rsp_read_error & _T_3874; // @[el2_lsu_bus_buffer.scala 493:91] - wire _T_3897 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3899 = _T_3897 & _T_3876; // @[el2_lsu_bus_buffer.scala 494:46] - wire _T_3900 = _T_3895 | _T_3899; // @[el2_lsu_bus_buffer.scala 493:143] - wire _T_3903 = bus_rsp_write_error & _T_3872; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_3904 = _T_3900 | _T_3903; // @[el2_lsu_bus_buffer.scala 494:88] - wire _T_3905 = _T_3806 & _T_3904; // @[el2_lsu_bus_buffer.scala 493:68] - wire _GEN_198 = _T_3827 & _T_3905; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3793 ? _T_3820 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3789 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3766 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3826 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3825; // @[el2_lsu_bus_buffer.scala 480:30] - wire _T_3831 = buf_write[2] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] - wire _T_3832 = io_dec_tlu_force_halt | _T_3831; // @[el2_lsu_bus_buffer.scala 483:55] - wire _T_3834 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 484:30] - wire _T_3835 = buf_dual_2 & _T_3834; // @[el2_lsu_bus_buffer.scala 484:28] - wire _T_3838 = _T_3835 & _T_3881; // @[el2_lsu_bus_buffer.scala 484:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3839 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_3840 = _T_3838 & _T_3839; // @[el2_lsu_bus_buffer.scala 484:61] - wire _T_3842 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] - wire _T_3848 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3850 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3852 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3854 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3856 = _T_3848 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3857 = _T_3850 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3858 = _T_3852 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3859 = _T_3854 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3860 = _T_3856 | _T_3857; // @[Mux.scala 27:72] - wire _T_3861 = _T_3860 | _T_3858; // @[Mux.scala 27:72] - wire _T_3862 = _T_3861 | _T_3859; // @[Mux.scala 27:72] - wire _T_3864 = _T_3838 & _T_3862; // @[el2_lsu_bus_buffer.scala 485:101] - wire _T_3865 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] - wire _T_3866 = _T_3864 & _T_3865; // @[el2_lsu_bus_buffer.scala 485:138] - wire _T_3867 = _T_3866 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] - wire _T_3868 = _T_3842 | _T_3867; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3891 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_3892 = _T_3891 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] - wire _T_3906 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 496:50] - wire _T_3907 = buf_state_en_2 & _T_3906; // @[el2_lsu_bus_buffer.scala 496:48] - wire [31:0] _T_3913 = _T_3907 ? _T_3825 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] - wire _T_3919 = buf_ldfwd[2] | _T_3924[0]; // @[el2_lsu_bus_buffer.scala 499:90] - wire _T_3920 = _T_3919 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] - wire _GEN_181 = _T_3940 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_3932 ? 1'h0 : _T_3940; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_3932 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_3914 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_3914 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3827 & _T_3892; // @[Conditional.scala 39:67] - wire [31:0] _GEN_199 = _T_3827 ? _T_3913 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3827 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3827 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3793 ? _T_3813 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3793 ? _T_3817 : _GEN_197; // @[Conditional.scala 39:67] - wire [31:0] _GEN_212 = _T_3793 ? _T_3826 : _GEN_199; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3793 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3789 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3789 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire [31:0] _GEN_224 = _T_3789 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3789 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3766 & buf_state_en_2; // @[Conditional.scala 40:58] - wire [31:0] _GEN_231 = _T_3766 ? _T_3788 : _GEN_224; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3766 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3766 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_3981 = _T_3974 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] - wire _T_4003 = buf_state_en_3 & _T_4074; // @[el2_lsu_bus_buffer.scala 476:44] - wire _T_4004 = _T_4003 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] - wire _T_4006 = _T_4004 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] - wire _T_4009 = _T_3999 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] - wire _T_4010 = _T_4009 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] - wire _T_4013 = _T_4009 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] - wire [31:0] _T_4018 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] - wire _T_4088 = bus_rsp_read_error & _T_4067; // @[el2_lsu_bus_buffer.scala 493:91] - wire _T_4090 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_4092 = _T_4090 & _T_4069; // @[el2_lsu_bus_buffer.scala 494:46] - wire _T_4093 = _T_4088 | _T_4092; // @[el2_lsu_bus_buffer.scala 493:143] - wire _T_4096 = bus_rsp_write_error & _T_4065; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_4097 = _T_4093 | _T_4096; // @[el2_lsu_bus_buffer.scala 494:88] - wire _T_4098 = _T_3999 & _T_4097; // @[el2_lsu_bus_buffer.scala 493:68] - wire _GEN_274 = _T_4020 & _T_4098; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_3986 ? _T_4013 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_3982 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_3959 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4019 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4018; // @[el2_lsu_bus_buffer.scala 480:30] - wire _T_4024 = buf_write[3] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] - wire _T_4025 = io_dec_tlu_force_halt | _T_4024; // @[el2_lsu_bus_buffer.scala 483:55] - wire _T_4027 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 484:30] - wire _T_4028 = buf_dual_3 & _T_4027; // @[el2_lsu_bus_buffer.scala 484:28] - wire _T_4031 = _T_4028 & _T_4074; // @[el2_lsu_bus_buffer.scala 484:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 484:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_4032 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] - wire _T_4033 = _T_4031 & _T_4032; // @[el2_lsu_bus_buffer.scala 484:61] - wire _T_4035 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] - wire _T_4041 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4043 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4045 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4047 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4049 = _T_4041 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4050 = _T_4043 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4051 = _T_4045 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4052 = _T_4047 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4053 = _T_4049 | _T_4050; // @[Mux.scala 27:72] - wire _T_4054 = _T_4053 | _T_4051; // @[Mux.scala 27:72] - wire _T_4055 = _T_4054 | _T_4052; // @[Mux.scala 27:72] - wire _T_4057 = _T_4031 & _T_4055; // @[el2_lsu_bus_buffer.scala 485:101] - wire _T_4058 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] - wire _T_4059 = _T_4057 & _T_4058; // @[el2_lsu_bus_buffer.scala 485:138] - wire _T_4060 = _T_4059 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] - wire _T_4061 = _T_4035 | _T_4060; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_4084 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_4085 = _T_4084 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] - wire _T_4099 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 496:50] - wire _T_4100 = buf_state_en_3 & _T_4099; // @[el2_lsu_bus_buffer.scala 496:48] - wire [31:0] _T_4106 = _T_4100 ? _T_4018 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] - wire _T_4112 = buf_ldfwd[3] | _T_4117[0]; // @[el2_lsu_bus_buffer.scala 499:90] - wire _T_4113 = _T_4112 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] - wire _GEN_257 = _T_4133 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4125 ? 1'h0 : _T_4133; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4125 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4107 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4107 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4020 & _T_4085; // @[Conditional.scala 39:67] - wire [31:0] _GEN_275 = _T_4020 ? _T_4106 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4020 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4020 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_3986 ? _T_4006 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_3986 ? _T_4010 : _GEN_273; // @[Conditional.scala 39:67] - wire [31:0] _GEN_288 = _T_3986 ? _T_4019 : _GEN_275; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_3986 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_3982 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_3982 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire [31:0] _GEN_300 = _T_3982 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_3982 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_3959 & buf_state_en_3; // @[Conditional.scala 40:58] - wire [31:0] _GEN_307 = _T_3959 ? _T_3981 : _GEN_300; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_3959 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_3959 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4188; // @[Reg.scala 27:20] - reg _T_4191; // @[Reg.scala 27:20] - reg _T_4194; // @[Reg.scala 27:20] - reg _T_4197; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4197,_T_4194,_T_4191,_T_4188}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3199[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] - wire [2:0] buf_byteen_in_1 = _T_3208[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] - wire [2:0] buf_byteen_in_2 = _T_3217[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] - wire [2:0] buf_byteen_in_3 = _T_3226[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] - reg _T_4263; // @[el2_lsu_bus_buffer.scala 535:82] - reg _T_4258; // @[el2_lsu_bus_buffer.scala 535:82] - reg _T_4253; // @[el2_lsu_bus_buffer.scala 535:82] - reg _T_4248; // @[el2_lsu_bus_buffer.scala 535:82] - wire [3:0] buf_error = {_T_4263,_T_4258,_T_4253,_T_4248}; // @[Cat.scala 29:58] - wire _T_4245 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 535:86] - wire _T_4246 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 535:128] - wire _T_4250 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 535:86] - wire _T_4251 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 535:128] - wire _T_4255 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 535:86] - wire _T_4256 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 535:128] - wire _T_4260 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 535:86] - wire _T_4261 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 535:128] - wire [1:0] _T_4271 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 537:96] - wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 537:96] - wire [2:0] _T_4272 = _T_4271 + _GEN_407; // @[el2_lsu_bus_buffer.scala 537:96] - wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 537:96] - wire [3:0] buf_numvld_any = _T_4272 + _GEN_408; // @[el2_lsu_bus_buffer.scala 537:96] - wire _T_4358 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4359 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 543:92] - wire _T_4360 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 543:119] - wire _T_4362 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 544:52] - wire _T_4363 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 544:52] - wire _T_4364 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 544:52] - wire _T_4365 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 544:52] - wire _T_4366 = _T_4362 | _T_4363; // @[el2_lsu_bus_buffer.scala 544:65] - wire _T_4367 = _T_4366 | _T_4364; // @[el2_lsu_bus_buffer.scala 544:65] - wire _T_4368 = _T_4367 | _T_4365; // @[el2_lsu_bus_buffer.scala 544:65] - wire _T_4369 = ~_T_4368; // @[el2_lsu_bus_buffer.scala 544:34] - wire _T_4371 = _T_4369 & _T_765; // @[el2_lsu_bus_buffer.scala 544:70] - wire _T_4374 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 546:51] - wire _T_4375 = _T_4374 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 546:72] - wire _T_4376 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 546:94] - wire _T_4377 = _T_4375 & _T_4376; // @[el2_lsu_bus_buffer.scala 546:92] - wire _T_4378 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 546:111] - wire _T_4380 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 549:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 633:66] - wire _T_4398 = _T_2651 & _T_1130; // @[Mux.scala 27:72] - wire _T_4399 = _T_2673 & _T_3688; // @[Mux.scala 27:72] - wire _T_4400 = _T_2695 & _T_3881; // @[Mux.scala 27:72] - wire _T_4401 = _T_2717 & _T_4074; // @[Mux.scala 27:72] - wire _T_4402 = _T_4398 | _T_4399; // @[Mux.scala 27:72] - wire _T_4403 = _T_4402 | _T_4400; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4403 | _T_4401; // @[Mux.scala 27:72] - wire _T_4409 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 552:108] - wire _T_4414 = buf_error[1] & _T_3688; // @[el2_lsu_bus_buffer.scala 552:108] - wire _T_4419 = buf_error[2] & _T_3881; // @[el2_lsu_bus_buffer.scala 552:108] - wire _T_4424 = buf_error[3] & _T_4074; // @[el2_lsu_bus_buffer.scala 552:108] - wire _T_4425 = _T_2651 & _T_4409; // @[Mux.scala 27:72] - wire _T_4426 = _T_2673 & _T_4414; // @[Mux.scala 27:72] - wire _T_4427 = _T_2695 & _T_4419; // @[Mux.scala 27:72] - wire _T_4428 = _T_2717 & _T_4424; // @[Mux.scala 27:72] - wire _T_4429 = _T_4425 | _T_4426; // @[Mux.scala 27:72] - wire _T_4430 = _T_4429 | _T_4427; // @[Mux.scala 27:72] - wire _T_4437 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 553:109] - wire _T_4438 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 553:124] - wire _T_4439 = _T_4437 | _T_4438; // @[el2_lsu_bus_buffer.scala 553:122] - wire _T_4440 = _T_4398 & _T_4439; // @[el2_lsu_bus_buffer.scala 553:106] - wire _T_4445 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 553:109] - wire _T_4446 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 553:124] - wire _T_4447 = _T_4445 | _T_4446; // @[el2_lsu_bus_buffer.scala 553:122] - wire _T_4448 = _T_4399 & _T_4447; // @[el2_lsu_bus_buffer.scala 553:106] - wire _T_4453 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 553:109] - wire _T_4454 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 553:124] - wire _T_4455 = _T_4453 | _T_4454; // @[el2_lsu_bus_buffer.scala 553:122] - wire _T_4456 = _T_4400 & _T_4455; // @[el2_lsu_bus_buffer.scala 553:106] - wire _T_4461 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 553:109] - wire _T_4462 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 553:124] - wire _T_4463 = _T_4461 | _T_4462; // @[el2_lsu_bus_buffer.scala 553:122] - wire _T_4464 = _T_4401 & _T_4463; // @[el2_lsu_bus_buffer.scala 553:106] - wire [1:0] _T_4467 = _T_4456 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4468 = _T_4464 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_409 = {{1'd0}, _T_4448}; // @[Mux.scala 27:72] - wire [1:0] _T_4470 = _GEN_409 | _T_4467; // @[Mux.scala 27:72] - wire [31:0] _T_4505 = _T_4440 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4506 = _T_4448 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4507 = _T_4456 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4508 = _T_4464 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4509 = _T_4505 | _T_4506; // @[Mux.scala 27:72] - wire [31:0] _T_4510 = _T_4509 | _T_4507; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4510 | _T_4508; // @[Mux.scala 27:72] - wire _T_4516 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 555:120] - wire _T_4517 = _T_4398 & _T_4516; // @[el2_lsu_bus_buffer.scala 555:105] - wire _T_4522 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 555:120] - wire _T_4523 = _T_4399 & _T_4522; // @[el2_lsu_bus_buffer.scala 555:105] - wire _T_4528 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 555:120] - wire _T_4529 = _T_4400 & _T_4528; // @[el2_lsu_bus_buffer.scala 555:105] - wire _T_4534 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 555:120] - wire _T_4535 = _T_4401 & _T_4534; // @[el2_lsu_bus_buffer.scala 555:105] - wire [31:0] _T_4536 = _T_4517 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4537 = _T_4523 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4538 = _T_4529 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4539 = _T_4535 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4540 = _T_4536 | _T_4537; // @[Mux.scala 27:72] - wire [31:0] _T_4541 = _T_4540 | _T_4538; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4541 | _T_4539; // @[Mux.scala 27:72] - wire _T_4543 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4544 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4545 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4546 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4547 = _T_4543 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4548 = _T_4544 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4549 = _T_4545 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4550 = _T_4546 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4551 = _T_4547 | _T_4548; // @[Mux.scala 27:72] - wire [31:0] _T_4552 = _T_4551 | _T_4549; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_addr_offset = _T_4552 | _T_4550; // @[Mux.scala 27:72] - wire [1:0] _T_4558 = _T_4543 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4559 = _T_4544 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4560 = _T_4545 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4561 = _T_4546 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4562 = _T_4558 | _T_4559; // @[Mux.scala 27:72] - wire [1:0] _T_4563 = _T_4562 | _T_4560; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4563 | _T_4561; // @[Mux.scala 27:72] - wire _T_4573 = _T_4543 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4574 = _T_4544 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4575 = _T_4545 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4576 = _T_4546 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4577 = _T_4573 | _T_4574; // @[Mux.scala 27:72] - wire _T_4578 = _T_4577 | _T_4575; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4578 | _T_4576; // @[Mux.scala 27:72] - wire [63:0] _T_4598 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [35:0] _T_4599 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 560:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4598 >> _T_4599; // @[el2_lsu_bus_buffer.scala 560:92] - wire _T_4600 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 561:69] - wire _T_4602 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 562:81] - wire _T_4603 = lsu_nonblock_unsign & _T_4602; // @[el2_lsu_bus_buffer.scala 562:63] - wire [31:0] _T_4605 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4606 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 563:45] - wire _T_4607 = lsu_nonblock_unsign & _T_4606; // @[el2_lsu_bus_buffer.scala 563:26] - wire [31:0] _T_4609 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4610 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 564:6] - wire _T_4612 = _T_4610 & _T_4602; // @[el2_lsu_bus_buffer.scala 564:27] - wire [23:0] _T_4615 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4617 = {_T_4615,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4620 = _T_4610 & _T_4606; // @[el2_lsu_bus_buffer.scala 565:27] - wire [15:0] _T_4623 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4625 = {_T_4623,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4626 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 566:21] - wire [31:0] _T_4627 = _T_4603 ? _T_4605 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4628 = _T_4607 ? _T_4609 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4629 = _T_4612 ? _T_4617 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4630 = _T_4620 ? _T_4625 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4631 = _T_4626 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4632 = _T_4627 | _T_4628; // @[Mux.scala 27:72] - wire [31:0] _T_4633 = _T_4632 | _T_4629; // @[Mux.scala 27:72] - wire [31:0] _T_4634 = _T_4633 | _T_4630; // @[Mux.scala 27:72] - wire [63:0] _GEN_410 = {{32'd0}, _T_4634}; // @[Mux.scala 27:72] - wire [63:0] _T_4635 = _GEN_410 | _T_4631; // @[Mux.scala 27:72] - wire _T_4730 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 584:36] - wire _T_4731 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 584:51] - wire _T_4732 = _T_4730 & _T_4731; // @[el2_lsu_bus_buffer.scala 584:49] - wire [31:0] _T_4736 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4738 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4743 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 596:50] - wire _T_4744 = _T_4730 & _T_4743; // @[el2_lsu_bus_buffer.scala 596:48] - wire [7:0] _T_4748 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4751 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 601:36] - wire _T_4753 = _T_4751 & _T_1275; // @[el2_lsu_bus_buffer.scala 601:50] - wire _T_4765 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4767 = _T_4765 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 614:129] - wire _T_4770 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4772 = _T_4770 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 614:129] - wire _T_4775 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4777 = _T_4775 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 614:129] - wire _T_4780 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4782 = _T_4780 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 614:129] - wire _T_4783 = _T_2651 & _T_4767; // @[Mux.scala 27:72] - wire _T_4784 = _T_2673 & _T_4772; // @[Mux.scala 27:72] - wire _T_4785 = _T_2695 & _T_4777; // @[Mux.scala 27:72] - wire _T_4786 = _T_2717 & _T_4782; // @[Mux.scala 27:72] - wire _T_4787 = _T_4783 | _T_4784; // @[Mux.scala 27:72] - wire _T_4788 = _T_4787 | _T_4785; // @[Mux.scala 27:72] - wire _T_4798 = _T_2673 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 615:98] - wire lsu_imprecise_error_store_tag = _T_4798 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 615:113] - wire _T_4804 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 617:72] - wire _T_4806 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4808 = _T_4806 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4809 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4810 = _T_4808 | _T_4809; // @[Mux.scala 27:72] - wire _T_4827 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 624:68] - wire _T_4830 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 625:48] - wire _T_4833 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 628:48] - wire _T_4834 = io_lsu_axi_awvalid & _T_4833; // @[el2_lsu_bus_buffer.scala 628:46] - wire _T_4835 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 628:92] - wire _T_4836 = io_lsu_axi_wvalid & _T_4835; // @[el2_lsu_bus_buffer.scala 628:90] - wire _T_4837 = _T_4834 | _T_4836; // @[el2_lsu_bus_buffer.scala 628:69] - wire _T_4838 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 628:136] - wire _T_4839 = io_lsu_axi_arvalid & _T_4838; // @[el2_lsu_bus_buffer.scala 628:134] - wire _T_4843 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 632:75] - wire _T_4844 = io_lsu_busreq_m & _T_4843; // @[el2_lsu_bus_buffer.scala 632:73] - reg _T_4847; // @[el2_lsu_bus_buffer.scala 632:56] + wire [2:0] _GEN_407 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_4128 = io_lsu_axi_rid == _GEN_407; // @[el2_lsu_bus_buffer.scala 494:94] + wire _T_4129 = _T_4127 & _T_4128; // @[el2_lsu_bus_buffer.scala 494:74] + wire _T_4130 = _T_4122 | _T_4129; // @[el2_lsu_bus_buffer.scala 493:71] + wire _T_4131 = bus_rsp_read & _T_4130; // @[el2_lsu_bus_buffer.scala 492:25] + wire _T_4132 = _T_4117 | _T_4131; // @[el2_lsu_bus_buffer.scala 491:105] + wire _GEN_270 = _T_4071 & _T_4132; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4037 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4033 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4010 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4158 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4168 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 506:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_409 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_4170 = io_lsu_axi_rid == _GEN_409; // @[el2_lsu_bus_buffer.scala 506:58] + wire _T_4171 = _T_4168[0] & _T_4170; // @[el2_lsu_bus_buffer.scala 506:38] + wire _T_4172 = _T_4128 | _T_4171; // @[el2_lsu_bus_buffer.scala 505:95] + wire _T_4173 = bus_rsp_read & _T_4172; // @[el2_lsu_bus_buffer.scala 505:45] + wire _GEN_264 = _T_4158 & _T_4173; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4071 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4037 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4033 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4010 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4050 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 479:49] + wire _T_4051 = _T_4050 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 479:70] + wire _T_4176 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4179 = RspPtr == 3'h3; // @[el2_lsu_bus_buffer.scala 511:37] + wire _T_4180 = _GEN_407 == RspPtr; // @[el2_lsu_bus_buffer.scala 511:98] + wire _T_4181 = buf_dual_3 & _T_4180; // @[el2_lsu_bus_buffer.scala 511:80] + wire _T_4182 = _T_4179 | _T_4181; // @[el2_lsu_bus_buffer.scala 511:65] + wire _T_4183 = _T_4182 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:112] + wire _T_4184 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4176 ? _T_4183 : _T_4184; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4158 ? _T_4051 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4071 ? _T_4051 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4037 ? _T_4051 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4033 ? _T_3457 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4010 ? _T_4026 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2354 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2364 = _T_2056 & _T_1815; // @[el2_lsu_bus_buffer.scala 431:71] + wire _T_2366 = _T_2364 & _T_1780; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2367 = _T_2053 | _T_2366; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2371 = _T_2063 & _T_1818; // @[el2_lsu_bus_buffer.scala 432:52] + wire _T_2373 = _T_2371 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2374 = _T_2367 | _T_2373; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2375 = _T_2354 & _T_2374; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2377 = _T_2375 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2391 = _T_2364 & _T_1791; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2392 = _T_2078 | _T_2391; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2398 = _T_2371 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2399 = _T_2392 | _T_2398; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2400 = _T_2354 & _T_2399; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2402 = _T_2400 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2416 = _T_2364 & _T_1802; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2417 = _T_2103 | _T_2416; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2423 = _T_2371 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2424 = _T_2417 | _T_2423; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2425 = _T_2354 & _T_2424; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2427 = _T_2425 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 432:97] + wire _T_2441 = _T_2364 & _T_1813; // @[el2_lsu_bus_buffer.scala 431:92] + wire _T_2442 = _T_2128 | _T_2441; // @[el2_lsu_bus_buffer.scala 430:86] + wire _T_2448 = _T_2371 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:73] + wire _T_2449 = _T_2442 | _T_2448; // @[el2_lsu_bus_buffer.scala 431:114] + wire _T_2450 = _T_2354 & _T_2449; // @[el2_lsu_bus_buffer.scala 429:113] + wire _T_2452 = _T_2450 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 432:97] + wire [2:0] _T_2454 = {_T_2452,_T_2427,_T_2402}; // @[Cat.scala 29:58] + wire _T_2702 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 440:49] + wire _T_2703 = _T_1779 | _T_2702; // @[el2_lsu_bus_buffer.scala 440:34] + wire _T_2704 = ~_T_2703; // @[el2_lsu_bus_buffer.scala 440:8] + wire _T_2712 = _T_2704 | _T_2060; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2719 = _T_2712 | _T_2067; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2720 = _T_2048 & _T_2719; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2724 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 440:49] + wire _T_2725 = _T_1790 | _T_2724; // @[el2_lsu_bus_buffer.scala 440:34] + wire _T_2726 = ~_T_2725; // @[el2_lsu_bus_buffer.scala 440:8] + wire _T_2734 = _T_2726 | _T_2085; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2741 = _T_2734 | _T_2092; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2742 = _T_2048 & _T_2741; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2746 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 440:49] + wire _T_2747 = _T_1801 | _T_2746; // @[el2_lsu_bus_buffer.scala 440:34] + wire _T_2748 = ~_T_2747; // @[el2_lsu_bus_buffer.scala 440:8] + wire _T_2756 = _T_2748 | _T_2110; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2763 = _T_2756 | _T_2117; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2764 = _T_2048 & _T_2763; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2768 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 440:49] + wire _T_2769 = _T_1812 | _T_2768; // @[el2_lsu_bus_buffer.scala 440:34] + wire _T_2770 = ~_T_2769; // @[el2_lsu_bus_buffer.scala 440:8] + wire _T_2778 = _T_2770 | _T_2135; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2785 = _T_2778 | _T_2142; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2786 = _T_2048 & _T_2785; // @[el2_lsu_bus_buffer.scala 439:114] + wire [3:0] buf_rspage_set_0 = {_T_2786,_T_2764,_T_2742,_T_2720}; // @[Cat.scala 29:58] + wire _T_2803 = _T_2704 | _T_2162; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2810 = _T_2803 | _T_2169; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2811 = _T_2150 & _T_2810; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2825 = _T_2726 | _T_2187; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2832 = _T_2825 | _T_2194; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2833 = _T_2150 & _T_2832; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2847 = _T_2748 | _T_2212; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2854 = _T_2847 | _T_2219; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2855 = _T_2150 & _T_2854; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2869 = _T_2770 | _T_2237; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2876 = _T_2869 | _T_2244; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2877 = _T_2150 & _T_2876; // @[el2_lsu_bus_buffer.scala 439:114] + wire [3:0] buf_rspage_set_1 = {_T_2877,_T_2855,_T_2833,_T_2811}; // @[Cat.scala 29:58] + wire _T_2894 = _T_2704 | _T_2264; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2901 = _T_2894 | _T_2271; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2902 = _T_2252 & _T_2901; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2916 = _T_2726 | _T_2289; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2923 = _T_2916 | _T_2296; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2924 = _T_2252 & _T_2923; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2938 = _T_2748 | _T_2314; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2945 = _T_2938 | _T_2321; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2946 = _T_2252 & _T_2945; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_2960 = _T_2770 | _T_2339; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2967 = _T_2960 | _T_2346; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2968 = _T_2252 & _T_2967; // @[el2_lsu_bus_buffer.scala 439:114] + wire [3:0] buf_rspage_set_2 = {_T_2968,_T_2946,_T_2924,_T_2902}; // @[Cat.scala 29:58] + wire _T_2985 = _T_2704 | _T_2366; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_2992 = _T_2985 | _T_2373; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_2993 = _T_2354 & _T_2992; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_3007 = _T_2726 | _T_2391; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_3014 = _T_3007 | _T_2398; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_3015 = _T_2354 & _T_3014; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_3029 = _T_2748 | _T_2416; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_3036 = _T_3029 | _T_2423; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_3037 = _T_2354 & _T_3036; // @[el2_lsu_bus_buffer.scala 439:114] + wire _T_3051 = _T_2770 | _T_2441; // @[el2_lsu_bus_buffer.scala 440:61] + wire _T_3058 = _T_3051 | _T_2448; // @[el2_lsu_bus_buffer.scala 441:112] + wire _T_3059 = _T_2354 & _T_3058; // @[el2_lsu_bus_buffer.scala 439:114] + wire [3:0] buf_rspage_set_3 = {_T_3059,_T_3037,_T_3015,_T_2993}; // @[Cat.scala 29:58] + wire _T_3144 = _T_2768 | _T_1812; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 444:86] + wire _T_3146 = buf_rspageQ_0[3] & _T_3145; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3138 = _T_2746 | _T_1801; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 444:86] + wire _T_3140 = buf_rspageQ_0[2] & _T_3139; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3132 = _T_2724 | _T_1790; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 444:86] + wire _T_3134 = buf_rspageQ_0[1] & _T_3133; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3126 = _T_2702 | _T_1779; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3127 = ~_T_3126; // @[el2_lsu_bus_buffer.scala 444:86] + wire _T_3128 = buf_rspageQ_0[0] & _T_3127; // @[el2_lsu_bus_buffer.scala 444:84] + wire [3:0] buf_rspage_0 = {_T_3146,_T_3140,_T_3134,_T_3128}; // @[Cat.scala 29:58] + wire _T_3065 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3068 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3071 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3074 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 443:90] + wire [2:0] _T_3076 = {_T_3074,_T_3071,_T_3068}; // @[Cat.scala 29:58] + wire _T_3173 = buf_rspageQ_1[3] & _T_3145; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3167 = buf_rspageQ_1[2] & _T_3139; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3161 = buf_rspageQ_1[1] & _T_3133; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3155 = buf_rspageQ_1[0] & _T_3127; // @[el2_lsu_bus_buffer.scala 444:84] + wire [3:0] buf_rspage_1 = {_T_3173,_T_3167,_T_3161,_T_3155}; // @[Cat.scala 29:58] + wire _T_3080 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3083 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3086 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3089 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 443:90] + wire [2:0] _T_3091 = {_T_3089,_T_3086,_T_3083}; // @[Cat.scala 29:58] + wire _T_3200 = buf_rspageQ_2[3] & _T_3145; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3194 = buf_rspageQ_2[2] & _T_3139; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3188 = buf_rspageQ_2[1] & _T_3133; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3182 = buf_rspageQ_2[0] & _T_3127; // @[el2_lsu_bus_buffer.scala 444:84] + wire [3:0] buf_rspage_2 = {_T_3200,_T_3194,_T_3188,_T_3182}; // @[Cat.scala 29:58] + wire _T_3095 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3098 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3101 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3104 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 443:90] + wire [2:0] _T_3106 = {_T_3104,_T_3101,_T_3098}; // @[Cat.scala 29:58] + wire _T_3227 = buf_rspageQ_3[3] & _T_3145; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3221 = buf_rspageQ_3[2] & _T_3139; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3215 = buf_rspageQ_3[1] & _T_3133; // @[el2_lsu_bus_buffer.scala 444:84] + wire _T_3209 = buf_rspageQ_3[0] & _T_3127; // @[el2_lsu_bus_buffer.scala 444:84] + wire [3:0] buf_rspage_3 = {_T_3227,_T_3221,_T_3215,_T_3209}; // @[Cat.scala 29:58] + wire _T_3110 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3113 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3116 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 443:90] + wire _T_3119 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 443:90] + wire [2:0] _T_3121 = {_T_3119,_T_3116,_T_3113}; // @[Cat.scala 29:58] + wire _T_3232 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 449:65] + wire _T_3234 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 449:65] + wire _T_3236 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 449:65] + wire _T_3238 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 449:65] + wire [3:0] ibuf_drainvec_vld = {_T_3238,_T_3236,_T_3234,_T_3232}; // @[Cat.scala 29:58] + wire _T_3246 = _T_3440 & _T_1785; // @[el2_lsu_bus_buffer.scala 450:123] + wire [3:0] _T_3249 = _T_3246 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 450:96] + wire [3:0] _T_3250 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3249; // @[el2_lsu_bus_buffer.scala 450:48] + wire _T_3255 = _T_3440 & _T_1796; // @[el2_lsu_bus_buffer.scala 450:123] + wire [3:0] _T_3258 = _T_3255 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 450:96] + wire [3:0] _T_3259 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3258; // @[el2_lsu_bus_buffer.scala 450:48] + wire _T_3264 = _T_3440 & _T_1807; // @[el2_lsu_bus_buffer.scala 450:123] + wire [3:0] _T_3267 = _T_3264 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 450:96] + wire [3:0] _T_3268 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3267; // @[el2_lsu_bus_buffer.scala 450:48] + wire _T_3273 = _T_3440 & _T_1818; // @[el2_lsu_bus_buffer.scala 450:123] + wire [3:0] _T_3276 = _T_3273 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 450:96] + wire [3:0] _T_3277 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3276; // @[el2_lsu_bus_buffer.scala 450:48] + wire _T_3303 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 452:47] + wire _T_3305 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 452:47] + wire _T_3307 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 452:47] + wire _T_3309 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 452:47] + wire [3:0] buf_dual_in = {_T_3309,_T_3307,_T_3305,_T_3303}; // @[Cat.scala 29:58] + wire _T_3314 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3316 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3318 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3320 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 453:49] + wire [3:0] buf_samedw_in = {_T_3320,_T_3318,_T_3316,_T_3314}; // @[Cat.scala 29:58] + wire _T_3325 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 454:86] + wire _T_3326 = ibuf_drainvec_vld[0] ? _T_3325 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 454:50] + wire _T_3329 = ibuf_drainvec_vld[1] ? _T_3325 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 454:50] + wire _T_3332 = ibuf_drainvec_vld[2] ? _T_3325 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 454:50] + wire _T_3335 = ibuf_drainvec_vld[3] ? _T_3325 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 454:50] + wire [3:0] buf_nomerge_in = {_T_3335,_T_3332,_T_3329,_T_3326}; // @[Cat.scala 29:58] + wire _T_3343 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3246; // @[el2_lsu_bus_buffer.scala 455:49] + wire _T_3348 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3255; // @[el2_lsu_bus_buffer.scala 455:49] + wire _T_3353 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3264; // @[el2_lsu_bus_buffer.scala 455:49] + wire _T_3358 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3273; // @[el2_lsu_bus_buffer.scala 455:49] + wire [3:0] buf_dualhi_in = {_T_3358,_T_3353,_T_3348,_T_3343}; // @[Cat.scala 29:58] + wire _T_3387 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 457:53] + wire _T_3389 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 457:53] + wire _T_3391 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 457:53] + wire _T_3393 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 457:53] + wire [3:0] buf_sideeffect_in = {_T_3393,_T_3391,_T_3389,_T_3387}; // @[Cat.scala 29:58] + wire _T_3398 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3400 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3402 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3404 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 458:49] + wire [3:0] buf_unsign_in = {_T_3404,_T_3402,_T_3400,_T_3398}; // @[Cat.scala 29:58] + wire _T_3421 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 460:48] + wire _T_3423 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 460:48] + wire _T_3425 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 460:48] + wire _T_3427 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 460:48] + wire [3:0] buf_write_in = {_T_3427,_T_3425,_T_3423,_T_3421}; // @[Cat.scala 29:58] + wire [31:0] _T_3453 = _T_3446 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 469:30] + wire _T_3460 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 476:89] + wire _T_3462 = _T_3460 & _T_1277; // @[el2_lsu_bus_buffer.scala 476:104] + wire _T_3475 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 481:44] + wire _T_3476 = _T_3475 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:60] + wire _T_3478 = _T_3476 & _T_1259; // @[el2_lsu_bus_buffer.scala 481:74] + wire _T_3481 = _T_3471 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 483:67] + wire _T_3482 = _T_3481 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 483:81] + wire _T_4779 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 585:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4779; // @[el2_lsu_bus_buffer.scala 585:38] + wire _T_3485 = _T_3481 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 484:82] + wire [31:0] _T_3490 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3560 = bus_rsp_read_error & _T_3539; // @[el2_lsu_bus_buffer.scala 498:91] + wire _T_3562 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3564 = _T_3562 & _T_3541; // @[el2_lsu_bus_buffer.scala 499:46] + wire _T_3565 = _T_3560 | _T_3564; // @[el2_lsu_bus_buffer.scala 498:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4779; // @[el2_lsu_bus_buffer.scala 584:40] + wire _T_3568 = bus_rsp_write_error & _T_3537; // @[el2_lsu_bus_buffer.scala 500:53] + wire _T_3569 = _T_3565 | _T_3568; // @[el2_lsu_bus_buffer.scala 499:88] + wire _T_3570 = _T_3471 & _T_3569; // @[el2_lsu_bus_buffer.scala 498:68] + wire _GEN_46 = _T_3492 & _T_3570; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3458 ? _T_3485 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3454 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3431 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire [31:0] _T_3491 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3490; // @[el2_lsu_bus_buffer.scala 485:30] + wire _T_3495 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 488:73] + wire _T_3496 = buf_write[0] & _T_3495; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3497 = io_dec_tlu_force_halt | _T_3496; // @[el2_lsu_bus_buffer.scala 488:55] + wire _T_3499 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_3500 = buf_dual_0 & _T_3499; // @[el2_lsu_bus_buffer.scala 489:28] + wire _T_3503 = _T_3500 & _T_1130; // @[el2_lsu_bus_buffer.scala 489:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3504 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3505 = _T_3503 & _T_3504; // @[el2_lsu_bus_buffer.scala 489:61] + wire _T_4405 = _T_2649 | _T_2646; // @[el2_lsu_bus_buffer.scala 546:93] + wire _T_4406 = _T_4405 | _T_2643; // @[el2_lsu_bus_buffer.scala 546:93] + wire any_done_wait_state = _T_4406 | _T_2640; // @[el2_lsu_bus_buffer.scala 546:93] + wire _T_3507 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:31] + wire _T_3513 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3515 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3517 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3519 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3521 = _T_3513 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3522 = _T_3515 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3523 = _T_3517 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3524 = _T_3519 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3525 = _T_3521 | _T_3522; // @[Mux.scala 27:72] + wire _T_3526 = _T_3525 | _T_3523; // @[Mux.scala 27:72] + wire _T_3527 = _T_3526 | _T_3524; // @[Mux.scala 27:72] + wire _T_3529 = _T_3503 & _T_3527; // @[el2_lsu_bus_buffer.scala 490:101] + wire _T_3530 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 490:167] + wire _T_3531 = _T_3529 & _T_3530; // @[el2_lsu_bus_buffer.scala 490:138] + wire _T_3532 = _T_3531 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:187] + wire _T_3533 = _T_3507 | _T_3532; // @[el2_lsu_bus_buffer.scala 490:53] + wire _T_3556 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3557 = _T_3556 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 497:62] + wire _T_3571 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 501:50] + wire _T_3572 = buf_state_en_0 & _T_3571; // @[el2_lsu_bus_buffer.scala 501:48] + wire [31:0] _T_3578 = _T_3572 ? _T_3490 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_3584 = buf_ldfwd[0] | _T_3589[0]; // @[el2_lsu_bus_buffer.scala 504:90] + wire _T_3585 = _T_3584 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 504:118] + wire _GEN_29 = _T_3605 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3597 ? 1'h0 : _T_3605; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3597 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3579 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3579 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3492 & _T_3557; // @[Conditional.scala 39:67] + wire [31:0] _GEN_47 = _T_3492 ? _T_3578 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3492 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3492 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3458 ? _T_3478 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3458 ? _T_3482 : _GEN_45; // @[Conditional.scala 39:67] + wire [31:0] _GEN_60 = _T_3458 ? _T_3491 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3458 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3454 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3454 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire [31:0] _GEN_72 = _T_3454 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3454 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3431 & buf_state_en_0; // @[Conditional.scala 40:58] + wire [31:0] _GEN_79 = _T_3431 ? _T_3453 : _GEN_72; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3431 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3431 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire [31:0] _T_3646 = _T_3639 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 469:30] + wire _T_3668 = buf_state_en_1 & _T_3739; // @[el2_lsu_bus_buffer.scala 481:44] + wire _T_3669 = _T_3668 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:60] + wire _T_3671 = _T_3669 & _T_1259; // @[el2_lsu_bus_buffer.scala 481:74] + wire _T_3674 = _T_3664 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 483:67] + wire _T_3675 = _T_3674 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 483:81] + wire _T_3678 = _T_3674 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 484:82] + wire [31:0] _T_3683 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3753 = bus_rsp_read_error & _T_3732; // @[el2_lsu_bus_buffer.scala 498:91] + wire _T_3755 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3757 = _T_3755 & _T_3734; // @[el2_lsu_bus_buffer.scala 499:46] + wire _T_3758 = _T_3753 | _T_3757; // @[el2_lsu_bus_buffer.scala 498:143] + wire _T_3761 = bus_rsp_write_error & _T_3730; // @[el2_lsu_bus_buffer.scala 500:53] + wire _T_3762 = _T_3758 | _T_3761; // @[el2_lsu_bus_buffer.scala 499:88] + wire _T_3763 = _T_3664 & _T_3762; // @[el2_lsu_bus_buffer.scala 498:68] + wire _GEN_122 = _T_3685 & _T_3763; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3651 ? _T_3678 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3647 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3624 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire [31:0] _T_3684 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3683; // @[el2_lsu_bus_buffer.scala 485:30] + wire _T_3689 = buf_write[1] & _T_3495; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3690 = io_dec_tlu_force_halt | _T_3689; // @[el2_lsu_bus_buffer.scala 488:55] + wire _T_3692 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_3693 = buf_dual_1 & _T_3692; // @[el2_lsu_bus_buffer.scala 489:28] + wire _T_3696 = _T_3693 & _T_3739; // @[el2_lsu_bus_buffer.scala 489:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3697 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3698 = _T_3696 & _T_3697; // @[el2_lsu_bus_buffer.scala 489:61] + wire _T_3700 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:31] + wire _T_3706 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3708 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3710 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3712 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3714 = _T_3706 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3715 = _T_3708 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3716 = _T_3710 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3717 = _T_3712 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3718 = _T_3714 | _T_3715; // @[Mux.scala 27:72] + wire _T_3719 = _T_3718 | _T_3716; // @[Mux.scala 27:72] + wire _T_3720 = _T_3719 | _T_3717; // @[Mux.scala 27:72] + wire _T_3722 = _T_3696 & _T_3720; // @[el2_lsu_bus_buffer.scala 490:101] + wire _T_3723 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 490:167] + wire _T_3724 = _T_3722 & _T_3723; // @[el2_lsu_bus_buffer.scala 490:138] + wire _T_3725 = _T_3724 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:187] + wire _T_3726 = _T_3700 | _T_3725; // @[el2_lsu_bus_buffer.scala 490:53] + wire _T_3749 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3750 = _T_3749 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 497:62] + wire _T_3764 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 501:50] + wire _T_3765 = buf_state_en_1 & _T_3764; // @[el2_lsu_bus_buffer.scala 501:48] + wire [31:0] _T_3771 = _T_3765 ? _T_3683 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_3777 = buf_ldfwd[1] | _T_3782[0]; // @[el2_lsu_bus_buffer.scala 504:90] + wire _T_3778 = _T_3777 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 504:118] + wire _GEN_105 = _T_3798 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3790 ? 1'h0 : _T_3798; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3790 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3772 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3772 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3685 & _T_3750; // @[Conditional.scala 39:67] + wire [31:0] _GEN_123 = _T_3685 ? _T_3771 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3685 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3685 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3651 ? _T_3671 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3651 ? _T_3675 : _GEN_121; // @[Conditional.scala 39:67] + wire [31:0] _GEN_136 = _T_3651 ? _T_3684 : _GEN_123; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3651 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3647 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3647 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire [31:0] _GEN_148 = _T_3647 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3647 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3624 & buf_state_en_1; // @[Conditional.scala 40:58] + wire [31:0] _GEN_155 = _T_3624 ? _T_3646 : _GEN_148; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3624 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3624 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire [31:0] _T_3839 = _T_3832 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 469:30] + wire _T_3861 = buf_state_en_2 & _T_3932; // @[el2_lsu_bus_buffer.scala 481:44] + wire _T_3862 = _T_3861 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:60] + wire _T_3864 = _T_3862 & _T_1259; // @[el2_lsu_bus_buffer.scala 481:74] + wire _T_3867 = _T_3857 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 483:67] + wire _T_3868 = _T_3867 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 483:81] + wire _T_3871 = _T_3867 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 484:82] + wire [31:0] _T_3876 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3946 = bus_rsp_read_error & _T_3925; // @[el2_lsu_bus_buffer.scala 498:91] + wire _T_3948 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3950 = _T_3948 & _T_3927; // @[el2_lsu_bus_buffer.scala 499:46] + wire _T_3951 = _T_3946 | _T_3950; // @[el2_lsu_bus_buffer.scala 498:143] + wire _T_3954 = bus_rsp_write_error & _T_3923; // @[el2_lsu_bus_buffer.scala 500:53] + wire _T_3955 = _T_3951 | _T_3954; // @[el2_lsu_bus_buffer.scala 499:88] + wire _T_3956 = _T_3857 & _T_3955; // @[el2_lsu_bus_buffer.scala 498:68] + wire _GEN_198 = _T_3878 & _T_3956; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3844 ? _T_3871 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3840 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3817 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire [31:0] _T_3877 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3876; // @[el2_lsu_bus_buffer.scala 485:30] + wire _T_3882 = buf_write[2] & _T_3495; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3883 = io_dec_tlu_force_halt | _T_3882; // @[el2_lsu_bus_buffer.scala 488:55] + wire _T_3885 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_3886 = buf_dual_2 & _T_3885; // @[el2_lsu_bus_buffer.scala 489:28] + wire _T_3889 = _T_3886 & _T_3932; // @[el2_lsu_bus_buffer.scala 489:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3890 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_3891 = _T_3889 & _T_3890; // @[el2_lsu_bus_buffer.scala 489:61] + wire _T_3893 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:31] + wire _T_3899 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3901 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3903 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3905 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3907 = _T_3899 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3908 = _T_3901 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3909 = _T_3903 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3910 = _T_3905 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3911 = _T_3907 | _T_3908; // @[Mux.scala 27:72] + wire _T_3912 = _T_3911 | _T_3909; // @[Mux.scala 27:72] + wire _T_3913 = _T_3912 | _T_3910; // @[Mux.scala 27:72] + wire _T_3915 = _T_3889 & _T_3913; // @[el2_lsu_bus_buffer.scala 490:101] + wire _T_3916 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 490:167] + wire _T_3917 = _T_3915 & _T_3916; // @[el2_lsu_bus_buffer.scala 490:138] + wire _T_3918 = _T_3917 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:187] + wire _T_3919 = _T_3893 | _T_3918; // @[el2_lsu_bus_buffer.scala 490:53] + wire _T_3942 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3943 = _T_3942 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 497:62] + wire _T_3957 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 501:50] + wire _T_3958 = buf_state_en_2 & _T_3957; // @[el2_lsu_bus_buffer.scala 501:48] + wire [31:0] _T_3964 = _T_3958 ? _T_3876 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_3970 = buf_ldfwd[2] | _T_3975[0]; // @[el2_lsu_bus_buffer.scala 504:90] + wire _T_3971 = _T_3970 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 504:118] + wire _GEN_181 = _T_3991 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_3983 ? 1'h0 : _T_3991; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_3983 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_3965 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_3965 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3878 & _T_3943; // @[Conditional.scala 39:67] + wire [31:0] _GEN_199 = _T_3878 ? _T_3964 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3878 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3878 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3844 ? _T_3864 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3844 ? _T_3868 : _GEN_197; // @[Conditional.scala 39:67] + wire [31:0] _GEN_212 = _T_3844 ? _T_3877 : _GEN_199; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3844 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3840 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3840 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire [31:0] _GEN_224 = _T_3840 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3840 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3817 & buf_state_en_2; // @[Conditional.scala 40:58] + wire [31:0] _GEN_231 = _T_3817 ? _T_3839 : _GEN_224; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3817 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3817 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire [31:0] _T_4032 = _T_4025 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 469:30] + wire _T_4054 = buf_state_en_3 & _T_4125; // @[el2_lsu_bus_buffer.scala 481:44] + wire _T_4055 = _T_4054 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:60] + wire _T_4057 = _T_4055 & _T_1259; // @[el2_lsu_bus_buffer.scala 481:74] + wire _T_4060 = _T_4050 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 483:67] + wire _T_4061 = _T_4060 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 483:81] + wire _T_4064 = _T_4060 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 484:82] + wire [31:0] _T_4069 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_4139 = bus_rsp_read_error & _T_4118; // @[el2_lsu_bus_buffer.scala 498:91] + wire _T_4141 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_4143 = _T_4141 & _T_4120; // @[el2_lsu_bus_buffer.scala 499:46] + wire _T_4144 = _T_4139 | _T_4143; // @[el2_lsu_bus_buffer.scala 498:143] + wire _T_4147 = bus_rsp_write_error & _T_4116; // @[el2_lsu_bus_buffer.scala 500:53] + wire _T_4148 = _T_4144 | _T_4147; // @[el2_lsu_bus_buffer.scala 499:88] + wire _T_4149 = _T_4050 & _T_4148; // @[el2_lsu_bus_buffer.scala 498:68] + wire _GEN_274 = _T_4071 & _T_4149; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4037 ? _T_4064 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4033 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4010 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire [31:0] _T_4070 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4069; // @[el2_lsu_bus_buffer.scala 485:30] + wire _T_4075 = buf_write[3] & _T_3495; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_4076 = io_dec_tlu_force_halt | _T_4075; // @[el2_lsu_bus_buffer.scala 488:55] + wire _T_4078 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_4079 = buf_dual_3 & _T_4078; // @[el2_lsu_bus_buffer.scala 489:28] + wire _T_4082 = _T_4079 & _T_4125; // @[el2_lsu_bus_buffer.scala 489:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 489:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_4083 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 489:90] + wire _T_4084 = _T_4082 & _T_4083; // @[el2_lsu_bus_buffer.scala 489:61] + wire _T_4086 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:31] + wire _T_4092 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4094 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4096 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4098 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4100 = _T_4092 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4101 = _T_4094 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4102 = _T_4096 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4103 = _T_4098 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4104 = _T_4100 | _T_4101; // @[Mux.scala 27:72] + wire _T_4105 = _T_4104 | _T_4102; // @[Mux.scala 27:72] + wire _T_4106 = _T_4105 | _T_4103; // @[Mux.scala 27:72] + wire _T_4108 = _T_4082 & _T_4106; // @[el2_lsu_bus_buffer.scala 490:101] + wire _T_4109 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 490:167] + wire _T_4110 = _T_4108 & _T_4109; // @[el2_lsu_bus_buffer.scala 490:138] + wire _T_4111 = _T_4110 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 490:187] + wire _T_4112 = _T_4086 | _T_4111; // @[el2_lsu_bus_buffer.scala 490:53] + wire _T_4135 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_4136 = _T_4135 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 497:62] + wire _T_4150 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 501:50] + wire _T_4151 = buf_state_en_3 & _T_4150; // @[el2_lsu_bus_buffer.scala 501:48] + wire [31:0] _T_4157 = _T_4151 ? _T_4069 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_4163 = buf_ldfwd[3] | _T_4168[0]; // @[el2_lsu_bus_buffer.scala 504:90] + wire _T_4164 = _T_4163 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 504:118] + wire _GEN_257 = _T_4184 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4176 ? 1'h0 : _T_4184; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4176 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4158 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4158 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4071 & _T_4136; // @[Conditional.scala 39:67] + wire [31:0] _GEN_275 = _T_4071 ? _T_4157 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4071 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4071 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4037 ? _T_4057 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4037 ? _T_4061 : _GEN_273; // @[Conditional.scala 39:67] + wire [31:0] _GEN_288 = _T_4037 ? _T_4070 : _GEN_275; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4037 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4033 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4033 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire [31:0] _GEN_300 = _T_4033 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4033 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4010 & buf_state_en_3; // @[Conditional.scala 40:58] + wire [31:0] _GEN_307 = _T_4010 ? _T_4032 : _GEN_300; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4010 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4010 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4239; // @[Reg.scala 27:20] + reg _T_4242; // @[Reg.scala 27:20] + reg _T_4245; // @[Reg.scala 27:20] + reg _T_4248; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4248,_T_4245,_T_4242,_T_4239}; // @[Cat.scala 29:58] + wire [2:0] buf_byteen_in_0 = _T_3250[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 450:19] + wire [2:0] buf_byteen_in_1 = _T_3259[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 450:19] + wire [2:0] buf_byteen_in_2 = _T_3268[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 450:19] + wire [2:0] buf_byteen_in_3 = _T_3277[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 450:19] + reg _T_4314; // @[el2_lsu_bus_buffer.scala 540:82] + reg _T_4309; // @[el2_lsu_bus_buffer.scala 540:82] + reg _T_4304; // @[el2_lsu_bus_buffer.scala 540:82] + reg _T_4299; // @[el2_lsu_bus_buffer.scala 540:82] + wire [3:0] buf_error = {_T_4314,_T_4309,_T_4304,_T_4299}; // @[Cat.scala 29:58] + wire _T_4296 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 540:86] + wire _T_4297 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 540:128] + wire _T_4301 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 540:86] + wire _T_4302 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 540:128] + wire _T_4306 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 540:86] + wire _T_4307 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 540:128] + wire _T_4311 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 540:86] + wire _T_4312 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 540:128] + wire [1:0] _T_4322 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 542:96] + wire [1:0] _GEN_415 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 542:96] + wire [2:0] _T_4323 = _T_4322 + _GEN_415; // @[el2_lsu_bus_buffer.scala 542:96] + wire [2:0] _GEN_416 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 542:96] + wire [3:0] buf_numvld_any = _T_4323 + _GEN_416; // @[el2_lsu_bus_buffer.scala 542:96] + wire _T_4409 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 548:52] + wire _T_4410 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 548:92] + wire _T_4411 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 548:119] + wire _T_4413 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4414 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4415 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4416 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4417 = _T_4413 | _T_4414; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4418 = _T_4417 | _T_4415; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4419 = _T_4418 | _T_4416; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4420 = ~_T_4419; // @[el2_lsu_bus_buffer.scala 549:34] + wire _T_4422 = _T_4420 & _T_765; // @[el2_lsu_bus_buffer.scala 549:70] + wire _T_4425 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 551:51] + wire _T_4426 = _T_4425 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 551:72] + wire _T_4427 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 551:94] + wire _T_4428 = _T_4426 & _T_4427; // @[el2_lsu_bus_buffer.scala 551:92] + wire _T_4429 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 551:111] + wire _T_4431 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 554:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 638:66] + wire _T_4449 = _T_2702 & _T_1130; // @[Mux.scala 27:72] + wire _T_4450 = _T_2724 & _T_3739; // @[Mux.scala 27:72] + wire _T_4451 = _T_2746 & _T_3932; // @[Mux.scala 27:72] + wire _T_4452 = _T_2768 & _T_4125; // @[Mux.scala 27:72] + wire _T_4453 = _T_4449 | _T_4450; // @[Mux.scala 27:72] + wire _T_4454 = _T_4453 | _T_4451; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4454 | _T_4452; // @[Mux.scala 27:72] + wire _T_4460 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 557:108] + wire _T_4465 = buf_error[1] & _T_3739; // @[el2_lsu_bus_buffer.scala 557:108] + wire _T_4470 = buf_error[2] & _T_3932; // @[el2_lsu_bus_buffer.scala 557:108] + wire _T_4475 = buf_error[3] & _T_4125; // @[el2_lsu_bus_buffer.scala 557:108] + wire _T_4476 = _T_2702 & _T_4460; // @[Mux.scala 27:72] + wire _T_4477 = _T_2724 & _T_4465; // @[Mux.scala 27:72] + wire _T_4478 = _T_2746 & _T_4470; // @[Mux.scala 27:72] + wire _T_4479 = _T_2768 & _T_4475; // @[Mux.scala 27:72] + wire _T_4480 = _T_4476 | _T_4477; // @[Mux.scala 27:72] + wire _T_4481 = _T_4480 | _T_4478; // @[Mux.scala 27:72] + wire _T_4488 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 558:109] + wire _T_4489 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 558:124] + wire _T_4490 = _T_4488 | _T_4489; // @[el2_lsu_bus_buffer.scala 558:122] + wire _T_4491 = _T_4449 & _T_4490; // @[el2_lsu_bus_buffer.scala 558:106] + wire _T_4496 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 558:109] + wire _T_4497 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 558:124] + wire _T_4498 = _T_4496 | _T_4497; // @[el2_lsu_bus_buffer.scala 558:122] + wire _T_4499 = _T_4450 & _T_4498; // @[el2_lsu_bus_buffer.scala 558:106] + wire _T_4504 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 558:109] + wire _T_4505 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 558:124] + wire _T_4506 = _T_4504 | _T_4505; // @[el2_lsu_bus_buffer.scala 558:122] + wire _T_4507 = _T_4451 & _T_4506; // @[el2_lsu_bus_buffer.scala 558:106] + wire _T_4512 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 558:109] + wire _T_4513 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 558:124] + wire _T_4514 = _T_4512 | _T_4513; // @[el2_lsu_bus_buffer.scala 558:122] + wire _T_4515 = _T_4452 & _T_4514; // @[el2_lsu_bus_buffer.scala 558:106] + wire [1:0] _T_4518 = _T_4507 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4519 = _T_4515 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_417 = {{1'd0}, _T_4499}; // @[Mux.scala 27:72] + wire [1:0] _T_4521 = _GEN_417 | _T_4518; // @[Mux.scala 27:72] + wire [31:0] _T_4556 = _T_4491 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4557 = _T_4499 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4558 = _T_4507 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4559 = _T_4515 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] + wire [31:0] _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4561 | _T_4559; // @[Mux.scala 27:72] + wire _T_4567 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 560:120] + wire _T_4568 = _T_4449 & _T_4567; // @[el2_lsu_bus_buffer.scala 560:105] + wire _T_4573 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 560:120] + wire _T_4574 = _T_4450 & _T_4573; // @[el2_lsu_bus_buffer.scala 560:105] + wire _T_4579 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 560:120] + wire _T_4580 = _T_4451 & _T_4579; // @[el2_lsu_bus_buffer.scala 560:105] + wire _T_4585 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 560:120] + wire _T_4586 = _T_4452 & _T_4585; // @[el2_lsu_bus_buffer.scala 560:105] + wire [31:0] _T_4587 = _T_4568 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4588 = _T_4574 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4589 = _T_4580 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4590 = _T_4586 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4591 = _T_4587 | _T_4588; // @[Mux.scala 27:72] + wire [31:0] _T_4592 = _T_4591 | _T_4589; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4592 | _T_4590; // @[Mux.scala 27:72] + wire _T_4594 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4595 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4596 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4597 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4598 = _T_4594 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4599 = _T_4595 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4600 = _T_4596 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4601 = _T_4597 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4602 = _T_4598 | _T_4599; // @[Mux.scala 27:72] + wire [31:0] _T_4603 = _T_4602 | _T_4600; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_addr_offset = _T_4603 | _T_4601; // @[Mux.scala 27:72] + wire [1:0] _T_4609 = _T_4594 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4610 = _T_4595 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4611 = _T_4596 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4612 = _T_4597 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4613 = _T_4609 | _T_4610; // @[Mux.scala 27:72] + wire [1:0] _T_4614 = _T_4613 | _T_4611; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4614 | _T_4612; // @[Mux.scala 27:72] + wire _T_4624 = _T_4594 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4625 = _T_4595 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4626 = _T_4596 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4627 = _T_4597 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4628 = _T_4624 | _T_4625; // @[Mux.scala 27:72] + wire _T_4629 = _T_4628 | _T_4626; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4629 | _T_4627; // @[Mux.scala 27:72] + wire [63:0] _T_4649 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [35:0] _T_4650 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 565:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4649 >> _T_4650; // @[el2_lsu_bus_buffer.scala 565:92] + wire _T_4651 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 566:69] + wire _T_4653 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 567:81] + wire _T_4654 = lsu_nonblock_unsign & _T_4653; // @[el2_lsu_bus_buffer.scala 567:63] + wire [31:0] _T_4656 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4657 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 568:45] + wire _T_4658 = lsu_nonblock_unsign & _T_4657; // @[el2_lsu_bus_buffer.scala 568:26] + wire [31:0] _T_4660 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4661 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 569:6] + wire _T_4663 = _T_4661 & _T_4653; // @[el2_lsu_bus_buffer.scala 569:27] + wire [23:0] _T_4666 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4668 = {_T_4666,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4671 = _T_4661 & _T_4657; // @[el2_lsu_bus_buffer.scala 570:27] + wire [15:0] _T_4674 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4676 = {_T_4674,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4677 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 571:21] + wire [31:0] _T_4678 = _T_4654 ? _T_4656 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4658 ? _T_4660 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4663 ? _T_4668 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4671 ? _T_4676 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4682 = _T_4677 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4683 = _T_4678 | _T_4679; // @[Mux.scala 27:72] + wire [31:0] _T_4684 = _T_4683 | _T_4680; // @[Mux.scala 27:72] + wire [31:0] _T_4685 = _T_4684 | _T_4681; // @[Mux.scala 27:72] + wire [63:0] _GEN_418 = {{32'd0}, _T_4685}; // @[Mux.scala 27:72] + wire [63:0] _T_4686 = _GEN_418 | _T_4682; // @[Mux.scala 27:72] + wire _T_4781 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 589:36] + wire _T_4782 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 589:51] + wire _T_4783 = _T_4781 & _T_4782; // @[el2_lsu_bus_buffer.scala 589:49] + wire [31:0] _T_4787 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4789 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4794 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 601:50] + wire _T_4795 = _T_4781 & _T_4794; // @[el2_lsu_bus_buffer.scala 601:48] + wire [7:0] _T_4799 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4802 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 606:36] + wire _T_4804 = _T_4802 & _T_1275; // @[el2_lsu_bus_buffer.scala 606:50] + wire _T_4816 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 619:114] + wire _T_4818 = _T_4816 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 619:129] + wire _T_4821 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 619:114] + wire _T_4823 = _T_4821 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 619:129] + wire _T_4826 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 619:114] + wire _T_4828 = _T_4826 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 619:129] + wire _T_4831 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 619:114] + wire _T_4833 = _T_4831 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 619:129] + wire _T_4834 = _T_2702 & _T_4818; // @[Mux.scala 27:72] + wire _T_4835 = _T_2724 & _T_4823; // @[Mux.scala 27:72] + wire _T_4836 = _T_2746 & _T_4828; // @[Mux.scala 27:72] + wire _T_4837 = _T_2768 & _T_4833; // @[Mux.scala 27:72] + wire _T_4838 = _T_4834 | _T_4835; // @[Mux.scala 27:72] + wire _T_4839 = _T_4838 | _T_4836; // @[Mux.scala 27:72] + wire _T_4849 = _T_2724 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 620:98] + wire lsu_imprecise_error_store_tag = _T_4849 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 620:113] + wire _T_4855 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 622:72] + wire _T_4857 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4859 = _T_4857 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4860 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4861 = _T_4859 | _T_4860; // @[Mux.scala 27:72] + wire _T_4878 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 629:68] + wire _T_4881 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 630:48] + wire _T_4884 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 633:48] + wire _T_4885 = io_lsu_axi_awvalid & _T_4884; // @[el2_lsu_bus_buffer.scala 633:46] + wire _T_4886 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 633:92] + wire _T_4887 = io_lsu_axi_wvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 633:90] + wire _T_4888 = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 633:69] + wire _T_4889 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 633:136] + wire _T_4890 = io_lsu_axi_arvalid & _T_4889; // @[el2_lsu_bus_buffer.scala 633:134] + wire _T_4894 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 637:75] + wire _T_4895 = io_lsu_busreq_m & _T_4894; // @[el2_lsu_bus_buffer.scala 637:73] + reg _T_4898; // @[el2_lsu_bus_buffer.scala 637:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2580,59 +2602,59 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4847; // @[el2_lsu_bus_buffer.scala 632:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 542:30] - assign io_lsu_bus_buffer_full_any = _T_4358 ? _T_4359 : _T_4360; // @[el2_lsu_bus_buffer.scala 543:30] - assign io_lsu_bus_buffer_empty_any = _T_4371 & _T_1157; // @[el2_lsu_bus_buffer.scala 544:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 621:23] + assign io_lsu_busreq_r = _T_4898; // @[el2_lsu_bus_buffer.scala 637:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 547:30] + assign io_lsu_bus_buffer_full_any = _T_4409 ? _T_4410 : _T_4411; // @[el2_lsu_bus_buffer.scala 548:30] + assign io_lsu_bus_buffer_empty_any = _T_4422 & _T_1157; // @[el2_lsu_bus_buffer.scala 549:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 626:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4804; // @[el2_lsu_bus_buffer.scala 617:35] - assign io_lsu_imprecise_error_store_any = _T_4788 | _T_4786; // @[el2_lsu_bus_buffer.scala 614:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4810 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 618:35] - assign io_lsu_nonblock_load_valid_m = _T_4377 & _T_4378; // @[el2_lsu_bus_buffer.scala 546:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 547:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4380; // @[el2_lsu_bus_buffer.scala 549:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 550:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4600; // @[el2_lsu_bus_buffer.scala 561:35] - assign io_lsu_nonblock_load_data_error = _T_4430 | _T_4428; // @[el2_lsu_bus_buffer.scala 552:35] - assign io_lsu_nonblock_load_data_tag = _T_4470 | _T_4468; // @[el2_lsu_bus_buffer.scala 553:33] - assign io_lsu_nonblock_load_data = _T_4635[31:0]; // @[el2_lsu_bus_buffer.scala 562:29] - assign io_lsu_pmu_bus_trxn = _T_4827 | _T_4722; // @[el2_lsu_bus_buffer.scala 624:23] - assign io_lsu_pmu_bus_misaligned = _T_4830 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 625:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 626:24] - assign io_lsu_pmu_bus_busy = _T_4837 | _T_4839; // @[el2_lsu_bus_buffer.scala 628:23] - assign io_lsu_axi_awvalid = _T_4732 & _T_1165; // @[el2_lsu_bus_buffer.scala 584:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 585:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 586:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 590:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 591:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4738 : 3'h2; // @[el2_lsu_bus_buffer.scala 587:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 592:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 594:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 589:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 588:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 593:20] - assign io_lsu_axi_wvalid = _T_4744 & _T_1165; // @[el2_lsu_bus_buffer.scala 596:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 598:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4748; // @[el2_lsu_bus_buffer.scala 597:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 599:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 612:21] - assign io_lsu_axi_arvalid = _T_4753 & _T_1165; // @[el2_lsu_bus_buffer.scala 601:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 602:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 603:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 607:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 608:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4738 : 3'h3; // @[el2_lsu_bus_buffer.scala 604:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 609:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 611:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 606:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 605:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 610:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 613:21] - assign io_test = CmdPtr0Dec[0] ? 2'h0 : _T_1983; // @[el2_lsu_bus_buffer.scala 410:11] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4855; // @[el2_lsu_bus_buffer.scala 622:35] + assign io_lsu_imprecise_error_store_any = _T_4839 | _T_4837; // @[el2_lsu_bus_buffer.scala 619:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4861 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 623:35] + assign io_lsu_nonblock_load_valid_m = _T_4428 & _T_4429; // @[el2_lsu_bus_buffer.scala 551:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 552:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4431; // @[el2_lsu_bus_buffer.scala 554:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 555:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4651; // @[el2_lsu_bus_buffer.scala 566:35] + assign io_lsu_nonblock_load_data_error = _T_4481 | _T_4479; // @[el2_lsu_bus_buffer.scala 557:35] + assign io_lsu_nonblock_load_data_tag = _T_4521 | _T_4519; // @[el2_lsu_bus_buffer.scala 558:33] + assign io_lsu_nonblock_load_data = _T_4686[31:0]; // @[el2_lsu_bus_buffer.scala 567:29] + assign io_lsu_pmu_bus_trxn = _T_4878 | _T_4773; // @[el2_lsu_bus_buffer.scala 629:23] + assign io_lsu_pmu_bus_misaligned = _T_4881 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 630:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 631:24] + assign io_lsu_pmu_bus_busy = _T_4888 | _T_4890; // @[el2_lsu_bus_buffer.scala 633:23] + assign io_lsu_axi_awvalid = _T_4783 & _T_1165; // @[el2_lsu_bus_buffer.scala 589:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 590:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4787; // @[el2_lsu_bus_buffer.scala 591:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 595:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 596:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4789 : 3'h2; // @[el2_lsu_bus_buffer.scala 592:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 597:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 599:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 594:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 593:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 598:20] + assign io_lsu_axi_wvalid = _T_4795 & _T_1165; // @[el2_lsu_bus_buffer.scala 601:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 603:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4799; // @[el2_lsu_bus_buffer.scala 602:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 604:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 617:21] + assign io_lsu_axi_arvalid = _T_4804 & _T_1165; // @[el2_lsu_bus_buffer.scala 606:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 607:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4787; // @[el2_lsu_bus_buffer.scala 608:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 612:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 613:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4789 : 3'h3; // @[el2_lsu_bus_buffer.scala 609:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 614:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 616:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 611:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 610:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 615:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 618:21] + assign io_test = {_T_2002,_T_2001}; // @[el2_lsu_bus_buffer.scala 415:11] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] @@ -2646,28 +2668,28 @@ module el2_lsu_bus_buffer( assign rvclkhdr_3_io_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3380 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3431 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3573 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3624 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3766 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3817 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_3959 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4010 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3380 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3431 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3573 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3624 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3766 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3817 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_3959 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4010 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2707,13 +2729,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4212 = _RAND_1[0:0]; + _T_4263 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4209 = _RAND_2[0:0]; + _T_4260 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4206 = _RAND_3[0:0]; + _T_4257 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4203 = _RAND_4[0:0]; + _T_4254 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2729,13 +2751,13 @@ initial begin _RAND_11 = {1{`RANDOM}}; buf_state_3 = _RAND_11[2:0]; _RAND_12 = {1{`RANDOM}}; - _T_4239 = _RAND_12[2:0]; + _T_4290 = _RAND_12[2:0]; _RAND_13 = {1{`RANDOM}}; - _T_4237 = _RAND_13[2:0]; + _T_4288 = _RAND_13[2:0]; _RAND_14 = {1{`RANDOM}}; - _T_4235 = _RAND_14[2:0]; + _T_4286 = _RAND_14[2:0]; _RAND_15 = {1{`RANDOM}}; - _T_4233 = _RAND_15[2:0]; + _T_4284 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; @@ -2763,13 +2785,13 @@ initial begin _RAND_28 = {1{`RANDOM}}; buf_ageQ_0 = _RAND_28[3:0]; _RAND_29 = {1{`RANDOM}}; - _T_4240 = _RAND_29[3:0]; + _T_4291 = _RAND_29[3:0]; _RAND_30 = {1{`RANDOM}}; - _T_4241 = _RAND_30[3:0]; + _T_4292 = _RAND_30[3:0]; _RAND_31 = {1{`RANDOM}}; - _T_4242 = _RAND_31[3:0]; + _T_4293 = _RAND_31[3:0]; _RAND_32 = {1{`RANDOM}}; - _T_4243 = _RAND_32[3:0]; + _T_4294 = _RAND_32[3:0]; _RAND_33 = {1{`RANDOM}}; ibuf_timer = _RAND_33[2:0]; _RAND_34 = {1{`RANDOM}}; @@ -2805,13 +2827,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4182 = _RAND_50[0:0]; + _T_4233 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4179 = _RAND_51[0:0]; + _T_4230 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4176 = _RAND_52[0:0]; + _T_4227 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4173 = _RAND_53[0:0]; + _T_4224 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -2875,13 +2897,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4159 = _RAND_85[0:0]; + _T_4210 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4157 = _RAND_86[0:0]; + _T_4208 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4155 = _RAND_87[0:0]; + _T_4206 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4153 = _RAND_88[0:0]; + _T_4204 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -2899,40 +2921,40 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4188 = _RAND_97[0:0]; + _T_4239 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4191 = _RAND_98[0:0]; + _T_4242 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4194 = _RAND_99[0:0]; + _T_4245 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4197 = _RAND_100[0:0]; + _T_4248 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4263 = _RAND_101[0:0]; + _T_4314 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4258 = _RAND_102[0:0]; + _T_4309 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4253 = _RAND_103[0:0]; + _T_4304 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4248 = _RAND_104[0:0]; + _T_4299 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4847 = _RAND_106[0:0]; + _T_4898 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4212 = 1'h0; + _T_4263 = 1'h0; end if (reset) begin - _T_4209 = 1'h0; + _T_4260 = 1'h0; end if (reset) begin - _T_4206 = 1'h0; + _T_4257 = 1'h0; end if (reset) begin - _T_4203 = 1'h0; + _T_4254 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -2956,16 +2978,16 @@ initial begin buf_state_3 = 3'h0; end if (reset) begin - _T_4239 = 3'h0; + _T_4290 = 3'h0; end if (reset) begin - _T_4237 = 3'h0; + _T_4288 = 3'h0; end if (reset) begin - _T_4235 = 3'h0; + _T_4286 = 3'h0; end if (reset) begin - _T_4233 = 3'h0; + _T_4284 = 3'h0; end if (reset) begin buf_ageQ_3 = 4'h0; @@ -3007,16 +3029,16 @@ initial begin buf_ageQ_0 = 4'h0; end if (reset) begin - _T_4240 = 4'h0; + _T_4291 = 4'h0; end if (reset) begin - _T_4241 = 4'h0; + _T_4292 = 4'h0; end if (reset) begin - _T_4242 = 4'h0; + _T_4293 = 4'h0; end if (reset) begin - _T_4243 = 4'h0; + _T_4294 = 4'h0; end if (reset) begin ibuf_timer = 3'h0; @@ -3070,16 +3092,16 @@ initial begin buf_nomerge_3 = 1'h0; end if (reset) begin - _T_4182 = 1'h0; + _T_4233 = 1'h0; end if (reset) begin - _T_4179 = 1'h0; + _T_4230 = 1'h0; end if (reset) begin - _T_4176 = 1'h0; + _T_4227 = 1'h0; end if (reset) begin - _T_4173 = 1'h0; + _T_4224 = 1'h0; end if (reset) begin buf_dual_3 = 1'h0; @@ -3175,16 +3197,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4159 = 1'h0; + _T_4210 = 1'h0; end if (reset) begin - _T_4157 = 1'h0; + _T_4208 = 1'h0; end if (reset) begin - _T_4155 = 1'h0; + _T_4206 = 1'h0; end if (reset) begin - _T_4153 = 1'h0; + _T_4204 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3211,34 +3233,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4188 = 1'h0; + _T_4239 = 1'h0; end if (reset) begin - _T_4191 = 1'h0; + _T_4242 = 1'h0; end if (reset) begin - _T_4194 = 1'h0; - end - if (reset) begin - _T_4197 = 1'h0; - end - if (reset) begin - _T_4263 = 1'h0; - end - if (reset) begin - _T_4258 = 1'h0; - end - if (reset) begin - _T_4253 = 1'h0; + _T_4245 = 1'h0; end if (reset) begin _T_4248 = 1'h0; end + if (reset) begin + _T_4314 = 1'h0; + end + if (reset) begin + _T_4309 = 1'h0; + end + if (reset) begin + _T_4304 = 1'h0; + end + if (reset) begin + _T_4299 = 1'h0; + end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4847 = 1'h0; + _T_4898 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3251,7 +3273,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3195) begin + end else if (_T_3246) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3259,75 +3281,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4212 <= 1'h0; + _T_4263 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4212 <= buf_write_in[3]; + _T_4263 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4209 <= 1'h0; + _T_4260 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4209 <= buf_write_in[2]; + _T_4260 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4206 <= 1'h0; + _T_4257 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4206 <= buf_write_in[1]; + _T_4257 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4203 <= 1'h0; + _T_4254 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4203 <= buf_write_in[0]; + _T_4254 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3380) begin + if (_T_3431) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3403) begin + end else if (_T_3454) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3407) begin + end else if (_T_3458) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3411) begin + end else if (_T_3462) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3441) begin - if (_T_3446) begin + end else if (_T_3492) begin + if (_T_3497) begin buf_state_0 <= 3'h0; - end else if (_T_3454) begin + end else if (_T_3505) begin buf_state_0 <= 3'h4; - end else if (_T_3482) begin + end else if (_T_3533) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3528) begin + end else if (_T_3579) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3534) begin + end else if (_T_3585) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3546) begin + end else if (_T_3597) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3343,7 +3365,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3204) begin + end else if (_T_3255) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3353,45 +3375,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3573) begin + if (_T_3624) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3596) begin + end else if (_T_3647) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3600) begin + end else if (_T_3651) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3411) begin + end else if (_T_3462) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3634) begin - if (_T_3639) begin + end else if (_T_3685) begin + if (_T_3690) begin buf_state_1 <= 3'h0; - end else if (_T_3647) begin + end else if (_T_3698) begin buf_state_1 <= 3'h4; - end else if (_T_3675) begin + end else if (_T_3726) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3721) begin + end else if (_T_3772) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3727) begin + end else if (_T_3778) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3739) begin + end else if (_T_3790) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3407,7 +3429,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3213) begin + end else if (_T_3264) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3417,45 +3439,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3766) begin + if (_T_3817) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3789) begin + end else if (_T_3840) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3793) begin + end else if (_T_3844) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3411) begin + end else if (_T_3462) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3827) begin - if (_T_3832) begin + end else if (_T_3878) begin + if (_T_3883) begin buf_state_2 <= 3'h0; - end else if (_T_3840) begin + end else if (_T_3891) begin buf_state_2 <= 3'h4; - end else if (_T_3868) begin + end else if (_T_3919) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3914) begin + end else if (_T_3965) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3920) begin + end else if (_T_3971) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3932) begin + end else if (_T_3983) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3471,7 +3493,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3222) begin + end else if (_T_3273) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3481,45 +3503,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_3959) begin + if (_T_4010) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_3982) begin + end else if (_T_4033) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_3986) begin + end else if (_T_4037) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3411) begin + end else if (_T_3462) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4020) begin - if (_T_4025) begin + end else if (_T_4071) begin + if (_T_4076) begin buf_state_3 <= 3'h0; - end else if (_T_4033) begin + end else if (_T_4084) begin buf_state_3 <= 3'h4; - end else if (_T_4061) begin + end else if (_T_4112) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4107) begin + end else if (_T_4158) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4113) begin + end else if (_T_4164) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4125) begin + end else if (_T_4176) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3532,37 +3554,37 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4239 <= 3'h0; + _T_4290 <= 3'h0; end else if (buf_wr_en_3) begin - _T_4239 <= buf_byteen_in_3; + _T_4290 <= buf_byteen_in_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4237 <= 3'h0; + _T_4288 <= 3'h0; end else if (buf_wr_en_2) begin - _T_4237 <= buf_byteen_in_2; + _T_4288 <= buf_byteen_in_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4235 <= 3'h0; + _T_4286 <= 3'h0; end else if (buf_wr_en_1) begin - _T_4235 <= buf_byteen_in_1; + _T_4286 <= buf_byteen_in_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4233 <= 3'h0; + _T_4284 <= 3'h0; end else if (buf_wr_en_0) begin - _T_4233 <= buf_byteen_in_0; + _T_4284 <= buf_byteen_in_0; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2403,_T_2326}; + buf_ageQ_3 <= {_T_2454,_T_2377}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin @@ -3648,49 +3670,49 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2301,_T_2224}; + buf_ageQ_2 <= {_T_2352,_T_2275}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2199,_T_2122}; + buf_ageQ_1 <= {_T_2250,_T_2173}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2097,_T_2020}; + buf_ageQ_0 <= {_T_2148,_T_2071}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - _T_4240 <= 4'h0; + _T_4291 <= 4'h0; end else begin - _T_4240 <= _GEN_79[3:0]; + _T_4291 <= _GEN_79[3:0]; end end always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin - _T_4241 <= 4'h0; + _T_4292 <= 4'h0; end else begin - _T_4241 <= _GEN_155[3:0]; + _T_4292 <= _GEN_155[3:0]; end end always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin - _T_4242 <= 4'h0; + _T_4293 <= 4'h0; end else begin - _T_4242 <= _GEN_231[3:0]; + _T_4293 <= _GEN_231[3:0]; end end always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin - _T_4243 <= 4'h0; + _T_4294 <= 4'h0; end else begin - _T_4243 <= _GEN_307[3:0]; + _T_4294 <= _GEN_307[3:0]; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin @@ -3840,30 +3862,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4182 <= 1'h0; + _T_4233 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4182 <= buf_sideeffect_in[3]; + _T_4233 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4179 <= 1'h0; + _T_4230 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4179 <= buf_sideeffect_in[2]; + _T_4230 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4176 <= 1'h0; + _T_4227 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4176 <= buf_sideeffect_in[1]; + _T_4227 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4173 <= 1'h0; + _T_4224 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4173 <= buf_sideeffect_in[0]; + _T_4224 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -3937,14 +3959,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1231 & _T_4719; + obuf_cmd_done <= _T_1231 & _T_4770; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1231 & _T_4720; + obuf_data_done <= _T_1231 & _T_4771; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4089,79 +4111,79 @@ end // initial if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3025,_T_3014}; + buf_rspageQ_0 <= {_T_3076,_T_3065}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3040,_T_3029}; + buf_rspageQ_1 <= {_T_3091,_T_3080}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3055,_T_3044}; + buf_rspageQ_2 <= {_T_3106,_T_3095}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3070,_T_3059}; + buf_rspageQ_3 <= {_T_3121,_T_3110}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4159 <= 1'h0; + _T_4210 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_3959) begin - _T_4159 <= 1'h0; - end else if (_T_3982) begin - _T_4159 <= 1'h0; + if (_T_4010) begin + _T_4210 <= 1'h0; + end else if (_T_4033) begin + _T_4210 <= 1'h0; end else begin - _T_4159 <= _T_3986; + _T_4210 <= _T_4037; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4157 <= 1'h0; + _T_4208 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3766) begin - _T_4157 <= 1'h0; - end else if (_T_3789) begin - _T_4157 <= 1'h0; + if (_T_3817) begin + _T_4208 <= 1'h0; + end else if (_T_3840) begin + _T_4208 <= 1'h0; end else begin - _T_4157 <= _T_3793; + _T_4208 <= _T_3844; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4155 <= 1'h0; + _T_4206 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3573) begin - _T_4155 <= 1'h0; - end else if (_T_3596) begin - _T_4155 <= 1'h0; + if (_T_3624) begin + _T_4206 <= 1'h0; + end else if (_T_3647) begin + _T_4206 <= 1'h0; end else begin - _T_4155 <= _T_3600; + _T_4206 <= _T_3651; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4153 <= 1'h0; + _T_4204 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3380) begin - _T_4153 <= 1'h0; - end else if (_T_3403) begin - _T_4153 <= 1'h0; + if (_T_3431) begin + _T_4204 <= 1'h0; + end else if (_T_3454) begin + _T_4204 <= 1'h0; end else begin - _T_4153 <= _T_3407; + _T_4204 <= _T_3458; end end end @@ -4169,11 +4191,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3380) begin + if (_T_3431) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3403) begin + end else if (_T_3454) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3407) begin + end else if (_T_3458) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4186,7 +4208,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3195) begin + end else if (_T_3246) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4197,11 +4219,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_3959) begin + if (_T_4010) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_3982) begin + end else if (_T_4033) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_3986) begin + end else if (_T_4037) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4212,11 +4234,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3766) begin + if (_T_3817) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3789) begin + end else if (_T_3840) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3793) begin + end else if (_T_3844) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4227,11 +4249,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3573) begin + if (_T_3624) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3596) begin + end else if (_T_3647) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3600) begin + end else if (_T_3651) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4244,7 +4266,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3204) begin + end else if (_T_3255) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4257,7 +4279,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3213) begin + end else if (_T_3264) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4270,7 +4292,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3222) begin + end else if (_T_3273) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4279,58 +4301,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4188 <= 1'h0; + _T_4239 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4188 <= buf_unsign_in[0]; + _T_4239 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4191 <= 1'h0; + _T_4242 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4191 <= buf_unsign_in[1]; + _T_4242 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4194 <= 1'h0; + _T_4245 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4194 <= buf_unsign_in[2]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4197 <= 1'h0; - end else if (buf_wr_en_3) begin - _T_4197 <= buf_unsign_in[3]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4263 <= 1'h0; - end else begin - _T_4263 <= _T_4260 & _T_4261; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4258 <= 1'h0; - end else begin - _T_4258 <= _T_4255 & _T_4256; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4253 <= 1'h0; - end else begin - _T_4253 <= _T_4250 & _T_4251; + _T_4245 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4248 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4248 <= buf_unsign_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4314 <= 1'h0; end else begin - _T_4248 <= _T_4245 & _T_4246; + _T_4314 <= _T_4311 & _T_4312; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4309 <= 1'h0; + end else begin + _T_4309 <= _T_4306 & _T_4307; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4304 <= 1'h0; + end else begin + _T_4304 <= _T_4301 & _T_4302; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4299 <= 1'h0; + end else begin + _T_4299 <= _T_4296 & _T_4297; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4342,9 +4364,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4847 <= 1'h0; + _T_4898 <= 1'h0; end else begin - _T_4847 <= _T_4844 & _T_4378; + _T_4898 <= _T_4895 & _T_4429; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 2a261d26..9a25dc9e 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -398,18 +398,23 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { //io.test := WrPtr1_m val buf_age = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_age := buf_age.map(i=> 0.U) - val CmdPtr0Dec = (0 until DEPTH).map(i=> (!(buf_age(i).orR) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) - val CmdPtr1Dec = (0 until DEPTH).map(i=> (!((buf_age(i) & (~CmdPtr0Dec)).orR) & !CmdPtr0Dec(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) + val CmdPtr0Dec = WireInit(UInt(8.W), 0.U) + val CmdPtr1Dec = WireInit(UInt(8.W), 0.U) + CmdPtr0Dec := (0 until DEPTH).map(i=> (!(buf_age(i).orR) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) + CmdPtr1Dec := (0 until DEPTH).map(i=> (!((buf_age(i) & (~CmdPtr0Dec)).orR) & !CmdPtr0Dec(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) val buf_rsp_pickage = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_rsp_pickage := buf_rsp_pickage.map(i=> 0.U) - val RspPtrDec = (0 until DEPTH).map(i=> (!(buf_rsp_pickage(i).orR) & (buf_state(i)===done_wait_C)).asUInt).reverse.reduce(Cat(_,_)) + val RspPtrDec = WireInit(UInt(8.W), 0.U) + RspPtrDec := (0 until DEPTH).map(i=> (!(buf_rsp_pickage(i).orR) & (buf_state(i)===done_wait_C)).asUInt).reverse.reduce(Cat(_,_)) found_cmdptr0 := CmdPtr0Dec.orR found_cmdptr1 := CmdPtr1Dec.orR - val CmdPtr0 = PriorityEncoder(CmdPtr0Dec) + def Enc8x3(in: UInt) : UInt = Cat(in(4)|in(5)|in(6)|in(7), in(2)|in(3)|in(6)|in(7), in(1)|in(3)|in(5)|in(7)) + + val CmdPtr0 = Enc8x3(CmdPtr0Dec) io.test := CmdPtr0 - val CmdPtr1 = PriorityEncoder(CmdPtr1Dec) - val RspPtr = PriorityEncoder(RspPtrDec) + val CmdPtr1 = Enc8x3(CmdPtr1Dec) + val RspPtr = Enc8x3(RspPtrDec) val buf_state_en = Wire(Vec(DEPTH, Bool())) buf_state_en := buf_state_en.map(i=> false.B) val buf_rspageQ = Wire(Vec(DEPTH, UInt(DEPTH.W))) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 07ffed62e19f3cb6c52b0e3476fbabf565815ee3..2a4d8961fe9650f387b3805bfcada993792409c8 100644 GIT binary patch delta 110 zcmcaFcVBM9Jr+ju&G%U>*%%uqOLE%+$pT*S$$8wKP}X^FDJ8vvr*B1HfI delta 110 zcmcaFcVBM9Jr+j8&G%U>*%)gkOLE%+$pT*S$$8wKP}X^FDn5M&4dtw5;9#m_&}XWdtj$*elv%>J8vv8qA^89R diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 1889ab59f9a26aa2b95079bd30be5706435f54dd..8a5b90f9169fdb79ea2f51ea9b2d58170a39ee58 100644 GIT binary patch delta 19 Zcmey$`jvG<1QTQ9eTJJKmVT}{V~h34sRV1R>R!#N?U#|oyFhmNe+J^G8xv59P#p#u~eAEgHtH}`=%P*}IO8Lz4qlKxl{Bph=wW@`c zoGVPS_QGV;iVCZ-vZzDLQ@OdEuyzb7qOFvlnafX=+s27KUYK3Tl~+pnK4Dc)J}T#z z#mWDSh?a@jTz`K{G#ctiC8}f5)lept=|7p;)zlOhlOhpr+7}O3S2c!2GS%OI3jDzY z^RFksZ)N_))b@Sxh!_ybJ^f29J2#7XcwZqhP#w7^QZ=caA~M&K8BJ{vEuoG33Q_PM zYy44-|A|*?38|Eqp(^Al8rAqQji0Kw<-^g442eW4mr(`AQQ#()tB$~s(Tyb6a#Vod zsPR*qJbtsrAJzEJYW&n@Prg;-k81qA8b8(G$sf@8qZ+@R`H}R_%xK3JlHV7n20Nnh zmo$E_rl+IP(|xNB4a&Wx@kcfO9h*;frnY-_PHXx{ z6`$Mrt}egBlb_S&6`$o7b@^vJ`Lf0z)%Xu>{)@0@^jRf;eFNlR~tM+F;by)PArYDskdDb(e=^0ggH87$tX#7;t(|<|R zGphKk=bFY(Nl*VRjX$dK?`Zr~jVC|Nd>W{^QN?F_?&|Wjp8TA~AJzDanx0ghXMb6j zSA4erp~h#A%6!|SDx9!KWxnH4qaiX>dCra|c*LmfITfEhC-bE@!g8bRQON^EH!z=p zxY4Va?O7SPiqC+sJ*(ogXJx+aSy^6rR_5EDmF1NOWxnQFbDC$3+MZQ)0DD&M0L`=J zG|w8fJuB;19+dST*6KB?_}p+k8b9TE(C9IZKdSLxrSVgqXN{iH_@f&CoW@UW_1bet zJv!@Go}1-ukIwQM-}dM%uRJ%) z+a8_eHNNf989&N%vz@j_XL-eEJ+?<@{mP>=|FK?Q#dm=2B`mS0R|zrO(^J7q?CF_r zdwRuZPtSbY)3dzt^vt(CJE&LG0oF2jcR<`)3dzt=&axN z^sHawYo2~d^Yk&>)3dxc1H^1k&-y)&9&`L?HLdF9cWuX*|<&C|zhPtW#y9zAAzde*P_tjG5BtY58> znQwb~me=@>r&kSOubovxRPn&z2I94|;@dL-%d@9fe0v7q0u-MMwr2n~$n*3uZ3bB4 zwKdCYGr*EI1H`l$V2Rh(EdQ+Mv6gsk&3t!$)v%Eb6u)N0CW`L!X zHv`198DL490b=$HKr_q|&j4zMiD@&yk~RaxUZvZwJU!cE&j4(X#@A+mC2aYZhre}F=23SgY zo<3&J06c)bHGRyU0l2?BPao4}fF)kjvwm#`SW0;_KuntfmUyktj>cK*Q^BHMt?5-l z+@1lbU@@lns(`pX11NsI^7PEtW&knf%>Z$21`ze0r;lqhfEd&G_6)%Km8WO@+6*AZ zycr;_%>bg_^Yn3T1`uN!Uz-6$y*C5IwHZK+X?$%45cQs?kJ~c6<>^^on*qd_Hv`1A89>x~o<6S40AftzYcqhT_hx{&Jp;tA zpx;Ys?VdS`=tF#x*UE~YR%>+T&v&md zd;Ehs|E$M9&iu{!81xJhzh&|y^bFhl(-H75DE`hS@F#Tsu*aX*`4>I@%Q_#6D(F{w zY9h-1rs`_wA18ihvW4tt{$^F~Wl#Pf^SQoPiO=;t&itC=Wao9_=UNVu{W|}q$Dh#k zOn7?cb$-_4zpV3f9=|54wf~gI-_CrtCr^B~=b+A?_4vniJq1tCu+G14^TWF~Pw$y+ z>@MGbvhiwhqJ8TA*27naw@1R!WTHdd>U|IuO(l`(-yOZU^-;7sTs2ZtJss-r-y7{N zWpXW>tFCpWIy#b(>gfKhM|X*;Bt?r-hFRk2idu+>P~egHPYNSlkb&h55dlc z+Jn0?k-d{Qcb8Y^M|+BehEwO-HukJsIDdG{{PoVz!K3o(p=~{PqbJH|+qNEG8SIkX z!xKHbrbMEp`(F>iqT+6x@RWF%A0bTuEh+u z&!vs2rosEU#&Au1|J~ZH-7~3uO@;fpy#t|*)g4P$L}Ip9&MsW*UL0&VuoHNp`W)`K zT3sEzzwOZ(5gy2%n2z=j^iM=jZlow_ReNNq|KN@EokIG+!vnDI!uj%+np^GjgIkZC zn{VvAHyhb>_R!YqWb~zNkIs{ys5#!y66>5f`{cQEhiY=9Ync3Q**@YYJ z`SB6Cr{-2?d~;28_tGX6&3&{#lgeMHeSD_wR^Of3-K|#-ZaqAEp|b%vTcny(eFr8`fae|)6o))BO4R}}5p6@6w1{nb@Q z9YpkdCft$hZo7?fI5M|7*VH|SejO}&{kmzep?OtOIX8g2ZJl@Wkz)@f^~=p>r(YUd z!Ze=4J+-&n7xu@S;u|n-%eDDy!2L^`<4s4~GgbE{YNxN(-RQ)4neQ2WCREGg1$z5$ zoh>%@q5d!TIQ`a^siyizirf0`uq1&QNHxYWI%(;Q7Z_4n;!MF~Vo=a8NYlXU%R6^8GojU?MrP=Wd=HngHMuYYqs{@xk>s4jQV!hg(KCCHH?FJGTyRt zYft`Ur1k9ZMEi1TU(5ALZkNnxM%2uXJ%)d1&ku~r)uHVu@1$KGaGI;?Sv-0B@t(RH z?F$#qAGJpKNQaz0o-{y!AkL4RERKJ?H7&($U&oce_1*KQg^a z_=rchI{0YFg}d`;uQLa4cg&wWa;haXS?}QQTKHh@?ELn=JA+r`*#%YZ!A@?cmVGUm z`265V>%*bz@DB~;Rq_*@f0LL0xWez`((cC%^E-NH2I_}m{o#S(lf#I#|<<-KJjYX z065BwG5`+f;zVcZ z26(u+^Ump+)@$>PUH1xDkX*dcKA)w5IPN)!T^+5f3V=@rw!pz*!SXnAXd3|DZBI;a zFfG;H`IDHqYHoC_4Cs0;d3v5BJvWZ!2P3VG^U7gEAKIbSYlln~b?EN7DB5#+eB=NC z-@BYW|M<+ptqwRMwtufDKe~qek-FPG%NL#`XAeCCJFj|niWoWS3s-9ah_kz!ugzcU zT+W}^1ORsC^NxI0n{cBfzq~rqoSx6t-oixCGYQ9|fXV~$Ah$OS1n%!*ws+IngYHqZz4D5J(h3eVk+0Fgk`*?PwseAG4A-jIN%d@uQIpR5hTI%nah31~A>nEDK z7aF@42kIX;j5Hs+Q-~a6$NMFoy;9^}`+-fHU3z?C2-QGjXDfg$Wh{Po}V7>AXYzPXqY{uRVmqfBzg79JDZa zZg1T)J;432vmki1Ur03}wqYT2`bzV|1GlhnNe`_K-|8fXadg3RDD~tp9tF)iuyenZNJ$*S>%bI9Gg8s!!e7f=h$wuW4deC z2jeQ|I;LwK4+rX7_mJb5^U}G#j%9Hos&(pk`uAMo0LGT*=HAuTwQb@qaX<^w(b%;RnL z_4wwR)b`P(p@GAAP37oJC?}Ewp~+m!w#am9dn(jF&>1~h-;ue}iN}4{DLq~n9~EQI zjqcmIe`m|i=2U$1@k^r@qv7P#SWC;~_E2)T85^^XM11qarBq$0YGZF}VRlpoI@$kRR7wXO@Izmd%OK0v~YVFKa@5}5T-*aYHq=Wy45~z}`~Eiw>+p357L?B8|Y(Zh1Ko+h#3d{b%{>{_Zv1gQ>zq)9CNQ`<93 znd<7~bNdlotGax@fBNeDh=`8Ya zyE5VFoAr;6>=RY$Z+uWh^9{|hBIO%A&|Nn{`Ia*C%{?w+HyHd11d6?hbdhMWy&^qM7 z#fh$!3!#L-I;M>E%a#qdJ7*@aPQgYzg!Kk3$fuUa4qP3+)m|8XazDCD_!rce&+puf z#QSS+^sbyt44rGc(OJa2y?UTIMfq2GU4V7Uj$_z(WB!7@=leTGJ8lfzk51ihY1w%= z3A|iQ-4u!OBXd{lZg)&kV5McUD^jW+?U--wp2vo}5&oU7a;t`PDoO zyu~Tt(p%0w>D$}3t#9UJ!=}C1$cOfs`7Pmts$FSgOm>HwR6RN$45YCS*qRD$^y;;R z`-|HR{&Oe!3HD39D+6N(un)m{4egnUD7;*oN4;jpus#4jQO_&G7`J<>%Uc#3m7jW` z{2u#{&^|N2h46$x?DA~C?N1_0Efjdlk5oOxhWTEh0qx?om-0XJjeV0BBdMK!xyNTv zKL@WWkcV~mT^q0NbEf*J!gn5a3C4X--46JhU7N_i<@1rD*^%1_z}=WWdr&0qkw27M zdnduTy?_Gr}VUD0Y{DPxXAH?Rxjj;BDx;CF+!4p6FP(P=D+p@YoVQ%HuF=2XHVB zmee@teSG!M*511ppcm!Ty5;N~QaIl3_WvpP=`M^*v^V;h0wA?$FB%Vw|L3l@HFeYe zN!8~$RiEB_2(Zo$BS2YDb_3rSpKaSZXD%R+KHt=*#s%Sc_{sje3lm*;C%pE#a`9I0 zy^D7HDBNA^eTm9r+-=*tsO&-b7WNd+4-u~JO+*U*=TL&@r7Pv`wws5GHohNBj9@>4 zc4xgj&R8#x$4oWNGd@2`e!8K$siu0Me_$rLzx8N~NFcDK{2&Fk?zSO7eEkIB5A%eY zpD>T{JW&{rq$>5@>}m-gSN$@15&nJgR$uXA!=^?7{0=`!t{%M6{$ln@83zKrm`?{) z09MVzBBF4n@PYd6+(z;|zQYGuPPGTd>t@EOS{EP8aK0hnW*oT8dGi_L7V`z|gGI!h z=X5@BM)l>us$IWouNPEc2>jV%J^S16 zu8!3@4qQTBlHWKof2(8u!btO$kz2sw?&ii}1lGe7$}dc3BUn#Oq;U{|{5N|iPp1#i z`0xehh9*Sa_4?*>*uN^f5RhsKH>G$z62W*^;}ZTF?Snv|GfpFiZniInPjdj!p6}4_ zk9T7ol=AEAUOahT(z-xf=S;1ta`rWyga4g5N&fk|kXm3CcE-wu6Pqxfbxsx{ST9g{ z8C{;%MH^!QImBBk9Jb?Yc(2nkW_&DQo@VrF7 zwJX0U2Gn{3^IczPBj;b99YedHR{WXy=HBTGPoCR@d7+52QicEF6PtQ4E|lLzIU7_t z*EBy95%tR6k)g8(Z*~^GeQP?g|#ft_-jotr*W15e+{TSD3e%QwC zw=z!i5$Qi_*K_wA`T>4Clvv_^arOx>VZAO=tk+r z{~ddH9dpCA$6MF1J+$uGl5y$_hGDe z{m*WGXa2F}@Ao!0F3>u5yVFlnaDBJ!-7qLN(>y$Mt8ZaG-AV@mwC|(%a%jJrk9!{6 z#Cik!w&k-&Xy4QGVx}7F8_a_fSLSBxupe>w;bETl?;kmQ4)d9#2kWRu%5JQ~c^pKR zmejbxc$T-jfNRVTYQ3|(dgxZ?^2G+p>u6`afd0vicIR_rn__!J^{JcnRp%~lZ*tZd zNnxGx=p#er$-=Ikf(_%fUXQQTYR2ANkv9=|V5JYk1Vxel9;# zonu_6c`*HAE>iG6V_!8u>tC(j#m1vE6ZJA<=F>Xy@Zx=xJ9ph_*VruPfAn*DVX(h- zZ(U7_*6nn_x-j@;o7!JdJe2lJ;mgWS6(0!fe}r1+)gM3{ocHUJ){)M-$iX$Q`^y7I z4xF9G`gU8>2*L-XyzZG#&*nN=pHN1|#a;T|qdh|*7 z+Hi9x)&p`$wL9Xs8;Lgi_c)4 zcUr<+HcRs`ZL!}>3{FpM{TdeKB7?#C%Ks*tY9A z>;s!<9NBg`&+r%hI~7jbhDAJjq*dVt4wtYQ|vkl}AXdm{7 z+wnZ&PS{uHz&|MBs@$RNowPqz=a*=IcYi!I(K$It`y+e5tez2%Q{&=1;)!Y;t9@|@ z`!_pou#pae>HG@*mT_b2r~Py28Mc3UQ02!y8-9iR)7g(W{u|>1<7}5tp8TPz-zIMV z-aPH&CHVuiBi11a=0k74qgUarC4AZ*kM)}onG*uhWE`VC>_}H>Xu-~C|FTJ?MIN)`4_#n$Ee*zrTF%HTrkqqk}xrBWU z=ePHVTWq`S{THn_m0wWf)>#+W>&dt?75u)*67VDZ0 zd;W`T+S_*hcxSlF|Lm^k&%Ai$khjiXaNmB==J4XxW<*9u)(oVd9$M?p-l>)O^J2kNud3{LknQ z6~`Ky=v^Ya_p?03I}!hoI9K7g7(XLUw6!nvj9Tv^ei=E2a|*oJh;gCt34a6sGo!|Z ziXTz^JDfOHQmvoua(EqUr#tH&r4QqU*F7r!LUFj1T3g3AIyp!bWS^TtEYH6 z{kVJnCe9xa59WDB`DyF}2G=~tM?88lTu|@11mAn$`Rf+;Bl&gGZ+3;c)C+n&ixUZT z4vlyh;?z#O0_PhYfpm2)a;;}}c;o=q=YjU&b=rfGt%u7OuP8&@;+W<#eOZ+ z8L)@^&-}H%;z0Ts%~z^_4&3ZsLL7Vx*0p!kdl?h$c3g+z&`V1H_>ro;h!^4oVEEDP zy^DFQ=T%$?>!g~vu+q+@1E(J@&K0H#<$>b-Vqq?Saj{$|E-bhD9|z`g%ga%#1~&|> zpD$|F32UPvv#>ThITv$N_j9xP*8XB~t~F}a3#%@W6tyW&xo? z!itt2sD}zobYsQxGK4ymCi@ZAnQz8uZOttd7g{@_)?r*{u}qg#w7pQuPv>V^Go?~- zHM6{2qz*hHEUBkPtsdNmp^3ndh1`5$VRoR1>op#hTjz6ArD7|rXdiNV%)NMnCEG^y z4Ct(UX(l&CcVmPKMRcK?uYZLao9@gwb^3)%=Y%B&g_TfrcIe{SG!iZi4xb)Fjn&`m zaON5Wm}Y_SLo%h-zLewA%HmwU8ww)@D9#R0ien@JnNn7Bg)*g7Pt8x$-$*IHyr@K{ ziwpVeVy;v!TtQuE41_6|B*PoBM531-BNRLz2<#Mi^ zx9Qaq1_qjBZhE<#rHe!I3)#Dc?0kSVS0q+yZd#QKiSmQ|RCW%HxyP>gRB?{3BY|US zE6mTYlyj4F`L@A@>HNd|^mu*=Wp_WFq-Qk^-afKASnk~d0eD*3YuhrjpsaDZ1*#~_ z^mEy6$oe$6vcyeLMs5folO7fxmi;Z3%`dFk(yq-($~v7Z=alpYdQw`{7JXO zS)I-n{Ew9CWv9eC%C2O~xmiyZY{So8)GSdX-d1-2r)ik2o=`X%JU5@8URBSv^t@1<&zENNo&bph?bO6d zfS#QehPlvCn9k?n8>h-%rj>QHHw%mAbju0K}u(Sa;ZbvrpR_8xyc=Pa%nnX+4f}{ zjjA+vaBx+)nHjk%o%>0;G=p3{Dy!8qmt^NHuAuU4I%UqPXIp{mGFTrT9izGFso}L@ z=p;IWB&rgZU&I1UNv+Id)Mr;qG)=<62n$XQW0=`4v!+Pg)l$}OX5|#@fsWP8!2I-L zxzuitZ@qIisEpi$$WC37aC9mE>^#u?iw<`ly@rANbnRKpFW6Rc9Vuz@5fG((tXFQ9 z1kr*s7@E^r)Pk*3HKscG9V?G3)sV`cIPK`mj^&4PwUnLQKU#__Vh`)2vV5VqtV-VO zTwpe}P%dSQ53n?vE3V>#Ga9T4FY~$b)LmsK2CbT>Fp9~v`~un`rnIRs;50o}5DF;u zDoLv=!CES&3&aRD4D~UaXrq-iX=6iW@|-m*0rcBdL3XdA;%-6*Ls#V^V`(GlVxu15x^8 zRt5T*6w5=)j*={ z8nG~yJe+c+QtlB{U4Gh?CT~2{qCFj0cLvwav<}saNjnB~(8^dXTrlOL8UypwaNg~w z@>A|ZhkB?Z=qof7)aDqq8Czb2tV$(JQ$F@tpiqwpr=wssYG~Q6{FL%>(1Zffh-&4+ zPZ*=*p6!BY3E*}B3)sEkr0`C{(_%YUC<=N$$luK5_phPGTDErUsvutv2uFSmDiAT|E0{??3s&0r%(m=nYC@AXG%(E2S-kwzNU<@#T27M z)j?&mpQ#}4IUPt(BNCvecLvfsReFqyWeaNiFAol#%4W{>12mUV*M!ZuHh36b-+joA zWG)R}K{{CD*%!txQ3IaITpqdvPp)v685lS{KCapZenFf!@X@*zvT#imyiNlT)>O!K z8F&cMRLFH>0O~fL9?A3%oraYLE^IV#VWoi!I}Kb|YT&|F0~gjBxUkn(hvCfl1)4GS zIuI||p2Q2bC-H*qNxWct5--@E#0$13@nB!=seysvY=36tLbiYK(m19EW+=Lj>FbwH zXRi*PqEHocl>Ih`6`URza728|Iamje6E2f=j9g|zUXIddXF?i-O@NMJSd9d;9JSse=21kHrzb~)g zk>3f*k>3gOIt@JXJ3%?}J3$`#ogk0=xX$zZcqNzocqNzocqJEh8o033z$HIkse$}> zC71lT&L!VTemuwvwkOF2+mm>~_9R}gJ&6}=PvQmJlXzs`(8bKD?4^s@%j2iBFAQBC zhb_^e@yls=IV=W1dAM;NY}`1H3OBX%L>L|ECyfY;_!+L3C-yiNMLbccr%RgWO^sa4 zGT!MgIZ#;f!r(wQJ#c+s2oE*%(4T>|w8X>OOg$@YDhaMQd-dYjDR>Q)&lws@j@^Tj zyL9nVW{4a&dX7}M&rU1Uj-AG$?-EA5y;O>m+4dMp+B7#s!hYhGun$~LpN0O@n1<@< z@^d~fYvn&^a$ritjE}G4kxxa<=D!q&B zwy#vFw`l+|Qv9y?y@dE3@mb85`GsZNwx5}r$}cY$R8aefu0N1x`fY}QZ4Ri)(GM@4Pytvv3_|XI@aIOd zof}kTZuon>eeh^YgQ}FK*~+#xt0LA@9a-$CW8&ZaY9ME;0ad96lZRd?9&Kq*mC~42 zlIqZeA{yCJEL7#sj&wUasLJd(Qr8Q^qb&`pQu?T08jrR#sEyR0Jfs}Yi|Kd6LNPnN zicm$CW417`4)cl*I!MKAK!G%<0nU6G@a$v&X~+O1Y`{T|7tTa*H5S?uPy;DP4M>9; zK*Copo}COJ4H;A z;RGX}52%2IqXMKs1%Tlz6VFZtkcJGvhA#u2oeW0Uq=5oG13lXrh^#Cj9RLVDI~j}s zNu$4gC3sMvBtr=SD5O0o5NXJO4)&Gc^?lmd_vt|2)3Z|tW8bF(eNWF$24ml+(Vae% zyq=~cLmdJ=o%VW~NJEA|Pp7?}CbBX^XP~F)*{%bTh75t8?(}+^NJ9p6r|16x_v`*f z==6G?NP_}&ueSt&g4+QF9uhi@Naze8fu5Z@8j;W$Kmt8G8H`Bi3?PA?oeV}KbOw+> z&rSv-5;_A&pl2t85ec0EB+#>y!H9&z0VL3~oq@>8emNXK0zEq!j7T_)?$zN>&rSwo z&mTs2);iNUrg5CF#6nL3O1$1Daf6wG-aqX1K9PnD=wjuer;79Qcu5I}dtIPR4-bcp zcsLxu13f!6HR9oL01x!+WH934Fgm?{8ZY0J=T@?OPJS1!$HYQ!4w&lUgt8cF8^Fn7 z4<|%cX6Opwgr03vi8N#g;H1mL36X{j0i1MsI3d!I0UfUctINX#k%kNbOmumeAkvTl z-RvvDLqL}i0bKzE(6iG@Mg(*P5J1mP1|tHx(49V$yq=~cLmdJ=-R1Q(k(C*a1bUjD zZIg&JWC-;15wE9-G-L?$^bxP8i8N#g^z;$0r-?LV2=w$3ucwJLWC-;15wE9-G-L?$ z^bxP8i8N$Dch);zjZTB@Jxb^o{T+J5LjaKmMF9jH@en|yAwvKGM?3@&S(%|bfB<^7 z5kRCNLjVEY9s-CoWC$Rj+d}}6h717&bbAOO(vTs5fNl=~L>e*#5YX)*fJj4z00O!_ z1Q2P+fbP_XZnxLdL>e*#db-=|X(A070zKXB^)!){8F~UeP0w~u6KTkR?u;XXw2;O5 zH14|p7k`y{ypAW*kU!AzJzmEXX~+=h_#Ut0i8N#gbbOE3@kAOjpm$rGIn~>_W*3Xg zWxR}Nzabe5M*>y#@X=$$M-M=-!5Q$pjL9y=!pVRd4>gp*U|s+{dS(%$t@0-rY!i@nP9;!&X(t@Laj^UjWepLnf@Ouw^ z9FVvTIwB4F042Os>%9$+pBx~h6y6!oC3W|U)-A<6}`1Qk)E;{2r67w3Xp z+zE7nHmL$&wmVK>b<*&PbS#W_J^X5s$5jj3q!zI9=K;gb1KN}a2=eCv!_5QQlm}q( z=K;gb1KN}ac=6`}!_5QQln0QJ+S}c5FgJr*AmVBPZPEf9`AY`F%>&w$2T=0o0mID$ z+LQ;d@#g`<&11$?2k_y`LkuSm(S~w5(E0v68Zs!+lqZOc4hBZXhR-~g8FPDh64_wO?iUgpdhK6#|#dNh5F0Uz(I+oatI4f3>=f=TY@Sy ztWfCGq#}qFikP~lnz2IRQopGhRw&U_P7o^;LUqeAV}&B6{&F-}P@<`vAS@_)>Xu`M z1;tDK=L_;|gGWF#lhEopFraVDdP#D$CV}=CRqa?CiOkg2~M4F{BHDkq2o3ZuH^m~lXXQ-3)c z4k*!34h2kod5GbZL$oPR5C;@bb@P~UKoL}bIT{Wq(NsMuvb z0VSHs3F3glr*1iB98kd2UygoZsDA80-5C;@ab;~j1fC8xg zax@%JqNyBo^$uqPhxkCggrAe}@3Y+yPE})2uZ9&0wwm+?u|hFc*M2irD5~nWU&9I| z8p@%-sxJ>QoN|aZMuvb3MHD#0UVr{7v|NAHB|?KS~T=fK-Q!M z08u{~nknV;*{L)us;GhnDGJn@6a^tg@maUpW=K&))?aN6Qj};a2Z-?J(cnT6TT`AO zTqscM+Gd6eg=YQbXmFuKLpcON@`pePaLW!nw(Et8C z8aOCuYs!PJ_UF-XKyh1Bo*)h=4(ry(j01|X`peO9K#8Vuf;gbKt6Pp42NYcOm!si; z5>4drmVlZs)Nqv%T8((M6EDu$cKh{<$2 zz?R^Hj`{4$Vyx<|ep{7*tHX%QbUW~+*H1CrJVx-P+a2)ve~F79r{Yke>fJ$w9{5yF zQ{@3Cg=W7(yg!Z;oT~Q(6?#BSw;O?&ZU?0Nwes+$5=}+`G}{Qcl}1p_V90j_m3oj% zw;LgsZU?H?`eCxVdnH!&-9fD$C{=cosledYN>kNg0&I;{eSc7=2ikNyXoJpR+&8Vo zeHCrW69g^AdL8hXXSiBG^Cm% z!)Xfs`mNW%XpRi0Dfru9?yXoAe!SqSdNgdB zgT`r!3#;`g>B%{YJ`+@=!OaZrPwsd4Mjj;w8KAe+(9`VUQxzCPjon?T9f=5oot?(55^S#cZ7Rgo_4E9jq+O=nrQCTg6dNj{S z8BBVBv7}>9sW2U@P6d@{h%|@0(-hHml}wV7hM*D+qUQK_TD>{!Sb`cYFUG331=VN> zC3#bYf(WHBxC0V%NIb1xDRzo3(To>)a;wXQ7xS^|V1sJ$^|U#@o~CfR zi_YBYVyRe;Rrdz!rN!J;29rI&p65DNr|4H(vFejSMH)iQf%r7V-PLPB&a^X&`x#@^ zr-GU^m{Jy#Nq}9A*Fuc%1WgY+gD(y0(I840O!feA-jFHT&Hz6b@HexDQS(VenhqX3XMo9^oxxWH zHEGDDEGCn5za={(sa7v>m2R4LnWHZCiLpgl#V!@v1UH*NYm-YIu}?` zc&hq?LA4riDZj}S;85-6g7;#nJHV9I4+XVqFgBl=r0K}RUqKDA=JSv=9e?=qXxOAg zll8!z(R%gka%KHTgIYDHnoms9!4nh3aJt8QVv?o<5})VDQP+x@z+Cxruo<;O{XOOJR0sO z(NuSU$e%|;mHF5tO(!G%JQ}LZ$0lhyCGqFcP(_I*+W--N9t|_*W0N$UjHpG##w?^JvhaL{l|`(4o^6x0;|$d4Lq(072{<4)cEp zOVV!6u3YM(l#eABQrt=ZMwHjW`hbL(|2Jz?7 z@M1nANz*}xKaYkC^C?K04n6#NG-Oa>RVlwXC#+}o4*KsK-RFPA<$sg-FNb?vCyBT}^ z%~#Qyp+#KInhIt_vHShSuB%2yx*|u&2;g9IP#1nMOIOx682PO-(#u)VqOKWgfx;E2 zce1%WWI4fE5C(9oJbSlfR~dNleP_b|RM7wtDu?cd4MT-ygQE~ladf?=SXaG_oQ|BK zhQVci^`!^-2l%E0?(->>A0du0bsq>*rzcc=ocZdS+KrW>sPz!58b+;s>9cf4cPhw2 zh8MV*Mxng)V3PKMbo+Y5xkxtQG9i1Jm0y7$Dm^ISTP%6o!e{rMuNYE%ml0nUjD9=u z3Li6uuev9N3zrd6i+BUn9nWW z$7f~K#oof|T^A~D&ni1BfKx@f;6-B9f3<{^+I4UZZXmgcGapc7p(DQDpE#f zBX_APcokqH4U4Jb!c1Xyr9__+$j+_`>%Lc?0ot?mx!N;yEAChx7YKViRfa3^icb{{ z5XP_TFl^KX==FH_3!k1@E=}R$^`$J82E@K9zZ zm_t`@GSmtU_;8H|{Qq7@8Ci~$sl`{&uWn0mv9Q+VXsxTpPkw3&wDr~+aO$R^zr32`q-d$y&?mRAR}KMc`bDyf8)ZOTX|t1|b?(JSxCvx&rJSdaNkQ=y8F_EyTN04_R;3tD2cPjW zDdv<`+@{wowv*y-SBh=6u2@Dsz$y_FitxqXcon3=Qoi$BiXGw^QujUdGfe&@m|xlV zva;_J)^1d_m7Ey;r7P(1LTt8GU2^N(oStpXFJZdg>$@$zZyzS!n||IGaXm@eTa2b% zvM*L=tE%=6qP@kl+>t*lMGI3O#n;rC`k3mI8&W(cTB%KbT#8+s@)J_*X6lnt>|yGs zrP#~V&q%S4sh^YLdCvO_QtW5mFG+ELd7qZzAoG4zibG8Ox)g0peMX9QrhZF`4yJxv ziZoNdD@7+$pOxY;>-&8vx|sT$6i1l)ycFFm_s6O>=cVWoN2xY{CPgn(e<4L5Q-3AJ zF{b`TisMXuL5dSh{hbsinfeDQUd7ZuNs-}_{zZy@rvA4S15Ew96sMT_Pbp3-Dr!k_ zhN+MgXPK&!;v7>EiEpuyebJc2&F6|rNO6HFDa8;|wNeZ-wLyvzrs}1*$kZk&USO(0 zicwYnXrmNkOl_57oT+9hE-|%3ipxwrE5#MIWv3KZnb#`CHKuk;ah<8XQruwbc`0r( zbwG++OdXP9f~j^XZZnmZBFmZ&OL2#JN2JIx)g#3uQ@v75F?CFeX{Js{k!R{vQp_;b zFU2fVr=+;c)EOxXOr4YB9#iP^`%DcwZt zC^3Z*vCPyhDauUUmSTnT-jU(~^CqQOWolZAhfK{#@rbFrQoP92JtSHOsoT(>Lyp~g6Eye4Y_Zlg_ zf>HA2QhX)zUMI!tnfgj8-oVrwr1&bPzFLZ}<{V!u#n&+PCMmv_skcb+MyB2-#haLV zhZJvS>g%O=3sdis;;l@5gA{LL&EF)&+nIWg6z^c_Tcr3prrsyT*E98PQoNI??~vkM zOnp#_cQf@}QhWnz{vIj5k*V*M;+vTIeks10sSiu>9;SX!iuW@0!%}<;Qy-P$TbcTp z6z^lrKPJWdnfinj-^SEWO7ZPX{gf2n!PKXu_yAKsE5!$y`gtk7lc`^n;=7poWhuU! z`{P%n_#Wo{niL=6a(_dL?`7U^O7VS6{SPU=pQ+!G;s==eJt;oS)c=&?BTW5)6hFw+ zA4>5hf7{CQ~1{;E!MMjIplJ&_xzfv!Y4U znER;=7TmQ)k=_?HoO$X7O=-`qBTknwv+y%(NO=;*O3>FfUa_W*(7H7xp(RS+32K>O469*I6Beo6Ex@k^pliC+?ZO8k=OQ{tCI zpAx?$YPYM&eevgUoNwoFqdsfGIR#zmO9?Dunxq3?+Ia;*?^`Y`xTbOLcX?ROH2rFy z>tP|2a}9pQ=N0VpK6~;C_PJj}c?J9U>kllnW(!kT`;|o8waS{E;C)Ysb(v;e{&bN2 znIRT4O0V)yI_BNR#Vylxp8tdgZ!1pI&0gb!=5b zlRxIJY&9(yZ3~nr50$5Agy5Jr0nS!Tqh1xhPN(yH%VPTsV6s(dAAw?7)3~u^xhhV- zo4{@hs!v9-x^=oz`3Cxu)GHQDlJtQo7Br0?yI}g((kqthevaxD)p?8Cby`sSc-1Qw zO`odLu(J+&NzxCUb^4lw9fYkj9PJNdv6W^yn#cU}ow=JGIR^~p_Hm^A6*2jD^(i55 z1~qpv)aj^RQ^*E`8X;ylLlfKN6}e`61g5Yxt@p6EreUu%%eh|#<1SjWC=ZuLC*giW zjMW%>(3V+$R?@yW#;S~dG58^}rx#sk@u`1$i-HI1EIxyx>nuKlqU$U^gQDv!K7*p` zEIxyx>ujEM(O29&RSK`Od8!m%XY*7kY`3q`d1+W+o7dSqRcc;m^HeFk&gQ97c%99Y zE=>D@bv92y(Wk^OX?;rklIT<7mqecuza;vU_$ASm}i)2|^?*X8It zb}V3WY4rIy{-hhrm|U78v%X7nq-e@i6NJg{lMuOm{ze|lnbvs$Ic)`NngU4L{-PeM zG|f#)$otS93!2<+#rOAE)YN+3XZcvhw36_Bt&hb_AwTCMe(Ni8zwNiaP5N}WR$<;$ zx0co32LV-on%t7%yMb((sq_7x6J$BlOzeJTkVQ;Au6=xP?UwMqNw~I6{!bOMTv~f8 z0q+Z`>!R8ga`X87U)zZKGf)KkVR?`eXL3{dK56w?$8h=?`%1h~q-F14S(u)q@Fm)Hrhuq&pZ?~Egm4f%gW8WNmFJ8uB znHNg=>HG}x;Z2J=JD<%{;_r{g-WU5e-2Q>eUd6{A7wL6EdS_rz#=ax=fdo?VLd8Z_ zhF9$Fwk@KiaXTwlCd9-{YV0O@SBzm^tsBpR=SUDVHv;k#JjP( z_YMv^F9%H(=jQN1dL*Vu&Mo3DdwN0A?Lz|?O{H*4*>70N*iXhji8o+kKUEir z;Ri4~jfVNMY>53#7>yaFe+0%2CywV!h1^`>MXGQ#_6yMDeVx$$Z01mIesVfDoG<4v z_{zo7qi75tvaH3|ZZw{-UZL7Vobt>Ywd=ny-B7pP@7~dBwLq zW1o)wN+R~lv0p{Y{F<=Z)@^8~|Ie%}sNU}Y_&yc;je1MOK7;P&HZp=^ko-!1dOW`b zDE}4?S!^i3lCVPeZ^vW*BlbH4>fA#AN?~sLOsP08tbOoh6-DA*Ui8sa+khqtQ2t)* zvk55qpThYdtFd4O;b`m+FfiunQ>;UdnO=pTvP1*SW$X`QpHIX-7yBc;dsSigAiOoB z>QB_G<7*1wL$uD=K7|)&RS*1G0)FhzF>Du$i(`3wUUtFukHWg5MD15yt|G-&>{ z9@+5&A!Ac@O6#P0jUe_P(#kOPU()JVR9r}FfT^&wPBB%D&ex`PRVQhkwa#H;kH@4n z$W%gF=b4hyy1-Pew1$}4Agy7h>ZLWp)F#Yinqq1m`i+SPLQ~gB>!S4nmE0(;F{ZXk zYn(MUOY0I-JEV1)sb|+1&ED+dIS`b8)HL!cZQfH)fpN%~ytvTkMm)1OI9g@}pr;bRg$h;S% zwa9W~(pqBblC(-JcSTyuEO$*>Wu|UOYlTy9N$UagZcA&GsXNko$f=Xkdc@SUv|eOt zMp`d1byr%CImbO|z0ABhX+2?TL0VtJsf*HjHS$u#PrS%nD#+OLzE4f|1R9dfR>dU0{2Buyst*>J0E2Q<+oa6P<`Wn{q zRnq!e=6#K{-pF!ql-8S=_hxCmnW?u*>n%*ZU0QEt>g%NSHm2Swt+zAvZfU)Psc)3l z*Rj5Dme$uZ@4eD`C-c5lTJK`&{nC0jQ{OJFZ(!;J()vcGzEfJ?#MF07>zi52hoto$ z=6#>E-pkYvNb6fT^&`^yR;GSPTJK}(N2K+BrhZgf-^SF(rSqKg_-LIcfa}^FA-Fk23Yg()v+ugFltl z$C&zaX?>ijzm(RGvD{xv>&Kb;TWNiQQ~#H=euAmLm)1`*^^el}B$xKj()uZ;{#9B( z&D6h1>r*WEAJY06rv6J>KdY#Okk-#J6_(b|GgU3EUtlUKtzTp+F0Ef;Dk-gBW~xS7 zpJu8~TEC(!O{ApttE$|@Mrr*T^EONC*I90hw0?uBCTV?!Q@2U$H<{Wlt>0qm8EO3w zmTQsLZ!`6rw0?)FUDEnpruInd_n6uztkHg!qtg0ctZ!Uef5)kprSeEkW`Z6Rixw)%4+rN>A`xoWc67a?Un<@C>f8%oDi~pVL0bl$d z%!4ofPp06D|BF-c(yYZiEQlkg7p8h1;wQK%XC0@u)B`zemJ|3X=tkEFmrpDB#7aC- zPQY95cCxuE&*kDuX)0e>m?^f6D{`o?kdG!F#;iDsJ62dIluyu43$#6+y$!;4^omTp zlz5y-JWAlA(gg09m@7;b%5#ro;!9$mNW|Wkc=b~iIf&AuiPvB*cg#EekW~%fmlTD$ z{KZAu>n@{?Uyk1axOH4ZO4ayv@x*HrUx6x+wNqtb9nscIYh?77Hh_zBmiuJl^@%qm zpbOEN2FEh_F*flH4`tm#itVAm;lldHZrd3 z4@-m+Xi1)UuM{DszEz4aQ}36eipSiyOHs|#2c(EF^_@~gnfh)iVoZHVia3w!?~@|I zydRJv$@)GbF=NoWF!4iD)G+lUQq*$lk4jO;)W@aRz!ZLrl41%!Mycl<_%X^xrto8w zO`Q5OQfy}G=jfL>-e!P*ASokniM$otqr@-z1CC?(iC$?MZs~aJ4V3(8TFdG`Zo;p4 zXhi>N!b&F)jM(Vy!!F$|6<5{%IPn_^>p}fMF@%lOFI=6WqB_P!rh0P2Snls{ zqXi02(C?+H3Jdf{;1{A>?LM~yc)&<;HM6{2oT48{eLC^kxYeCNJYj=Vk{hZ^AbwEq z7U{56raz1)K9~5suy!HlS0OQjsK($z`BHJHK*4}HG}O+-A19ClApvn&CjKlQO;{}n zZ1g1!Lc~(k|9vCTU*9j*Nc_tLHv1n=V6&g_+Ce7%mc8s35G8Fz1bSt;br$z1!gw!o zsD_f)k4^-lg5wNQXNR5myTsoo(8B)!7*zH#_FZfKN}aZ-Yj!a*QWxV-(&;zIM-$kI zr}RE?LMw@XPbB^=f!i*dT_f-XK>jIxq5OdE%q|jO_5sJ{OAxeghZVZYZ1 z^o>9SRNDp@9u%i?G}&Crl@PE!{ctL;=ALLWgdn10GDq&C$tn)(s+j`qgn{U(w5N|` zBpFR4tCKM)epGx6qb`{MW<4?PkZ2OKe1wC8>gW1Ffj*;Ot&oz*+GJfq{B?4JZCP$* zInTcg^km(!+$WRu$&HC*IEg5ObSnpc8%;I{YYY)*caZ!)BbhyP;*H71L~=_KYubzP z25OFN2u#qp3MIGOlUr_nQ6`^Jb5HVF1Ta``C&DQ#*NS+*J^rQ(4=@I0a<>{E$vsm1 z4L9;Wj99?ppw=O;*vANLR4=XM=E(m5po+%dHIa9>(Apuz-*bVW{)H*5&IJBb*@-@E z)A(EKf_NdnO0e}aP?(>A?ArYo3cjA(veCS6?+uUVI6HD>l%CHm=MFJc&Nq_@$ z102{J;K1Mj2Nnl7Fgd`1%}?c57~0ki2I?h@WWcjn8WYwF-ZJL@c>t;YmdPv0tC$Ls z2ngNe;cx@DW1zg;GMVwkEs`siyp^25Ixu-V*g$mOboe1TbNpM;F=^}{Z*h}g*E7M! z&Q$2&+~`E;K*#w;+vKBio<1gQ`+0~yzqX&(9KEs|8t-9%T36yb$-CfqbXP@C-xRZq zCKs`Mq{+y0nfA1az;UvaOfDst5sT!it-zZl-i$yh*YDx_H9#Ywnm{A|1p?QrEO{a9 z2T$Ux5Y~SQ4t3q(qkUP}X&EoFj;Q@wGht4MuCuzTD`(=zH=j>#cC|^;ou4^az&qLxubKXcGIaXbJbtl$P@{`8DF>$>dij zzt(hobg7ghDO#LfT$n=6Hwmjp->`A&>?oI9^fVWQm?Jg`b*k5W;HOujq$4awx2li#=w1TeeM(74x{Mx>k} z{9Z)v8D==&UK2aW$S{LL1I*yW))NKH)&v%r-~dY|KfuuVAX?=+>#geK>t*u&+)&>G z)`#k?2sMS@h0?w50S?AEDusyBmvN;&f|xH4r62nLxsbN;{Y(-^>>o~k6w?!Y=E_2@ z^oVxT3$rr$F>a9ejO?07q^V+Tj8%OI6w4Mkh5zzf=M(O7;GqqyZUtCb{2#tD^ zp<3SmKd&vX$mHjfe?F!5J$>3d_n2DS!q2>5_@JGU)!fLJ}eY(=a+HlMOla zVj#U@Aww6mQw~6e{s0$&97qUo7&|n1BZvApgb%%RA-X>YU2{&NjJC=#O*fVYa&Q~a z(4dSJ3o^P=JdlHHPTx(S92GUEOTPm-xaRb=1ImF~d5AC2x8dntD_q(`>uh&(gV&N; zqp|_R-$fs>sEcJ|TyBxrn7l_JNn&I2UZxOif2%^YM6mrGOtlc~ApAjo#Gd3u58i62 z<`&=wyZ@=+ya7-VhkeTUpi(CjZ8i14sfD}UiYsMm zueB3xJ@y}TbE996YIIx6T1j?h1_yo6AkeYs(YVmC1v!x|6lURZZA_2>QF&R0Vw`priZHg6BK*bOGEC!dgJ0=#ZazPajVumB zx!rEdP?DA0K}HNorKECbE)?hUh_8@AwJe{Pp^Z$<;$=pfY$Iwf4kAQzEd#mQ+{c^S( zm5-o?<)wTHRhBQx$1?OB=X*j00n}U&h!B0*h@UDz-qkKcyE)%$(M-#DQ!Ov$SFldJ zC>o+Ufb)W=548J>)aKvL2*BZkzfHQ3_5n_NQ$-qD!;P3?G>qUCLyVNS>Jd|it%k_k zN;FQ_%=?@qCkudDXf01V!^XPLH`0eiEnU?09|(=g7?a)H*rsdZ1;fRG(W? zWlTFO2An{%Hk_aYK<3D>F8TrobkP?y0!>p{CoYygq7e|~exq+;kgAGSq&w*;p`sP( z5_(GDR-{iw_!ZN2@|0eI1iBrb61ZUX?FP58X#+zy!6Ti%tq{=2{bmD#zJ=g9Q)dX~ z7e;9b@ia3yhqSDZaUcF!MQKOy?ggjeO^;t<3TGezoA4&W?{nH0D$;uJCIqMbeMK53 z{?BsSKLyiz@QT?VbK1XFqyc|_%INs_iZnQg&v4p*=~J+38%*3C1m53L0yQ{L*zC5t z5^&~3>o-)f@>>!jmH+*_BSk&s?d%X zKYonUHt9oRridRgWOH=-tLpZ8wg4}$d<#oA$y;6Jmb=Vjenki}kuk&1LFJ3HS-Z9!4R74Tz9(fv4_QAb8tO)=OH*PIC&L-)p$UiJa>@eRMRbT52>1C0I`n~Jw;hPlj(6;kXX z=*t|W9!(a|QsJiPe)fP&MbpvU>H!%P;0*(s#qo0s+O@%Vh1CH|72A3fFVV8Ccz5UB z4702Z^>PR2@a`S+rex?i^RT3R6;rb^G{6+jl|!_T<<15XqU|g{fe6u#m7hR_XyeLH zAdFUWE7=~J{IO9A{O#^g*X!uUWNKDbOs3{xU8Lqwy_G~vre;~iWNIFR^>V!>D`PVB z9o-GCUUj5I-@`?-rKL!4vwc~m2+s=kGwGdd(z{UpyX&nw zGU@GX(l>$i&Gps>lS#lLOu{=M)!bC?t1On$PaiBH^N=BE7gBlT2nN^CauwD#D42qXpUsqD z3L<_sVS=gDUc_Fw*a?f%Pc6WH3^(b#8`Q5j@7M6WUx$-?!y) zZ)jsU@222!gY5y^1X>u|m11}?yT*QM1wh#^rX;ltd;d!&Y*azG9|=DMoR1t*$Ou0` z!cQUVGlvxE2*IX6nA#24jf-L6mBoHnC7A}gbTUoT3Yzbb=6k662Zt0hny--NXUO`+ zAxG$Fpmma~fo5SGaIvu<^*U+@&o$GqR~-Z|UyS`r{XMY<9RfdSo|o%`9>OMzcn@Kb zq?*{ry=$;&nELy0@m!1g&&61T`UhbQ{2Kghx(O9Q#LuQ*F$EDn`zcLKrCO~a+^L?x zN(!le7%D7gLh(_WMUu%t4?B5iD;A_SRzrADdJ?rBohpqZk+@s4A8$!k56`5lQ5t+} z@8$QL+!Lw44C%n{z|Us1@RT$SfIUUrwb{)%S$}itAC0=WvEtV=d)-SeimlCl(FM+w z{YXnvfkx2UtB@6@Nyeg9@N)38S41RCHBTdG+57Oc&ZhneCl#GqMEw_{)-FsdoCIo3wKZ#9rWKo#Jv$_8g+X#HYVA$^Q&DSQ5Sjsg zZZ!8?I1M^0w?FmIz(WJz(CDG#M$+6tcnEGqe)ihS30TC>-hMF!k-PzN`jEe>WaW-X zWq=NGE!qnnGiG5$>@kDAE~6%hB!i1?0G4DYs5y1Wf}sYUF9-7>Fn2QbUy8b?!WU=S zCPMqdR}cT?n0FENUxl$*)PEhuU~B#w@tI3L`PotU=fbiu_Q4cAYI9-M>Nt6pY0I&> zpximxxwCWU!t5u^?ew0=rXrHOw(uic?iIOLMxf+X)PEzQTtmqaJdJs<2nS==!!jF; z-3XuEXd75+fGv3ovt4{tU=ct2T7Z^>h@Z_SU`kAiSR|=A8^e3Z-KgeKSR{bG;W2QZ$JpcG zK9A@21h~&*Y&ER^!q{4Hn#UOI+q;Z^?$2EhjgsgNm+036X74?buOx=~>f>`af=5s8 zCYWvQ2ko8U3JPt6YYRt?nLK&wxOze&cXRGD5mGaE3!F{dWh7zIsa~pLvRbt8v$@ZK zCuHvP;BAkm_#$}V<4J4-uYAmV1(sQ13%^GF_n~>-p#H@edkdCc;nBBY=@rJ_rT&NT z==-qr3iEbS|5D7`4U4caZx8i9ih28B?G@GrpB={{!N;t%;+HXt_}QEbwjD(LY@!8I ztaGvX7EH0u#U@!W#X1+8S-})UlH0r?^{+&TA7N+qFj&=}`!g*2LY!Y=c?rV)4$Dh0 z_9rX_!x*?bHm#UO$UmmZ7w*_;zvj$KJ?q6t&%N@DX(JjEh@Hpzr3h$P#%5%oWfRh$4P z&Yk@7PNM!Tmk*g}Y~RotpB^z?qzX?$3jl{N(b@!TTJQ zbxb`7QwNveLAawPNy2vqauJ>ryyGVygnRu=JlHe!AUu7|!h?NM55oQYVmx?m%0c#| zP^#Q|dd(O!b$EQ!h^#!g59h^?w;fkDz6|zA$QuMc!8kMDZQ=y@e3v&EKD`MaaGt~N zL3u;7^M>RNgPUWovZ-v4jQcGoEH0>U>Vh)xX*X{~UKuPa$Qua@Oj7)f)WTVLqv2yr z+4xCQXEN`n!zl;d7vznNT@G1SIHVfO`T?`9hOBEGQXR7Lj>jyRWyphBh9g;4W6XkChCG;MIErPp#H{&{ zwZI`qvvVlMCXSzWtBJ7fK_6Jfl$Cb}JZ$yp*0DGHDx9Veu-}=tC_8Uq-dzbkBG7q9 z^KZYqR zkYn`t)zKv5elXt@?Z+0M&2^&e-{s~p?Mwf z;5s;1?vP{I!5w&T1I_D<2RFgNryX(}JGdJUZlQVIqJ*96vvBY^JG-qzYO>>d@%T$L zuLm9nx2HUCdpe#S{16YmPV;)>!8hUHTMjva9sC3jzC-i+;lcOd;QJ0ak(tBKW;$`` zWw#qPO^GRp_}OeFrr7OSPRQ-=bPR6Q1JyxB1`{5r4K`-19F6HC84WmtR4R9~~hSy++ zsSV4VpuKG?FKlN!q!!i?Zxzj48N1Q*lLAxo5R9Bu0lR6llY=|YWeAaL5hC53*krPKE$0afoxJ^(9e31Gw75AUhV%5cP?c5Ay0E8mv-|G;{| zTcu;g?LvKyQV(I`gAJRzOO}pz6X?#o+d$u__&Cl!Crp?&emYwg zHDMy6a)J)_X)zg4u(J=2>|EHls1xPq7kHK%47+d*W7i5SY#RzcHOM>?U`^i$-m=Nc zGzI|d1b=S5K3p<)*kWvblj1y{sBHxICle)9ul z4IeY5Y%u=?^ugRmi~Te)GfPraXl7qqz}S5dM})^x%QvBcJfv7f0}jTXq=90Ltzl$v zVn_xNKbw)p6h!=NVj5Ga-Lpkg8mNwHo`#~#Uc4xaRI3=QlcyQj%3phHgaqwpK>&7v z14k;QpKE?#u`jisw_ku?Q?y@%SwYxh6!y!TJal}Py$u{}!GklN!Cq~k;$+d6VI5lI`sg(!_on&Zi^1#wJ{Sd{U(1>ccq_`&}DW zDf{jBEpi6z4i$gZl#^SyJyx3i8nf(OFrq_|vcs+;5j!F1mU!47*n6V(ZX15hYB`@s zu=m4Daq4Twl%CTj^oIAdEE`rW(_s@Y>OB!A_{v7Hoe7K7MMxt5G-`ihe;Tnrwm-AT zS+F8_+Jv|me16s}e1Y8!?F06gOyVo}{Vt^W#v&~((h4@U!t@UoX$>{TO~qg9#!Vd6 zuyxrOaE-TrhVNJMUalTwuRiv#+4e8?Z}7qbyC_2J4~u|5tmKFC)++~{|h4+J8`s?_HDV#m?=})+mU@JVjqkV7(!hNixmi=49G>oS- z7W8e7!~!vJvWZR|S99p_;bl{%uq*p8a|&2@EGuR)>1_8og>KAvG4RK!;dVN#6g&S| zPIipOz&$5i#(ZC=q-oCcXv~hqBGH_f16>BsoI5i%%Ze5F$XrbqNL_= zg|T87_mHpaP?-N|3rqMXXT?g=oEIB`V$~wC(im(})|Rw`ofBe5!4*Ha0gWBQ8mll? z!y@p==^xyX#%f~D@fLv@G5_F3GPlV+Jdd~Bnc1;3VsJ4Q$z$;; zdsyC%joUJtRExEO35t{=>=F~mk5}tt3}SSNKaUy&g|C_ZZt5sBz(DGxoyfu4xKiR zStZsZ))PK)#CpNF5g(lrOJv3RL}GpE-4^LZ12y=4FV^28y=eg5t78K#(nonHO5Hyo z{w1Ef@Ta*ArCu-bcZyK&9cZa8f}dS7QunL z9MXj44yVyJm<#SoF>qIE%5q20Xj{yM>BHDV4r#`6VKHnw%v}b#%N^33<&LD$_6WTa zavyg{3ziFu8arU_YRFyVkW*RiXd3N^8lHmO^$t0W<&Lq)Sc{Ca$aosL1yB%^iJ1~Pr&%xY%kh|X@Em#X5ej$qD3zouEV7 zF-@bnCMOHBEQhpb4nYZTa3cmMt(8wu7DZ(gBy$|nfnEM^HKi3+#ttWj!VU=MJES8M zzRDt3)97k$X$NNBoDzq0;und0ed6a+;h^VKM=eJ};iDYVIW{Wg-=Oq@akr(>+XMXtBV4R-h`8r{b~5;#pj zAH3t`$S)`z5Az8nKK#W$B_w@8X~4dqFde5+r@7O@AzhtQ;k9QZEaVwFe00t6eTQ@X z1@TdO|&O%p+El0*72O<~kD1+u0(fCD)eae`kx#JXJd}qIUJiWl8pPxsl!p&q4t(%B zpIHLkKOOj0>c-sTz`4yLH^cL^?xtBY%UK8(OT7uhO_SfboJHBroz7kAOGLvg=N=m9 zh8DjM9*Kv|qz+pWOJ_NYVGk_s`QbcB13j?F!!*zvV@vtYMLH7rUYL^IzHCCm&JFBA z)L9+@oJTEk3vAKKCr+G|G|&%~uYxNVoZ@T3&g@h8Kh$}WPgXjsEpjXD$Mne#pkpl_J76W&1C+#Ypy zqg6kk0d(zh_F4qm_;RfCLmIdWV;@`OE{ohv1J`2ubBjO|U5|+`Y2YS|eN6+mV(eQQ zxC3L~TjXAg+z0zq^VfXmXN%l#kq0aSdr91nXZ;6kXN?E{f=zO{gNSpG?Suf;EV0Og z7I}yU7IAg?K8rkTkw<9Y9!!U5;C_r{S!5{dm!coJhL(ZE`a)uMr?Fjj{KHew8JESoU~lW51P z%!wG_VzEJ5bt~Hi3td{7z63UV_bEHu&e~{H_iu%kM@5 z&!fKXly*jaaL34pJI2WTZWe(V%$M+ZKT3Pz@&0i9Jco>8$Dg2qSMd1xln%nN8oYzI+71xN5-(@t7+gZJPymP$K&w{aC{!p} z*n=gnrGfnzyN=SEQRW7axzQmLKp|Oy0=Lk>$5?DW4Sa^N+bErn0(XGGLWfLbmB5%) zZjq-fvYyg=`NMKPya(jNd%z_2B(T9E8!fWQB2U9U%BcGh*hd*-%U~a6j42mWd@UX> zhmH57%0AxWm>pjyoBvoo`&yX)IIyj9$c5}7uHy1lYOJ`e=C4MfH6XOsA(Nr+l1df*KK8XeYhOC1QnaN76!BYQ0 z)**+?Vx`J?sRDmqxFFz=i&?4lyi`FLva%d<36vuIjn!Wep>z|Lhj)Ymct^ODmEVlz zZODo_#i)^>Z+pt|W|JqwnpVF=TiMgO5z%_En zY~T&%V&SGV7{gd|i@+`TU5mVDk@qdK12)g*eWTzki|n+>E{p7jy|a-H+>hDanD`6Y zS>ywY?6JsR*g6}tI>Xl47=x)R@Ho8_)pUoQyfN0(BKs}!p+!Ej2;8hcvB;+u`3yGF zMk!dj_8G4Yml}U%#-(0gHTT5$GTLQP)Uz>)i-jWuwFx8pLlx1!HLtKkF2X zx5(Etcr+@47w~Ur@K{VtvB*ibE1%!C*xKw0Rac=ZaOx@mr>@JHsy9&;JmVI? zGwu~k6?}L2k zQ!MoaWIgGS8=zG1BsA_?8mx`6a!S9T2Uyox55+b({}nH_A72VbG|XFT{C9DLm&x3Gh!;lZ~k{S6Po09F74 z*sbi~nRxJhO8>-zJK^9ihsDS%0q1?(WcV-3?_-7D5%k zLa5u=!S*P5fadt{;8$?)Ylqy1E+2&k%;<_usx zb;TJpz%zgmwh%_xd)XQM4cpiwLNkjTw8%d+rzszY3r~YmFvocxyD$E0k^ho!+s&I* zC~nEeg~C=K(%K>S!?=)h7Qf62+d)=)hdcn68Tk*}2tG@w4*<>OWF0N+f|_8aqY!2~ z7Bfv9P*V@c>gkXrY=k*Pb2=ksU&!s}kOzSh-g)7DkZn6#2+qiA6)h?rUkV3d73YKG zV23=!s_21L41=uU4tW@0Ba>18NXzG=!O_@x#=u_VSixA!2V3g|EMJi3^yULy;Uo~g z&>@d7k`T@5gYq!D9EU7txfabi7jv(K z+^Zb&D4UJbt~gF;;A`>JV3xZOX1P~D1N*WqUxen&L0vb)fm2*;8S1=|&Zee5sg>VCSQdhc31@~iEdL@*8+##!Vr7LE&3ZKN%tD*E7hpb_} z<`3*O<&gE1L)Nmnpd>RjOfc$3RImw3Kkblpn(7i}iwn14>8()uS%;MCN++E73s@TN zH-&J&c?#fit0CMgkoBrV*6ZLZp1TX*Km~6?>9-uRfhnkg3f_UNcO9}(ry!wOcc22e zH5J0GX%ka`@5Y7jd|e37*H7ydB-r;uRPYg${@5X#nSv%r@EK%%?vQ6R3K}KY_e)d& zcdVNR zJ1RH`S^qfXd7XlU?ste26p_4ek%72$V)A_q$6JLF~1nfD9oEP||JhrFUWg(elleo-kx zRfE#i9rCK?6q;0A%SA_H>0_XD4Tro2)!8_kT2vFVj(5oG>?Sdn53ofirI0tR=@}?EWFKUDe8bj$O4ta|yI0Y3nhb)-*->y?o(Orvh z{-y}#Z;D|4=55WXH>>EIMR{ zGEEnv3E|FK1b5b5aHsZxpG;B@Y?jc0@KZt22oNZ9$Zn=%ChCAs1x4_w-~&xFG)w4H z;}Bvzl%C*_Jxsx+sNh1#n(UCh8U@W0Y&{JXOo!4l9I}ron2QSF9$f_Y=>0kc3Fg0y ze~2%Fdv_7syFX+KuClL&hmfKxAnQuozS!k=9)VX>I;&_Y4c?5$meb&TjIE%- zg&12&gLh$UmF1%}i1WootKr8{_#18!yh-7r&!Tb~#HF4^>*1GB<=d*eS8PQm+sL0- zi{PeN1UJo3*#k`u4L*jIZ%yf23D2ZO&!On^Ao_wsK7)n{;=FLtwp6)%oTl5|(c^{PQaL56sB##Em@ytK4 zd^Qbkz{Ebw7o)+aG4YY*bEthAf9);$6olb*?@QJr?!aDs0J6Sx$XBdKY(bH4EMGqS za1C}l*bX-rW3U1imN&7!1&)IGY$87XLnI=kMZfat_oCk{UjYq1huZ#x-^IaB@HY)^ z!@PfJ@Kucc2fu;Cj~2tjdW@?t_QP-BU@Vy0FcsH&ahP9e#qhPf7`~Q&&91cjcsDPO zLKbz%H=0YeMMb+5=W&x3+fX{@kZ)O!z&Yq*z<-Ye78lXrZj2p4gL^SnN`oI_tU3+i zv~TfIH24+f9YcfPV(eHN{0U<UeV`tJ(J&c`ALya*8o}s^@ zq1(_<3(RXrLpZ-s+<}I0L#5(QG}Hl)cA+7B%`fgoLp?FCI}P>2SWg-nh_T)@G#F!j z;fL9tuO(8U-VNkelmHkyX6 z!q^2gbREXV(GU((#S>`gHq4tuLyIsrnTBwQdht{mdJyxb)6gRryNHICV=VP$DB*Rn z_!8_jmqM?(%pu>g@c{1Z;L<-5eps80V=g}Ba!e?mi&`!REmt_?dv-Y&(hz>KD!zt> z)*#9}8p2Dw_<9=JfO$94&@&jjnfeORkhjv%vzWJlhF-wf?KJcX#un1hn;2U}LvLg3 zZWOhfxH_8<*?gt3Qd=yQxMrJ*k|ww#8(!Pp8K`W|B|X$U9d zi&xRm@0j-_4T)~QhKBybymd4zy8Tl$9K^g0G@OO8O*9;4=|Aw7FWkMYOlb9@U~M7Z#zG-gGZo_H)yyT#@?d#<9srz7-rXsVRr2& zR_rJ|_&&8a;X!zXDTY^=pYy>}G(4_s!YKH8_u@V9HPxD5ycd4A&ngD5?+EiHhhMQ8 zIp(4y&)2yBcwbulQSry%E?)czd~j#G!l1`KMEq=f7feCK&(;}W3L<{C-wLK6;%D2g zU-4C`4XmCEWnpBi8SY9 zOOZsH^Rc~uMVj-mt)oPm^RdN8BF*{O#=9cT`Pecek>-4CUqX@Qd~9Dqk>-4C)eojw zEYN^0^$}^#$CmtvH0NU*(1|qXW6NYjn)9(u=tP?Hu`Rwtn)9(uzC@byv2DIYn)9)Z zzC@byvE7_7&0>KDY}=VP0Wh&1P8`)PXo$5vvCH0NV$u|=BmvDMfj&H30eY)rFQpaENv zEz+Eit?m?Q&c{||i!|qB>#{|f^RWe(BF*{OZha!n`Pfoqk>-4CRj^2NKDIztq&Xj3 zoQP=_3p8LW5k;Ewv1N!N&H32kP?6?*Y{8*Ob3V41O{6&=TX81RoR6*Z5^2uIR(gpv z=VNQVM4I!lbzGQcu|NZMyAWy4$8H!R&H30ZL!>z$yJ?6t=VP}Gk>-5t#v#(2kKH;% zn)9)nhe&ficKZ-%#@CQ7Ugv2ZgLIsa#~>Z&<1t9b`FIS{aXub{bexaJARXu9F-XVx zcns2UJ|2T~oR7x>4dwlV#~>~FSPasVkHsJ@`B)6nl8?n8E%{gs(vpwGAT9Y=4APR1 z#UL&DSS-*`-amK@(vpwGAT9Y=4APR1#UL&DSPasVkHsJ@`B)6nl8?n8E%{gs(vpwG z0uAN;gU28(`B)6nl8?n8E%{gs(vpwGAT9Y=4APR1#UL&DSPasVkHsJ@`B*H_P~Jay z4APR1#UL&DSPasVkHsJ@`B)6nl8?n8E%{gs(vpwGAT9Y=4APR1#R3iG{e#CKE%{gs z(vpwGAT9Y=4APR1#UL&D_^uQU<^6-l;JD;tF-S{37K60pW3fO(dH>)sNJ~BzgS6yh zF-S{37K60pV=+ieJ{E(tv>%H>TJo_Nq$MAVL0a;$SfHW2fAAQjB_E4HTJo_Nq$MAV zL0a;$7^Ed1i$PlQu^6N!AB#a+^064CB_E3g8p`_zk3m}Uu^6N!AB#a+^064CB_E4H zTJo_Nq$MAVL0a;$7^Ed1i$PlQu~?v?ynpZ*q$MAVL0a;$7^Ed1i$PlQu^6N!AB#a+ z^064CB_E4HTJo`2tYXs|vA#8{4zv>tHJ;D}ll-x|CW256(>{c4RA7cw>bPdLCr_t9j zwvguZ$Jip8GZ$lb)13JjyO-uH!PxyYN60RwIqzZKgEZ#=#vZ1TLwqNQlBG0SA7jgD zv?t%HqGSb)4#(I^8od-_t7vo?#-60n=P-be3e`re@QA&h}b z%2JHIP3f~(?p;b>!`S;YrwEVkq&X*IY&XqmhDZ0%oK_gyM{~Mh>_eI}9b+HUoNF=m zDb2YPW1rKU9(*{>HqYXs)oqFEqCR^M0eb zM`7#_np+cNf6-iVz6WV;bIkjf=AMPILo~M|kCpmpZZC`lXzoaig=ikOM`;$#`xEoB zsg3oPMyZX~EzP0!@pv?k+D$MPqxP8?%cnN>h|)r855T-)YLCEJ3AHC-tQxgvVC+b0 zUxKltsXYf{HK=_h?_s6KQTt|Y`_kj7eGA4;r1q^CJDJ+^F;<(}3ourf+KW)O9<>)^ zUIS`lo0m4C_HxXFi$A~Sh_WeVlgGg4fmvl;hK?ULVrWetX_mMk8GqxrUaxqm5m*d- zRZ^}?ISRxV;9$`<6;))F!ics&qrPj|)X@`1bQn5e#JI94HM>llICk2k(-LYB6E6ul zf(r$E&zw}I{Nl256xAxk;&|(!(@}wh6RpOr%atZbQ0=>th`=O$XUwiID25w;d#8y4EIM2@uM<=T@D zJnSgKP9p3q!Y(50D#C6eJco2AzS348>nA;+{+>zcUZPxY5%v*bU(!#?oeOgPlhWrU z=@}s81}5bX68YyR#t@O-M7jX`DnFwbm9iJoe=Zf%h5nhqd&Q}7*RY~cqlk{98XyG5jL72$jlE)d~uBD`IMcZhJIkiS!;7m4&;BD`CK_lWRb5#A@l z`-R*CBE49IOGN&IB78`M4~y^-5iS+sG7&Bp;iDp4A;QN*xKf0Vi*S_)pAg}bB3v!P zH6mOq!gV4n7vWPPT%TZH*1tB0{EZ^qB+lz;5pEXYGvfFb5pEUv&x-Il5k8-!|AnOV zi%IF1gxod}zAVC5MEI%*UlZZ$B78%HZ;J3O5pEZJZzt5lu9tU2{=1^wdm?;aYiA4K?L!uhjy{z>ThSsedGq<%e_p9$CZU!dpjr1U{i z?w^GEn7#iM`TvRVka`@x_3-MvCX@$$Unn6B`hDS~bXHQ@N=j!ZaKpIe zizFS7ih3#9#g`+(T#=tALR*9}5yFxxc3<%2i?BfC7mBdRR~)}j`i_8dCH(%~%2(>E z26C-@)qO|u@F?HWT+cChfA`f8;jtn-&R3HkKVGCy5aEd;JV}Hni?Eg`Ut6T>h;&_% zK1GD}L|9*h4Mf;bgpEYlSjaaKVN(${6UUqTT5!HoMR=MBPZ!}CB0N)sXNmA^5w;Zi zT8Xf=2-}FTtq9wRu)PR7h_Iv3(@BJ#Mc74zT}9YUgy)E`y9j%T^XMtUULx!*!agGG zE9&bf(&vh>zX;D0;Q$d16yYEdo-e||LeCJ99xB3NA{;Kl5h5%T;YbmV65(hOjuGJn zA{;BiaUvWq!U>|@i6WdN@-GzOWD!mg;ZzY$6XmCi^b8SRB*K{@oF&4GMR~D?~|^zYtl)d>J z1DZqRB;NtwmyjDJ$NIkVeGTt2P#bCM`-YIx_7D&G;6=#>C~&JVh59jbsGlU%&u&oQ z;b98(n-{3Lilu&+P=B~V{b>&6$xiW=Q2%UU600 zr&Orw66#1dDDcKJgz_Kb#ZrZerD{m1W8I*RGlufkr&Oq#66$z2s1uB#yjiM9vDAqY z>LfR)lg*)OduhIT3RE2lRo4yb6muv~w;2_xo`kCJ2GzhA%ID?L#dm{ZsfH4&ksB2F ziJM-1o|;dEY9gVUx zZcu%y80vWis;`9V=LU7IIn;Sx9$i$Z0TODU8`L0ksKJ>-4Uteo-JoDwl`8F1FDT77 zTtba-gM#f{OrbozjJ~8mjg(NM+@N6F5K|~mL&7!%YK(-szzu3_6+^wOK#h}7u*oTE$SWDNxfS)O0tf8UItLizL)c zH>g=v4E4HVsf#7lC2mlcnnTU@GNQk&K+Ta*bKRgWH;3}{JoSzOb%lhw(hUk0`yAyKJdNlo)b$eT1~;f1|EEwlNvNCMpl&gTn(w9gb}4;ofrPrv z4eEAtC{I`4ZUyQN3ANA->P~YgPnJ@l7D=eP+@S8RVyL}}rS6eX_qsvdXAb45`94&j z?w3#xxIry8hw{{XD%27Q^`INnLsbm*v0|x*CDbEsP_Pk<>EP+9`94*kmPx4PZcvX_ zG1O-Y)Cvjpm>bkeb0|-J>PrRcaS64`4eAMVC{NAzqXPA$gj($e1^Z^0_9;)6QlZvL zsC8~ou!V;ylqXC5q*&@H3ANr03U(8zQmCI5sErb8lN%K5T4W04srmj?pf*dWXWXD* zUnEl~PtA8wf!Zpeo^^wQ?UhWSJXuPGdR{`k;0E<#6+``}Sn4GSwapFc6D6{vj@YQGy4Y~NO;P>N5U|04Thy9+eKGiGe2MP6~8`Mu#Y^h?UqyH?SesP2PwThvRP@sO3P`|rD{b3IEmzSr6 z5(VmS33bp73U;lm(vDuLK>aJB{&RymWDe!YQq>Zn0wfL<@VP(*{N_-euDZO1 z3>7HwVks4>P(l^CK^2=rm1GW8Dxs>mK~*=0I?4;wWlHlMEuoHagQ{T;b({w%qBfuO z9S*qp4zpiTH@*5iL8+FKzQX}G-(mJs&ZbaaK0=bGmFAPa!vQznVfMq$rcm|0G~Z?g zs)6iN4c+=wBV#CUtJW01gg|2n)x-^|sX3Gvhi0NeHIq=y-Jn{SLwQ=YrZ`IkPL)un zxj~(74&}*GD%2Sg>P$DNvy7nvExmN~9ZE-UC81ioLA5c5YUc%Nj{+qyQ$qD}gX&$yP|8Qh zKpzR!*A1$lIn-b;eM>3h&loB&&F zxm)aSoS0Irchp-Psz86rKCq}z|A8zPzjG%PnJ@lq(^MP%_BBY#U8Qb zJH=AcBR1gX5gVw4N35r}neP=S=@A=n^N0;pu}3UXp`=G_z|A8zVD1qcnC;~@qe9J* zgXdhg!SizStIt#O{h&186%y)7H>j)3p}cs+5*6xd33ZJd)V1bNUi=b>;_DKaC!wx$ zgSy@r%F|39QG8tjH%O=(-JotVhVpyrQ!3QW66zK=s9TMp0t>uceJa##66$t0s5^|I zyiIVZPzxp0oo-NzjG;X3Rzm(&hJ?E$)ZK1S_n1R@dP-1yT>|$?sQcWY?*E@cJs_bL zyFo26hw|jPPn70+P(nTA2KBHxl$U38p9=Megj(tbwagsK%R^og)N%>+s2kJ@b0|;E z=U1BVF$uNO4eD`oC@&+rPjTuBtddYqxIsN>4&~)3Aqi@=gj(YUwbmTU%Q%$;wN65n zyFoo=4&|w%tIfAwLTzw^+Gq~tX(glzwMjxf?FO~k9Lm$x7g4UhXC%}XH>j<~P~NuX zQJlI0&q}E0+@PMXVkpI_EAWDZdeIH)r7DI}_B0D@lTa_aLA_GNP>#~4UX@UCP@UDb<&kgGRDuzl5yp}bj2b$|O@LVe)|b-*0T%ZF{>$;ycSrG#?ZO*inhF_foSmn5if zB-FQVmio>Z%F_f#64dt+>IXNdAB~|reVg&sR+{f83H7rZ)Gy{xwukwtB&c5{)NgK3 zznepOdc`_bvD6Q6VQzs#XLy*Q{)(swxE<~tmygzvDI$$j6MilwCQaKO!XI8X`S zVNXzJCqf0K?{Lt~cQ{xH-(fHF=sp!n`VI%(e20VPzQf*zgqDh>!tstC%yQ}IL92>8 zdMgDgTS7(LprYnbp5_u-D^OHI<+wrRnnQV-b!n$Sp4J!6Og>ocRz8h45Ih3cX zZ-8Pc>17>s^Rf<_dszp)cv<^~C{WVNI_TzQ9W?i{_T+%$8>T=VDVy&ox8^(Ay!kxM zqmNLaj*(C`+@Ov%hw?Nej8dSElTbC?ppLI%s4)uE2@>i=H>i`$p*&45PF0{zmQc0a zplTaK1?zfw@|>l?3P@bA^ zrUKPMLY?Xcby^ie%~GIFmr!T8L7iE}P!}suXGy5D-Jn`lG1Mgrl=Mdry7{9A&Hd56 z4GGF(o?u&PsdjFbYG1{cQWoT<kc<40VM9)lWj5>ju@|7|Pr1unKjagc{%m zHP9H!+cg!;CHEt@%`_;Sy?u8&sJwls8LV ztypTLgc{`rHQF5N0xwUV_bX6iCDb@KsPX1d6Forrk5ix~NvI3mpeCC`P4xm*Q-PBH z=s`Ds^q{#vdT?guP_v|^E_SojCFYj8EOV&Y5^9bc)Le6@E4)A*uQcD466z{9sH=^k z0-n~kpQu1xBcZN!gPLay<;lz1uR>iXp{{p>y1^LAlS6Y7)QuAACO4>?|EEy5NT^%g zpynGx1#k1x(beX=T|(XA2DQ)_D&S?#GpYIRlu(P@pzbn;3f|+zQYR@_pY*a0x_Ma# z&AqIHi@iYAQlR9%!$G%whl7>aci799QNIc$_Z<$p?K>Pa-*-5;+>51@58J^+V&3%W1YrH_6qCl;cmRjd#sd95md4g)7Ks_a)*1JJ% zsA8yw3e-jkwaE?Y=_-b5q(E(!P|vtQZK-0Y#tPI{3H7WS)N|%gFL>$bO%MZe&wA8z9mU_?J zQadt-+9{!Sxk2szpF({gq4u~z?fsuZ?UPXZ-Jm`+hx$14=97M&K{r3opt+xC@Cz?c zssqjeX{j&WEcKPSr95?X73ymV^^F_Ux8_jad$E-274(CI`q2&QCvzxI%~JoETk1bAmTIHi`wqpSLc|3s zZw4zc2uag(3-U^iT3JSS-1%=GLf4%OcaR6hmkJP9?x4Qik)b)mVXrg*W`U(N5|z+Q2{p?N z>SA-KOT9o1RV;OxgqrOJHOCz4axYNIx|z@w66#7fsH@DOJbkVmu2||?2{q3R>N<0% z8@yObS0NOxLN8!b4z)epHkLhg&vYn54%AE@=1?oVG~ZYSO78v^a@+kaWWM`b=m{@S6BMW?rKMK8S!#{3rGlQm zA*)c*gCpeT!4Wd|;0SqYJ{9UIX{q&YmfB!!sn8}b%{NhLzNaPBW;dv3%%MD4N`=}Y zp|-j~J!=f*%_~TSdQL(;?*{dPF;wU!FU>buX})a|>SZ^mSInVa^8z(ZfqGp+z2OG+ zrZJSaiC7itEeW;V4eD)ks2!PGN_udF+&nl!mGI#3G?$=S>V0Xc9d4G|X>KV`-;h

i({p+cW{>FCNb^3bOe>N7W} z&yArxZHnbrp}vq%2i%~(G>7uC3qTUoR}$)LH>hvSp*+1ItCsp!LVf23^}R7v$je4+ zeq|Sckld*&o2C9Uwv@N01Qkm9x`f?)UBc$REl%PUMub{A-S5Vm8D=6&A zD@fUcBP_jw!fswcVRNq_Z&1n}9N{9_e8q0fcZ6~Cd3$tG_TUJYNT^absA|Sg-Ylg; zRhLjlxW4%dP_7CVld&5vh=YmyEi(Vms{L$(bWHt~gPhwF$M>On!KDpYHIxPe|j z#R90mv=tQghwFlphT%p+$*IH-{{dC4+Uvv3^;(Lsgrp3I8v~n8Y(VK=>QPYaSLJE}FbMsANj5TD9xcT_3){tzt`7Y=uXN7O}!*?Btk+ z@JMn2oDaJ?p~4YRSsDC|SDPE2$f{);!;>J!P9`^eA;g$LbHkG%7UobT;qZ6>ngD+j;cpWBT?l`Z zIp9ov2f9=bmfC@4F?+;2&@8U zITF4cV(fe);VU2(Z|OO_@>mc=Y$$9)F&hf7o_wwT+^*L{7@gY<;T!cG=vKW_?74{@ zD1K&^JTnW=EZ%_<&I8V2es}@cmt8A~L;OO0ZORZ&e7+*h{b6l*k%4f<{lQlfzAJ@b zZTOzY04en*mP8P~H+-KEZVmmH4VHGTwc!W0!L9JA@Ix%hU;?FW*uTUVO}Q(gLR)kV zU-;qhBN~OvP~i$txU7;29}BP4C|rdKSA)V;l~lMUyjFDog!}2b@S-QR221I6q^++Q zfLgKA;dSE5B5iqxE)PE?dS8X=YU{%H7^{Y{GJdh5O5ZWs*4<##y1p7(;Z0`3$7+Q) zn+YEmenzxf#bvQJywyzjcx}zknF*hu6@I}?_(ZMnOJ>3+X@y@l6Fylh{HmF7Ev>a* zHxsU{t@%we;X2xyx0?yq)zuH5|mm;qT!UxTSTWf{?H4|>56+UDp+*T)?*&TGvRZ!!Y7&u_ty%aY$kl3 zR=BpA@BpoFT{Gc6CSMXBu&hOhiGeVW+psTE8N0Nc$ilB zG&AAhTH!OyghyzF&oUD((+amV6CSA*Zfzz!N-NyfOn9_bxV@S17_D$eGvN!g!kx{8 z$7+SUnhB573ZG*pJYFl@!%TRB_LA&nCOlCq+{a9Kk`}w4nec^L;r?dAleNMF%!H?C zg$J1lPt^(!HWQwvwf0am;py6%hnoq{&fgUvJ(zFaGOv6=7{TI@^B zgs;>J&o&djN{c<$O!#VT%~zNSU!#3By2|X;=vr;f*O=8jPb)mnO!zvj@bzZG*K37u zG!wo-Yp|Qm40fYd_*OIFo3z3U%!F^&3g2!fe2Z3ip_%ZlTH!@z!t=Glcbf?>&`FpcQ_~On9+Yc!Qbn60PtiGvNod!kf*6 zAJTS`EoPnMVXg49X2OqXg`YPQUaA#-(M))mR(PA4@N%v2D`vuvYK31j6JDVee#1=o zF|F`hX2L7A&tY$y2|uo_`CT*NRocG&zFFUXLR<4rvznjO3hy=(Uab}0VL9|EZ_kZmcqu@-IE*JH{$g zDgV~1d@lo)2lXmEpWlF!a ze0r6iWT4WoSNT~6Dg%03eqpRKXpRfaN9S)f;$m4V7aZCB6AHdmQ4 zUKZ(9Ml(=ZtXG+nfyyKFD)TZ>S)x}N%RptRUS)m;Dy!*L7G|Kbx?W{*1}cx#J{n|| z7^_TuG&oAHvRVczkJhU^G6R*z=v5w_fyx?sl{GR@d8~dUI?h;S>LcrMddlOCRi?Ih zO})w!Gf;WFUggOds60WhvUUb4Pt>cdn}N!c^eXFRpz>tB$_5#ztfg1kC~qp?`Nzsb-X-7ud;szD$mrb9FT#^v-BzlWuWqGy~@EEsBEck z@u9{lQ#(;BJ>_s?m8mV>TCcJ!1C?#`Do16YvaMd_m<&|5)2ketfy(xJmE$u|*+Jil zCK{_u?L-~*louMSOzlLS^eU%hpt7@G<+Kb`cG0Vxk%7vt`sSHwtTMHEy6IJ3oPo-7 z^e29)vC7mI@2*!lI|G$H^d~;oSY@g$d+I5#Fjkp*;=S~fI#(I1O#PD5TTgk7vC34+ zK6;h&GEmu9uk!i~RQA)WyfFin=jxm1W@DA9&C_46^41Jgo~Kv2AOn>H^eS)9K;=Na z%7q!I9HdvdCs8*Jfy%*pmG@?#a)`dG-*2ok^%@_lSGhO?mBaKZAIw1IaJ|Zh zGf+7~uX1SyD$DdLmuH}Iq+aEU3{;NNt6Z6Z%F+6+zRFl->UcRuuky(ZR9>K0xh4aZ zWA!T6WuS7LUgc96s2s0Xxgi6U6Z9%KWuS7RUghQtR8G=&^)1FKQ^(5-^(vpuK;>k; z%I7msIYqDX#SBzV)vMf=fy!xmm9J!=a=KpSYZ<7Vp;!4v1}ZPot9&a1l{5A4J#QPU zOnvW}rKfz?SY_(fbFuy!f8SVT>W$(OJ>^bgm8q1M>Q(N}K;>n6m3uN!Ia{xCUj{1Y z=v980fy%jhl^I<+@iI|x~A?J2iDEVn-2Y8@!IzOGwteZSTE zv7-81+$o$y$OLkh*mj@o&>SXbTR)N0`O)@r7wY!b&0-ho_SP+I7i#YOn(c>d8!}*r zX<+_Y?Dgx7`CuC6wL0dBDa`+9nY;77B;(Aj*mjcEFDdNgEZqto>p!CvJfx*gIGdOW zx6%sx&4gQPg@b0oZM4E+GvW5xS*g7{JG-K(5`WDhX}?a=Q7arZ6YiuH&M_12tQF2P z6YioFj+qH})e7gE33t;97n%v5lMVZ7C+(WPHoL??7&qR|hAp^BPpy(_l~dA7dm2X? zC;@`rTH&M3g!^cPYnTc5&5r+eNy7fx*~c3Q3j-bp`2Dp?POO}g^R%sSvbhr0lmoQ3 zsBNGGga>K~>KX_qbf!UCf_er@fZ%*Bf%)&e@a{ZVtE7W# zG(R|_45WgDDH*O+a%$yBMrf6!`JI|{BxPD9XI746q*h6qpSRJGux>s|tE81V2~#p! ztE7#&64p9nvfFCzmF*3bfbdxDwbIc{c$~K8&St{nwddZ|KsezVnV`i#$3O|3`$R24 z4+G(ZYh#ku2E7cF0KtV?;XY=bu2y)OnegRW;TdMa zS7@IXXBh~KbH51iuhJ^Hq;g8G*0#cB=1SO&^ct-#<`^ge;cK;{@a1O0^R&WOnh9U0 z6~5X`_Xlq_= zCcIcHoG>ZuHq*F7i(PJ3^MhL9^=85kX@xhM2|uhAe%eg<5v}kuX2MIg!duORmuZEc zGZS8}6@I}?_))F!OJ>3=w8Af&2|uP4e$`BPrB?WLGvUXz!f%=huhI%{HxqtBEBua` z@RM5M_soP>YlU~139r!#?=ll!s}=shOn9AEc(0joxmI|;nebCu;g8IO*J~dmKQRy% zkCANpV1t(6GXo_cyiqIsg_-as?VaOGGvTMT!e5&SZ`KNbYbN}R_ME>r6W*c~PWbHW zcDLNB#s1l>=4Z9SznTd@rxpI)O!#?iH~rH^w8EB|@atOPh?(#kT48D?{H9ho*G%{= zt*~t-yj?d)L>x2Ww{?9yQeYsQFt_lImY~Q$3H0%Iwda0>necmB;Zif<_qEv7&4hPo zg^w~5-l-Kn#!PsZ_MDG36W*;Au4yLxfmZkgGvPg2;gigS_iBY}nF;UH3fD0c-mh({ zQ_O@v)Ye?zO!ycUkF~;$&4fSEo^w+(;ZL=~&CP^A(_)`$Cj7Zp_;fSjFSOWa znh77!3ZHEz{H0d7m6`BY+H-DWCj7Ow=5}Vn-)Mz9m~i<$8ETH$VH z!arz*yPFCBsJ+$pG!RaBu>DEf-n|W!z^(RYEq-4!;a{{hpKB)ktG4Fz%!Gf_3J)|B z{#`44zM1eJTHzsP!hh;M*F{V|QDb{YhC-A5tyN<3sX9T)LG5W6ezN{Y+igugStmRp z{;MT0`E(67_)jZr_{sW^7T@HPbp^hUXbDU{T@znqa<~zCY=Yyr%^a}<8`1l_EA#%2 z%&46O&fl9NGyCU9X2pE>*e5;}xvV@gcWdMd$X^|~wmfnJPu^4>nP1yd%hq)%tCp;l zzT_RX?XX(7QToF3)spqomjty*XVxNp;Uu+9)0eyh079FsANvPthg6oc(+kb7opgrn zT*|6iTcLG|SNr!SsjvcWE8RjmWl zm%Jmn2bZNUn{;Z!(w9uN*x2-ilhlq*U-FJ#TO)UtNA4+)+z(4KV8O-OijiL~xbWQ* zSS=P@90ON(IIt%204!ecv+F#*;3BXQ7hH%v#@R9p;`8~mqso$sI$UP=e15I)LuSGO zt?(me!a?nby39aWjHob=>gbTFTFPjM$YK31l5Vl)}=SN;kaltN+ykR6v&WOCJ z5#DYfOq}rI@Dd?h28-)pj3>v`>fhzLT5H1(Y$JKKHj#$?;X&)&b>RnUKfjcOYd;T5 z`h8Hcm{fU_IXH`Ct zw#rplWj$8q&UN8c|2I|IC6Qf`-C|kO3RuGk56NWw>d1%iSW#+PR&}MEm0OaCk1|k^eN16B8iYMgA0!?IM2> zTqoI1h5Qo$|B{f0qOf?9tt0c#1jsNUzUOO|N49sZQy$G;AC1-tY>wuXM`KG#cDK6a z(ZVh8@Ut~qY#*KY%uVLltI>Im*OBje-ZSwhce4FapDiw(XnogS+0d+4&h^+U z=h~*da&F~%!P2d9aK@X0?T`7QfCH2-p$Tge+*R4dMpTn&~y!PSkA$n?Mw9Px5w$q~UuF2N} zt8CG;%A+aY5Ux+sc0n>*>&j@m@o?JOR7Ts)htt-!GTLrEoVIqA(YD}l+S*s9O>a-q zmeNByR7Tsv!)fbSnKNCKq%Ea~bgB&7eM#C<&a`u7*cK;gOJVC$8Ma4~w570htqj|; zByA~d-73SjB1u~c+c}ldw(@Y=x>rWqn!{=9Q5kJd9Zp-%XfH9oeFuA|us5y^@I=-} zJe(yy8$E5OpHq$Y2cZGVqvF#px7vvEsQ9$qHSIH3giS}sC-2GA_NcTaqbbWIpXTQd z+c~h4ia#5X4e6eZhJ;%QOLT?jGbU65Uk_?Q{lU%FT9^*6n5|5{CneTY5*;eu6iTAQ z*z1hgKLxUF$bc7^=y0vg%QQCMd^nqrQf$82*yfv!ZN9luHs4%1n~(Nx^Te7;qGP1Z zFG#fc7_j*T+~#AW~Pi)iy@2OWYGFdc%h3%|5a*2c5LrOQ=YTk{u8h0a5TA6ONHBC2qAbmG_Kz5^ty>}z5 zH{OjNk=+h_qRN;IT34knnldK8P;oK& zR>TJ+h^@to$+sePOkOK5rY+ttCagDpF>RF>)3b>e(^j~cp5+(QbA}hwwsaTMYw0hh zL==OI>2+=Qe@ox}Up!p*kISX){x2GL{};`>|BJ@m|3&*S-Cunq1P0UY4@FJ8KNL0X z{!p|s-T%cj-T#gB-Tw{Q{kMDX{#b9k`@b!_|2v7@|8400@9^&bu3`7zm9G2mP2c?! zQ4G5OzUY4OWV;W(H27iq_hB{&!rw>m_lfef@Rof>+M!4t3*WNSyB=wtX5X@V87pp? zwq&%1eARo)E`eggJuCVJoXIHo`!eN>wi}<(_QRc#`e3!)_>8vOMrX8LJ)?@9R(Ye5 zx5ztWJMa9w6Z#&OWBhkJ_nT6XCj*pJAP)zKep3ryZ8ta&rqVfRhn zkTc4oKY`#c^81usoOlo4VW)QsQa@1bum_~CWmEEt_>Kw#k|l8ik|pU3_^TW*h7yav zSk$dmTjfmu?J)YMTkUnDzlkxVZuIxWG2}NGLw@ID$X_l74`~d((>^`DDUuCdY}<(^ zveWLCURL=cxYMmSrx<)^r2%R0V1m6N+onNo?~t^2$`D?r8^ZTwFof?h9>VujY6#zxVDQ5a;WT_WgYR*1 z|41Fe6Kk(av!ubTM1yC6!7Xm^Y!`#;hVZ?W>EKC2_+H~4zPD06d~f9p9yy%B_f~2M zPprKzjY@;lM1x1c;FKFY$Hm~fA$(tD44yQE?=v>|zDgN|Ma^T^P5wZ#l{3Z*${UQ*ia7)#2}`ggc%uWkgC3_=VWqF)B$&8&tz}$#S*4bc zeMf|jgLPD_|Q!;@iPHD7qADPlVu;O?6*W;xS}Rf zLTicsQbKDd_Lo}FUuyH3>d5}`5Q*~sGAT`eiMm}hiIbbYsC`yiEAe-KWl{l(yDUhV z5ACM*!l=t<2xSfe6sLbSjogtu72u1;$mMCdlQpNG-JsA95}g8>-fPq9P5JEXi&iwC zZ<6dPlhQpg*7e?15^933a*FIK^%A?vDbQ8w@vc%|c9l28(6T5^SE2Tq=}%bcD%5Sb z*A7C|^&7RzAVgh!l3fQO8Y4HSJsouvqIQq;B@Z(SK{dah==gWcNhkaIGu$rCskBBp?Z5|hA1Ng# zlRDB}_Y~qkMCuSf_<2+~<%VQBltr6mS!?v8D){k3h-&89yr+VmX=hl^dEsDP2y51JEXQwp| zReD>@<&IQgMn6m@7L!uwZ7txc=`4F)f951!Dtj685kCZ{8SjOD*6YA!oWKuDiH=#( zIkS{J-a%?MXAj}XKkgk%IT%4 z!;Bc!XB)LhVT@dDc!3nU`8aAWkkd(L(yh|NdR=7*$I)Litiw_u_UMuH+)=2s$Q;=s zb9sw&gJJ!0-Xf>LuzrPTk@dO@?q`as?ef(RvubO=tbY$@@oZh2MQ{ekS zwS@ZW>Q3k0N;up5j`{z{dk-k7isf@GBtgCvOpDoI2TP;wL`DvFW? zC7V%EQ6z(jobv(;EQo-JC_V$`tQZI)0?HHdDgWxesrugAJ+nOD?|kQcp0{s?p6=@E zs_N?M>gs9)jb5>F2is@8l(oRH`jv;wa~&{b*=^E-tVJ*YIQ8W(l*ZJ{WxIZQ3lqk^ zgq{|AFJh{fGklELjCRK8fMD%JyPu^tb}LQn?n#7QW&LKMstB6cE$4h`mGu$g7Cu*A z)yilP_t=BDM>L2P_8>Nc;)JLU<$*+ls2Wq=72{-#LU3bLg|^=d+lET`Fr_LQ&ja>& z9uSRZWl;pMRw4mhRTOA-5o0w;Z@@NVp0YOBd;N`gufGBI`WsnKn-UDHiC$hTLY{#&;RcqE z2bRye+kEK*GhkZ-+hPxFD;^j`O=fLnJryJz*j<-Aux)r?+gNwo|EYoPum@I%2etzS zR>*qVX%DQ2DT*~XQEU=Zj$Go%YGm!gBiqILd-VtfnB(W{)oAHo)4$>GUs(BySj6LF{}?~fj$cNFshM-!_ zvJ})T!euFS2&0iPWxlo5`L`l)UBguFl^icf@aTk8h;h_%eRK;SQ zs)$(k_NB89^#so0cWl%>38Yk$M#&-MptX zBau$HBI|vu%id?me{kt!GGJTF&xbadKEh=B5Xkfq>*?bJ0~;+njq$9jqq%{7f(P~q z>+aJ_AD98#8km)~g=Dd`E#_sZEJ)S@1=KY8!XB9a@-tetL-(=_kE}-4mw04fvi`pM zr$+X*&9ZMW%f5z@eZzYCHu1>*RRm$=M|K2{>04-~1yIQ+u@OC1Zz!ZMJHUkor}zevYfs#f=2%&{S~(vojMWN!z=zx(tzA)ydW zNYvkXQGXYF`kSayJ4wg4TnD^85gv0Z7LTMphWhhUEa5!M zE2i~r$}sln&K>69R5lGzCK>dDUuV&Ah*6uk%dyTTx3S-w{S_H zBwI8M86Z*GABiXQa>BKaN8$-RPgs*n6aYjSEUZaGtDMwn;j-c+Re*DO5zei}@HxUg zA#;auTi+-2YGRl;PY8@o+&g!V7ix+#Rl|XPkgmU`TreMKKv}KqPA4O&+PSE#;d8)Q=RsVyICm>{f>9cLIhr~}xfcGG zsZXfY)G2CL^@%`+IwjCgH8NV!%yGMvv~UGDOwp88O383VI7|ts!aw~+G46afEc-~r%GoY(-vtYjYiyrYmqb* za+6NdokWXbZ823JDW2J!wwS7m6PoiHZmR75CZ{c)N?KbyRYYx}xwS?DYuB19AP#*M9Jt#{LWPu*44`r~JYvn9Gq?HqRufh$A;`n_Q*%N+_ z-|$tobMZkV`<2=jr1Tnk4hg5MSQX4 zW=hvg7(o-?5%ds(4>#oz=_ahnPqLY^T$di#)J(<><~~x}TC7&vidcQ^r8_-PPYMY) zvz#8`>yXm}ighReM^(7FB?f`sh8P5@ATfZiEiidzNtU&6E50V(Y6^sACttITxF#oF zvl56`xCM6xF-zjj;CeZhh&!Qq7Kc#B9ib~k}OF4+B8dc#|FCamGD`T%pAOg z&yvI!^AbKwn(4wL;;;k=T#8FQm;%#gZzY&Xg8xZjunTX$16umho zk=|@;O&GPDF=3RSfhX;Kw9Y)4^O_Uvd3sgx6maRyj(G0O(aMr^-UHsY%&-Qt; zd@LTW&vUr0{A_Vu`B}vEPM6Mg+!G0Rwz=K~bGPI4~Eu548>6L~@VzIgJi*K>rkjwOIc4LNINy`9+pNP_B?lx zRJ7+|J0Y6T-wU$EL=b}{D1ul) z3Q^<>+o(%kPUF_6je0$K50vjdT-m6L4R)R@8ztTWo+}$<0h`?Zd7W$%K&92#Pw{yO z)s2#*{UMxK6>3VtXgEwZN^qv<$tmh6^&xdKc<&CVAFH2*G_9W2Qfm#Lk7;wY=j?s? zaV6uTI+=xQt8CM3ZI63WuG=b-FvC<|(n zp==bCWzx0KGMG~D4fis#yg?bwzlsyj!cS%n*6`>{H=m4gm;nsodu;RRUTi+y1Lo7c z%zPSSnYqd+@S@TnT;IgA;faDQZ{Y|0vb-5d+SVyom}E83L7Cn}cH@IZu}bn2StSoy zR*8Bw@PS?gxBzo?GBYk*b9J-iJ&q8~87eXoHcQMBcJ^W#37jpnS+d6PI%>0YBZ^mp z&9XGP-cXaxjCe+3GEmxYsu$hwtPO0bWIoa870&axMHVF$p5>0X z+2V+-`Tbx?SwA-YiJQLQs=(VcziqHFYPiS8U*bmwBxodcpfmx=DYBt`c{k?1ZG zi!Meb3DI3_i*CSFg!@6>miZ~dtrA1atq3_E#a4+<<1MtUvR9J0^U$p_$@KUrwmNd5 z?6>4X*&kkFs7TLL#HT3Gqswo7)X?rnPkUI@4A zbtGu=HO1FC`0eU;JwphS>Q-(CzCEcO_zp>`BA*?2VRAe09g;&Fen>m?>f#|ucHldt zQh>?3L%I_Kq6v23J0xNKye8R^^bUNxg zGu4p4T$*deEUV=dPy--OL)0HDlc#=(U)D5Q(%>zBS<8{@E+>x1@kP4DSg ziO#|YVY!AII?&Ub_Hr%XA-^M9uHW}tu8ke?HrOF!bdp%IKd?+W<)UfI?FCKxv7afo zQx-M^d)n}){;ece0l5vwPQ8~QUEum1K zh1-2}YuXvu>ucZdlAVFO937llwM!D08jtFl8ukiAH{SDrGHkf&E=?;Ft#j6j}Vl{k}l zae7r|u0RjY)qzOJKY)1)r0l~%!;!Fm&@iosh38et@wP{JUQL|pS5ulRos_O1JZDu+ zO@mEO3AMUf(-x+UG(5L!(S#|vxPBigyr`5ZLXd8{TZ|zYAScPjqj5AOw<}0;gh}#s zAjwfG$!eOC5z(P6RXMGci^QNTMXd>C*-)0Q7KgGpltDgd>Czz9A64grP!|O%_3wO(KF`cIgWxemadrN?P_$q!d~xp;(6k zSSTZxZZ6YZ5o3hc~ZDjA!ow2Yw!FhE2>FB4U=i zbYkM3NTj??%nF#8<$;(L7%?ka#8huH=fO9A#5|z45H6OCn3uy`;0~4!NbHW#c{FRP zB{6Fb=yiqNdpL7ImaNm5Hg_rGl!^SbnRp4)=77EOPK0SwolToNVcJ|_P8-_?qI@Ib z^nZ~_+vwfgHbm@9+7X;d8;W%(pwq_5qg_*Cz>{(@i>FAt}p-i*tFNB zUS075|GFgPnP1>vmqq4q-o)37GRt3=rDr!}=~|_|(#e*k+EfL1lE@Nd!L@8T7EXim z`@L?9QzsCoE15Vo1#zlph?CmfQXFb?kvP@Abj4xxZi!O^TX9^46-NUQr>mGaU2Q9l zPrziP;?%)UoDL=ur-nu=7A|M5qm7f0sDrXtXNk#pFlpDtLH!<~&S9rHrJ3BK8)pY4 zr=T7!buf__(&gPU^^6>qY@bj>(+-K(L0i12b=8E47qzaMn&Q>L7OxH>@w(P#y3}fV zLlUUTR*UP9{=eRr+q~8EhAxgmrIh7Dao*5Jix~&U1($9$xzd}yVH1>EO)YGzsb%t3 z(+!tCUya_a`P#~!udVTXZ3XkSHJh((Y}Q?6F7%M6oNoSk_rjZr=Ic#}b?v;Yv-9Ah zdGTftut9btK< zBb|VIa4!ND?=Cj?Hp1c!dmQDEANLMPF0QbN*DXH?9$|aO(nG|+NH5??%F7w2dup`0 zk%m5Vd!)DT=Gb6*q+gdk!FdJ27Yc$4Fh>t1&J7j-nzvIr!YVpkc|dvCUQI{S8|odC zTTO%ausrnDlenQCbk<;K1%{{zoU7-`HyOho9OvLj6J{!B!gfW{oaMC4)E zd(wRCsmf5;d(wRCbQ^id(xS>Ck=Wc}GgCSh-%8-=i`08`Xq>;LsLbsnZQkOcFlbDLbE`2H*y<1apxIGm|;Hfwqrs4=T6-Qc6 z7pIQ^!v`LXOdStbdW{!aPxV*?|f#rKv+HVhJ0kAzq8AITja3hjTjUG!|p$THo1>v zpgRogW`o}yl*6(^gE_E^FNsC`uq5)H&!cfz7FSR%Kl1Qp1(C;qY^8bd_X^i1eoJy# za`uIY!LDuqF{Y3pB1VXW{4aDImcGx9urAMG9aEMki*`&|B&^DxyZ|nfg;n|U;=_^@ zpo|#(9eU%ZeO2h=ZE+vmkr7mVLs->=`8HwI;2e7n9d^&5$dh!UTXV^-_dZLdVJ4NM zb1gE}x84VOap)G;d&X8AVG#*Fl-#i4Meak1cabN+hmwe%$TYxzx?YAmNBU3_8ol8?9{QnPT3nMx7TaY0kSE_JSbKGFLDS?Eo0a{_>+t!xa#HyPyyA7#=4wj_ z1!@}T5a?_f6lz%|cu^@YF(|Zja7x1tojz&vQWB;FynjQT*hO=Mvrgwqy59q3>??KXx!`gB6{gjycLCQ7i zhisLe083tZu!d%^RXPf*^h~x&zYf;WEM^UD0&8eCvxa_wvN=$eu6zn*bD=CvZ3$)b zpe$3Z1!eQ0EF%CO|HyMt778?mvge^JNS9U1l1d#Pxtkuro5?!q`&3Xs&EglVwKy;U zqFw2Qpl1GS@k>dp#j=;P=~^7B1k;|oPWnmGYw;&;J>s(#FHCYRUYy)o{Hf$D32C@b zdCWF}=_Qy_is6NrMh}?&KQx7yy>0|weF73|ygp6Okf@X{0jbj9IK5$6)+8Z)vR9T3 z>0{PqWF2&|0Wa-eNW4yTWsPitzs-D$NkVgzfQxeUbG1 z`GS{H=$U1Uf!?>7*LC0n{kTrN<(y zK1&IR+y!roRT{?fYl(x1jtL-I8XtR5ib4`BvgfVccJb>H2MgluJNYYb*AVa4W{$>-tubt)9Tlev-OBxl9y-8Zs+CM+M|7z z+#c;asTmIA;<)sk#O2C(%BlPBB(J?9x_-x7%FyQ*CSAFSnnki`Hi5`jBnxdm!zAp# z`TSm@NWJ3sy@Us>_BU8qzn5ghK?D2VBQVYm$`~V?i;*L)?Z^+3>mp_xoc+#FD#q)F z1lQ-Fr)%}Hd<*d-7IdrRgWLVWWrY{(A0*p0&+__1()+3(cxl-L7LaKKY8e{QO2So& zUfK{1QirDGr@WeSAf%;!l6pM#WJpWfl(sMJHG6+GSjkG-I1K!n#Qq9zmD&^`)(EwO z%MHG}DCrgpw=eZy?5_|U{^D8Nz^MW!^~{_ z)k@eOIfp0mF-ax>-kowxGB5cR{Fvly)D810p;q9XYsd8agf*!%2cq}sQD5YDx&#>N z@ekuGx40jd=nH;vKhBm-f44Q&>@F4@m*hp_EurJGm6N*#a6EylIYbrhpUx;vn>F~* zp5QYD5t=EpT0vC7+&(VxaD}+orsI;egbp9zQhG-NoIQ9#zf8y_dN>zN!PuOT?2Urp z^iIUg6A}xUXXc3n%e0G`CnWxLo|z|Pf%zOWPsrBTa@8m)5jP8>X*{K5>2*OEi!2Ez zV#*3Jt2m!CRDDudmB|9n)tItVQk@@`IOc&00Ns0sx%)gPbX^GBV;mgUBgt1jCJ{#d z(CL`lJd0)+c4ssQT%^wrz(0@5HtRo0R4Kp5{z>8&r=*W;fWJ*iba_&;t)Tt^*F^qV zc8{F~1%t;W8gW#Qz1#R%qTTqR{VdTH{3>xWf%^dIiKuSi#2xaU(n|>Cz(#=+=l!H-B$JN{jN=T678g20I1xM}iMByI)-(L(3dGWPD{lH2q9u~^F`P}b z6^FbZNh!axvPXfrweGCcrwQFbmo8QLt7K~P>f%?)5@XG0U#0r1EKG*O|5u5c=auT& zm@-YM6`A#Qj@vlkdHb7QR@jsdB&kL4H;L-umDF#N&`5qzzslZ==I-L2m0E(Bis8c_xu26c@7>^&JwiXnYa?`oo;f`8flP?K%iNRsUgifOEjT1NDfj?<9t-{v z{EzM8DN%$Y^vs_BH!dD!@qg#yQSSLiTs+JrbB98}C38$|p&k!xQBS1as2)!ps~$_; zrT&z5m3lI*5&S)<{+ynzo=k77p30c3{+zK_J(=;7`cq~N^_R?U>gixb^-S;`^=zmf z{H;)b%^IYh&6=V97H+Km8oon48(yiN!-w(F%fQvbjya&`<1^$qFu+yfG-Y`9H1WBD&=c|9fD`po0N7X;HF6y65FPq^f(VCz~4ZU0o z^k_hP5OgbjX(&}247!!RG?bzphBErnP`b7Y%IHf&5Pp(U!P2)W6-4^Bo~3UCuR-t= zjafMd)5J&LHb_F>{+&?Yy1h+*C#`$_=2;Ga%s}Mm)z~=`ZRi`kaZ!>#jRkKYuePPb zK;K-ARl-HdMQVPcUz8=&;o>$f%C3fkf6fVmh&E1cPF|D*45O{@MV_3SAgswetW%#= z7pY6_nbV8jo=7rMOPyGxnS+9^{)V8di%jaNFDB}XD%Hlv8 zqp5K%0bME)(W-@YsYH1c3^q!uPWf34EpovCHG>q^?Bg#Y1TyuZ!UZlehCSize)%+z zi7@PBaZ~9e!my={;b5>1DTWR5v*rS`T3xmybWcE`i8E|8ZK?&CVlp|F^#W`oUCDz3 zL4-yF8;u4cG+NteK;k-vMjZo<8%>!p31wptVxj9Y3_=KlIyMG%L>Sy;W5Ba2+K$Hn zn8%{cU98HITy++)Dhpwl1gqM^doO_!*8_{zn!+@W2~)HqKG6z2 ztA6G{+%GE!!e}7lY*LRCk-C#;AYHhDL}V$w6AvUJaiNI@5-Hk1x{3zUof}A0vV4KR zEfGhel2B$X5|L-`WUjj$;q79^%wK%1{42x4XyaajO&S>wR^t_QB zvqbymM{ffoq#!z|AUbSYbR=D}s$EtX9j_<_(fdFT_oe@O$of|!`maaLe?_*^e?4LT zE2_|cK|*|^T}S#a$bxVDtAPG%Hv3nP{OI#NEClkS3#k!e+^LT)_S%41&G%$Ar;S(9 z#%n?S+A1$o&xX|iFO%$v1rwxo8#KD!*RHYQLRM=R+#q}32E%0;4K284kY{v@?~cQU zyWImf4xVt@YvpjH_v1!4zT=si>UG_HI*F12;ZaNr8Tozn2 zDlhuFZ&aQQ_mBr}gk>*A^vznrmJ~x28b(NjM*BtoMItELFG`{;+Rujjo(FE!O$XyB z(ZW|JI)nQ@(GlDjiSckh_Q2J7d^f>@JX=|+tN;`CxN<@H3-0k;r*>Am!RLH+wYm;I z&jbR2RJhmECeSO;2R;`C@&jAp^A9bkg)P$;o@&)EDk~D*^NGI5uI_Y5*7UXOd)}Y5 zV#z!|uy`%I-6h7M+3khbc&z?~6?i47MWgD5ceRA#v}=N<$Tr#HvS??&|N#K=m(WR6tc8g5MtvWGbHof@2ly3T!zhL|G8QuOUM`8Q(Iof1!q+KVtpB_DCFVT;g z)?a61D`5r4J7yi*>A39EcuaXT(O$x1*TvD|Yv*27I!BMYE`C7)T>OfjuubSMVDY8* z?)#a_$XxGEO4hs6h92TxEC~SC(}h?Yi6=6YVoC{1#VD0&rk@fL6%$Bj^OngO%K;oP z21(Pbl>j+81H91y`;yVKz#FSysr=_lgNua|t!-R#}G=N|pdy5}A}hwiyUu?_{4 z#7=HkmlUu7eP^cz#=V~u&$64o=rPFg|m!vCA+31O#EC~PGpgd zpNsfnU&~a+>j4*Epa4Wix6_F#{XA#IotVT#k6N=+*U{{RVjT)7iDM#R$>D@0Pm(4p31P{TUGNtPOP)YjvfaXx%|?=I zPhx?v6q5+cWq!f}SU>x}78YZWmavqxg{4&T!czLug$0wC=w)nSDT{@r43M`hBXK#A zuvFxPrI_U4ED2#LCd-v45|&~DVJYtx7O?0+>?{Z)51c?CV!aG)5y!2>y{}-@x4BY-5|VO_E>so7$r}2RZbq5i_D% zfev{L^ybWuL@z4%`hkh$!W<2e&~I@3KYD8~Nm!Pj0{tdRh;~YEus${Oia$$Y*-v8H zrlu>k(lfTu928m2xtv)6!gxt3(oad#+cJu@RZ8mZOp1)K4WSVtL#Vw?5tjsZN=gDt zNK!nCB(Q`)0z0@Rumh98&NhYu3GC`6x2=Y5{zoOyz}%9+p0)(`N?rnQu}5>r+)TAJ zBrw9_`Pfw%BfOT~9pdDwk9VM0t|};XmHNC~)xR{ky3CfV`XE<***MFCT=g@@+0vG) zmLj?8Z!Lpr9k^K*fSL9eoctJ9qgY5T3=j4-Db{5${WflSEGf%2KLZ^%2TDr9kZ4#A zTv9VmC|y==NonTdrhFITE29vQVmtb6mu^X>Ezl{JXA52u&4IscYW}4UaL<7OYz_>x zrAA=4-Qg7tdkze?<^VUQnDUZv?f8eb;Km@W>0+dsgDtpjrJ75;1=lY<)m#9RnCNz@ zIUT2(gJL+nU?d)ClX#waR0(NvMUOcKN=rgGxch5Rvz3s}o)=RBrIB0=wB=%;XqMe= zTPg!gq-#{Gj@evV7eA+=k8z*w;@)fMqfG0ihCUiwFQb55qZ!G@S|n5NF6gN_wxKu>rhivH)OnT^kz7SKd_@M4#(m!lZ`bV(%JPe}q2os;lmZ1mfgpCj% z2!ulGX1F63jq)-#e>L6*r%cAXISskvKAPFRf7-@OU?U_@`GQ)aCzS2E7O51 zWbrbm3W8_Al7{WKogc!bCa#cdHNj)%rNc*iX zMfBE}{;W+VI96n2I-ihCH4>2t_KW;xsfHwToR5uFL-x=IN5~qom%MONfj|vONMop+ z4tEnhut!GMgC-b8HK>9au)xSRnLi3|NTa)r&1Xwd&4W7W{gv1Ps__ z9ECpDG)9R&4;!O%p_h5Ar}>sKs`fGc`*2Mj8KYeO)IeiNP64_=WG&}>l8q&zz^jkO z(tRa5DR|!NwqqV$Y@;-m7_Fgl^gv;*vRqkZJAPTL%qofFH$aaP@Y@@K#*Bm1QMU^G zy)Q6-?;LQ{y=eM-6Thvdzhs-Ef?Y`CnDVqxsJzCvfs(=an)(9M9<8Y_#P;X{VERJF z_(hg>3Cw_pB0$6fy?nF_&;BM7&s@;Q9?inZVFOLs4HHpv5wOvg=w)!~BxoRcR}XIQ z+866R?QRg#EriqeLl0LAYjQWQpr-7KC9GOjre9dvu`D)~SU&827*6i%X)AVOxUmyw zv*&l#O(lD9-j-=9v8wqMy{Tl;ahbC8?vEB|qBIXQbrf)*m!*IMy+jIlsU@!Ji?A5d z8(O7&#Ffi96&NB(M#{t$>6PUIz2ezA43Q*N;C0#%UB(oTtu;gz`s9KfQyvn^tx0iJ zX{B^mdfG~^8Vv~SDN%A-x^fjLIjrZjzz|!{^#naf^N?%G4WQ>}9&);;C}nX@EhXaI zDw}f+Ofx2skaI&Nx!LHfac$>@O6-50aziCoEvZ-AW&aM<#d`!d;Jc+9kz4*loynj= z3~yRBSn(A#AR_7Ntoo)k(gQq5)NxXST@njdXGd#ne_^fxJCf0GjRw?6BrF}^^1FiO&a6xXrw1>bF3ord!38>`!q z{FyYQ)_RwRPU!YESe5)5c9oEECB&@6Z36F0+Vg#1LJOr~DoJHsZy~9uZ+30Ozwe$x zk>R%|_erLM?{VsVY+XY>K?zBQN$@ei)X$>*}G0FN? z&_4nAUQ#ar{i7;Plni|vl%*)ul+yZkDASZ3P__fg(v&4iIlU0d=xZTnTsbe+J1sF& zUIyA|Fpb*sV)nAHn3)$rL)R9Bn%lGNewJZ^r?@+Cw~`T)oq)n<^!KyTmj%@5=y%(r ze}#=6(Dh7KMGa0&TMW+D>lJN!Q>C~Hw~_X>sAk}`P2X2-yM6%v4#D5QU^_9pAY$<&+1k7N=7Nvfs`)zopo}r7l*2De&_OMbFp&4al7?(9g5# ze>m0Cs^#l{>*)nCwcQnaW7>RGzhX~JgV`TTgAyexEF-T#0z>7N;9iKxj85ND0dE3cnFHeB zIoXZpC0GlZeHdn${ zel-0nj7=3_^8`y|Y=QM+Gp!&t-A4UMZe}|;Jn2>+GaMWmK>ttDzrxsD0S-^;VWij+ z;4l^EXhK|>ic@}2uFP|AxElJOO8*LDF9>jWT8}1=!!tM$EW+U#jz@sQiw+JnKge73 zuQ0YqfWtI=^*5G)4$pFZIlOq*Ef0$w92!Iahv{EoY`Fl3=|z!;83G(;xN%tF-~cCB zkq_u!VQh^6hnWd*pvxxHwZ;Qf_QDA{90kHL%Pkyh9fTlgE%FTgD~y5r*>}p$P9Pix zLUvLmgwPyLr#W1j!yyWivdKZHIUw^1{VR-Z6(BShU*=9EDf0wen&;+HfrCQ}=>H4) zR~Rc4;4t5hOXfmCwFWZ`xP684oL&)4GEmDqjUF>jZ_RAw(eQdR*;4d8%ZX(vE`YVm zgauY$8xEELnHSt^#LEscH~DI1IODPMW+4{8$g$F4@r!Pm+wG9I9gy}<`d1j+Cm`=j zelmyFX>I~|jIfxpfYa4LpI06Fbnpz1Q}YY;%7{(Qh!*MSFj|J*1Q5Hzvtj^ z3-k|Lm+19{u@40}tkgq@;5?H(dfSJ@tK201$RTkbUlRM+N~<}pJ7ZhT%?TKr=@_7v za6ho+L5THEg|W{CV_Sn$iTG$;IzI1qE~cy%Xo$5O3jm!j98wPeRA3>{n-|8u5umf~ zztj-xIkZ5Uzja7`o6p>kZTe!$29D+c?T7>I_98>u$TE9dQV7t#bD#|>GPF%@+`f09 z-Q_D(Aml!(KA*$Nk*j=ervQxn!GKTYY6yS=bsue47&|Utxlw_`qbEG74Wt1YN zELR3Nb8TltdI9r9{0Wq_JP~_BQ+I@>so)5mUKqQmfV=!9mI~13+Age+=+o-ZX=zfL zcABk{(x}riq>Q-JY)Fvm+i7;tr_+~Roq_})pNcVz>?nd5&(;mfP>0F{gU+sDXXkSD z^PKvVd?lDOrfhQT6BzINIK|mMo?XmKjL>NEpry-aVSe2up4pc>;`tya>;ilHplh~dTh3W9 z{vS>Tz#DD=RZI*3z+?u132a$XmI-V%QWgnpIa1CNSnw;B!Ou=AZ|c>-W)Z}4z~f?( zbWek3!Uo)90RUPYO2r~3?sOcSF{s@}2$x+`D$dvLF0Bodw>&A&XmJH8k7!YWR4{09 zn%=^6BB!DM+awU<*)<%3Kjsj;BB{tasYNQHPAZW~LV_PED!~s)u9WZuKU5@wA1V^T z4@vHtQuYABFDI8XTGSzzBU)4@mHi2RC;`FiIs~7>O%ZBpIxb6}>H05DNA-wjqr;0Q zJu0IS+!4*b*60Ck7(@o`VQ!z|tnP<({)8gCnSno5jNpF@{Yw7|vs(xh^lRA7-LR+CFk?%Xp74Hw=jkns{mRxr;V*kz~Si1%c2EAka+W1XqO`)*uj|VFhf! zG^|#TJ=?g`j`iv{(z`hE>^aUff7Y0U887B%KMx?%Ue128r)Gs1fehCqH5nQUNKM42 zTBH_3BWuE*tO*6#i;duXnvomniR`5i#!ZFJyr~1wYLnUw+Hz7GL90XRAZU?(2DCI^ zXsHNVT~e1pTS@97XjhUeF|=L=G(GAE&g4h-nmrju3o=f`vrRuOJ=&dND!{R(w&O+y zq%wkufdT8Ccw)x6dZZphYa^+L(5g@B8=RvDjVb5^Fs6JjxuwR1Ttdwn!UZ#Xb3A*C zqk?8J^Z~sB?o>0T{2+P6Dyu>~dz%Aejtv7JS;mwf6M)$6fSBi{qODf5mm)HPbTl9h z7~u*@14Ov1$W<2MV4m7DC_nPBo>35ajFJ3may4tYi(HLbUPG?2TDnPoRJIV~C|BjE zJDMIpE0uf4}XblY)p<0AVS~Jp&)&3W0 zhH76&u5*UOPwt;2Z&btNwzJ~n(fuU5N(`amF0I;}G-o)yLz*L;t|!;y5m2qlM$m$^ zV71>PEl};2q@^UM+USxix9cpnpVKBj{~N8)KMsE5gWeBe{{)`-I$x>fJ{wgS0%kFv#VGDv+N6o#vdbVAosDTL7@qq*Ay)T-U)VIg~T9(cw#)P_w**DU7n6 zNoPjcA4z9K*)F7uKV{EI9()SUiDw^m*u2_db63)pb#k0^MV)jb-JDJsGTljcR_`a$ z9o6eWdf4@FP_S}V@-{FRmv7Q599J^)zYJ2IOMb2Koe{=iResh%g zMh=?5yLZlYYLI=-8BcyP0RHU;@NWkIq~)VCJY1a4axkIc7Cqf*T`(>mV>R`=^iCgN zv$l{Q^tr&SlJHlWZ*i-z1$Qq=`CXDOkXM$!%kCw4l<@fsAp#WT2q&87*=ex4Fwl9B73Gv@Gymdj0Aia^6?L+$5YYiA};qvgu&6L0NmO?hVAJ$a}no#k)=F8T*{Rq%T7|jr2um_apt#!V~EQ;sic}(>*n|p!6sGS(^;fAGH}k z2H-aRENeHoARfn_Gxs`zmky{a{+sJL0YEW+=8OZ8|$v{-^c5=H>kNVsg zYIl%3SiLB@1JxTu208W6)KC?6pe7}#d4NWDockQqJ(8lT2~2{}5zY|-^~IM}>B5~= z)?Ht`d~$w$fGjbc&s*|(C%KbRDx2JiD0LUP3sXK4;E@RERmIZkgLFXR&Et^{=wgNK zo(C|(%fx6vCd)1Hc-)b={ieV>QTetT$1ikA)m+DS@f-)%0RxupST@dFJ5HbXdDbyQEhO&B9$xu{p z7#Zf&!z0aC5yTN>1cPXptjj(05qxh2h+GgZVLeJVhKylcZ9~Q&u8t*R4Xwf+zblM) zFcJ|vZ{Mj=eBc}U(IC0`fZ8}Rj=}6i#vz#F$#@PXoUg(QCh>T8N6o&=ftf%iFfcch z2?)$YGLZw*+XU0c0dtrGGl@)MVEU0s2+V!tJ`T)nCYXT^m=8EG_mle>m^;Y*2+RZI z0S*jg&|!lb;(+-GROfXTxgR7CGAP5yg9yq)Z* zO&&%tA0dx$FvpshjdL)gHx=%*Fq=#!Gbj_tWCZ0=@+b%8eh+4OF4VD=ecmJX2Mf=3)8rKsn?$fw?L3Yo${K0&4+kWY{&7)UFr zx-I#|anvD*X+h|SHQ*=7lMK>RhbC^ygQyKi3 zWGaIHG!SXW6_zZc5L7GjTL6D}AX&k2WJ(ymGS!2yn6g4J`LQzYyL^D=I zM~`^?Ifp%87&9YTP^Fl0gFrp+fcnY<3YIvTze_Fc@;1d^aG-r-KtpjbIB;Gq!$sEE z!7orw9G1cbv^cu_bp)8Yg#H!A1((0nvREQ~gMjsu5)YnQj_V#sZQlE$d%W*`=%arv zgI3<sn=euz+I68be5U`z&&}4L&x8ts8)1&B;(5*04Ep#nAR)UlFCwq z;MK8`B)*JB-Njdl+O-B2`Y*#=jv}>^B+QH&Sn)N`0|=qlMF~v@2s`0&$3xAI;+h^S z?sD;7leY*eNmKdc!@;a=I^}0<%*ogUn(5@@1sOY^VZl)GP1puvaT>5W#pJ)7O)h^j z@`mc=Y%clNq%PG&s1zhZrLvePE=*(zix@|@;~`d-JiWtHyRvLMQVzECj#!^G<^^-w z9cPvqi{G&>xIlOY{RxB@?N_VFHe7IL2m~?mFcZYcV|+WY=Y`*QSCQFJ*_;A*<@2(;d8*|@X=;$jt;UOd&Hj~eiXW1IKi#&_g!0BYVvzoGcGsp~9 zZ#S8N>dhoG?RuQNSCu%Bcqyxz$n%O1mes0~Wcj?1Rh0zAFdhjMUR8;2gjaY~b+Kxs zJpgrQky(std&n$Awb=v$;*1bNci=S)P_|W1JpJLdXU9Y3r-`aclBV*ywyGp~sB@kG z80R>Pyk$ZsYr<4>-DZp*aD?=C(`+>c%D4(uO%8vk9rxz7sv|? z;tBEsg7_kN(SZoP;{>j1Luot)Hcc8z;z;>rxS=G+E2v#?9MI#WMFnv%Nw}A8)J785 zJ=?Kxdb5$_kb?C?^~5sB20S8N+$3>;7f&8VBw-7+4mI|x#GSyI$HP`vC>k>T8)Cb{W%>)uf@@vZ z&2y~ta#)muFp=ohqMWi+*xZ8AOse5A`psAzplF5w?Bjgx>yjjNGua7~vEf@t7BWJY zCkqjw7m-E≷BRiPSP!OcpbU70F@*aS2%>f+&v2gFxFH>+l`OoyEv)I8Y2LWGPw7 z`l?KpqP~`qWvnmjC>)xF{517-36B0x$8((P$-#)H_vK_c>%AITj(T4~R#+p2*dJh? zwSrgK~h$-KPv~ul3a;y_=5QO3k0O0XjJg2dPbOX520Z>gy%ubR!Qv85BNuur;G1~$$+hdXI zB#Ci!!>%VJJ0+%>zZbIK1+Oq@Va>vvPB0Ur+{HDuv*h9$L@D`QT!S`ZuPxnHhNJju z8C35rM3}xLm(#^neT1Ysrdel+#m(E#oh2_8^HzLk$+-lzw1Ivc3bJP=jKR6+EsM9} z!@@etp4bfabfNK>kZ3MaNH45#JG{=4Cl7g6}F0npuTXZLV0sK)^?79?qwKeY$vhwTy1aq?FO8x$GZ&2H-S zbjW)(2TiaZ^>n!gb9y<0YsdhY%gGrmXT2oXzIZX~C5`dsVV07JE~tmzd~KP_9yUB1 z;1AB0Bb)hF;{6?eBGoHtP0>s8bdH-A9mFG~yH?n&<@Ck)-ohN_{@X7e_AQcFM)OQ& zJz38z%l>3NvMe`{4c4ZBKWD#%9b)+R&7V8oBDvkeYt>#ckTBYllI@l9rG z^>#&}=iKT{hNcEIx&(U3+(+V8;mO=bBKXV!v&}7XeVF#}C!=YH-{zp%%rj_t;C)~B zke%SbU~>+ZVE*@WYscFi4zz%&e+KB)g?}_m?Jv30O7CH~UnheGVpD}*>-x(~D=;Z? z2I1+^U*h1(xf5y+=4(p=UN|>Uf7vTtI{_{?N&CyvEVh8!Y3$klu$uV~e@L`2-W-oQFw$UV5 z#&&|MV7I2AP;C^&bE`y6GgV3*aLBjB4dFJ~85n+;TD?uuO$6Qz9!vWe18AE5tAAwB z2&DhuZ<8Fe7=!01RZ=J^=AvW10RjP>!5fT)f)|l3WD66KyU7+LB3sE;frt!DEFzJB z&163bK;up*B9Q>9jRrnY!aJ9Ud zgCs_rOGwxp=Y-_Wq=n?pWP}8g7K-!;8Wb7_o*JlzGi(qO3n*%a=E$8z5tBg@cc7_B z?sd%98~wy&JK4^}WGvZ^#AFBAArO<@CL&#OiNiL;M50Xw7e!3&N-QQ595HEYh>0Bt4yoN}I_Vrp(da~6;$#0u z%wzv}&SVEldjXUuL{K1Eqj8Ha=NSh|M*|8vMxr|<+n5^0`A3|?qhZ{k5*!{)GeFYA zqt1|!U8jGAIWq-^N5k=PFNBf7xd~MSu(jY0AVx?wkD)3M_`(J5jF4PRV8${Ri7`TQ zHyUb#e2Bt{Mo1Fq@TT<$`T4)m2a`eA{4|0&ZPR^(ELO$ciR5^n4g}(ra=6!_uxLFm zjVKwJCbXk?#{l5FNL-a-;d|OTQtu{i#qESf>RrS&xsV^qIyh$ovhuN4cS}@~!EozP z*0tXsB?$t6{XTRD4H*|b4JDa6DqwbxlATX+Cs}t(4l1aS^OuiDN!<8614l{Y2Vj+f zjpnbOoN%h+C$t~&H}7uuy8Mt3is$l0zoy{3K490U&|HK zh8a3qq9b_1kCsu83O0?C+aSVXvmA?Um%4gS(k|E0G38Goy1_Y)!gbxLr}0D9?ap0f z7ej6v*@cjMnY_%9%Q9|Y=j=2t$>vy3Wx@GMS5SK=EhxLmZUzND5tLWRD;yMfu?nu* z;)}&&@mfi*hC`H_dF^x$*~38YCwmacy<{&35}u55fgFdgLsF1AEiI7y$UX-0O|lPx ze3iV)fqdHoa=eRv1vzakko(Dg2J&69AAx+0yvBiq$a@!-6I_lQdIVw)`~W$?Kz>9H zAds(<*Ex`%c|cBdUDBrKBPPg$A4$QA67%N{Li1f`MEPbs3zDwR^Q2tHcMNr-&?{QErcn0{OTcp8L zZL;AoIm|%*ha5&A-zV>5khzKn2NT{^0oKNI(;efu z7eIlV#q^SUP8XQV5LW`a>Lc*rc!rYiSf^265y&2byA>=|-mpOzl$QKM=9{B>n`;vT#;L#y` zMZRM7ij%KUy|2mF+z{fq#ObjwtN?2)Zhk|)VeKy?-=Oy2l5bHzg}G%s+pUhKFr2x8W;QJ?A@lh9-+%M1L5f2?JgMUHT17Aw<)$cP~hLQ2_B)NiOP2s zMD`T;rplVmZ76{=*E)k6VsHlC_>;q$;fB@Bfi(;U(ZacF?J&6|;sAcLDYuPfN}(Jo zGqIwg%02fcKbY`fh0RqCW>+7Wvs^Hlrb>#Tv$?}choQ5%>q+h7xn_(AW#|Z?LwovH znA=mp&^cIjy6$vQ>5?;HuImUk*NUKkHRo<17=$f&-qgq)AR^f9J_MWRQjDZb^)U(Ol=dWufnjCCGu9)hb|UOh@5T=#3fgw8>dZS-+`a-#1^PR5s2xP^t`9?wWT`mn&5QZ$s-m!1R&5_e6 zcZbgsv=_hbN9_Q;lON387$!2A_PIx-H}`A)s-XF+t_fNY?daE>J`HWt6OZUEpAqfH zFzJY*UHo9aCxZE*56su_Fd0nrWTs<*!M5BVPq=h$xYUpZb^yx~g9#D8UT%ITf_c;j z=Ib6j+xfwSO|*k2;^sk&5}lhm#6o*fI)j^IcAWD;=?#y7<@mvbt+ay@hWWP-%s1V` z)a&`c%+oMTG|WS;g|k4f=+`_0H%BPtW%;1=7GC>8Kl;JbVKWG?bj4b7I)^fk*6>xJ*fXY57b(NLU4M0Prj#{wY(?D_h_^B1Np&ndco~cnnuVu zt_@U>#XH*jPpDQJ<{=l=(K~kK{+z+f(F6)Z0;V)ai{~tOdl5kz=gt)8rVcdYl}$ zs+zAnoggPzy=mkGs`nH5$*G5tuP6ymrbmi-(^;0Y(1R%h;R!mvrT9uy-Yj{`vEox1 zeBc?VC^@C05jdx#g7Pv{p)e25!BNVE>4kZ4sAxzF zcP$`LNfP`WZVHArPBI3y#aG0*V?d}WPVP^cz@)UkMy5+i%b1t;F(G zIYA;w==?YG8w0wU{Dy#@Bj*s%?9X|i5}p910qDQUzZuZAhkWGMUE&>2!_cv6P{X|t5 zUOVVF8?z|oOHeQk}sIy8M^8 z%bM6TE~n6850rS``zD3JWxdOA9r+LX6Q8!9I&F8ew(x&6Ac)a94F;CR2K_nx#tk|h zO46-CXKaCJ?0DW64zN8IFq+968i1Hr)dUuV z20;ewTl$Ry7J`xx0v1h+=b?;e`z_2M_9hQbuhF{cyknAg!dXAfF0%k^7VGjP{l;|} zhLW(WOY86&G{6DYCA(;m_X{X<>p9}QGfwO(4DMIsw8EXTI8a}bEtPxL7HWzWbl$(? zdA~ahI%p0n0;osWu>PRmxM4-1Bx(%nG<$F;?@zOp4!`KE)nD`**D3}jF{>4?sTxQg zf8sS&18Ja`Jy{$0PS$L|Ae&)#k$&T_i$h7=VwIsE*lXGu+-us!)M^FA;BD>iHP1V8 zfvY4}M!DEVsClhq&0>#TquVrkar5MnduzE^s-BK-)(5VNDUS%DW>s(`ihq079+5X4 zZ{TXlQ`P*4u9id!hst?IqzgvGr51qh#rcLk1F~PGEv90#=o)#XosgN=Bt7(N*fE7D z49pyQ=oh^>NDlCfM^2m?D>$PdL~mzXI>E#5Yb1GUJ=96Fo?Pdt(=Zw8_y|VBq^Z*| zN$NmkHHLG`JqL7Y4%M^8a_Kit&(e}yYZaijEo+en zrFpDHG5U>bK}(7`EqJ7E601Dp4IBo(IDjb5z!Un715Znc1>V@xfn8SuN=vX7m(g!r z3tDoS(}F`t7U0fZ5e+nx1V=LufJvH?&|67{Nh$h`!-STUvM`~GmVM$6;ETygW6NeU zd}hK#p_SQnX#iiEbzO#jvaCfp`i*NrOUgMdFtXQ4Xn{6y*Lg67 z7!bzb@&LO$>$d{^#`Q}}DmeX`Eh<83Mb@Gc{l>MRC6$~O7}Ms-VcHx!*%?h~76^Be zrNH%)fZyO8ItAem&Nv;mUN3Q|z%=A)im&Gzn{p8I%VDIKGb&W3-#9AJlFAMhOuAKp z(kiS)Rr-xO3U1JjYzETlPz`B8nj3`4LN&kwHY=cgs?%>A zhP0%*gQ3~t3MjpTwWvYAaV=;`4W|VjQwvEh4J5TK+?Ym#X1_H7c1_lAE&7e?mzLCW z`ZZhBhSJ)sMIHK$Ye7ruI4v-yEm`_-k&R+&f|l<2MRTn%n$`u_by>ex(r;Y9wB$;o zU+OZkq21s<6pCh<(CR^pdJI~9`i+A|OX~YTYlRQ*sp~y*4o=f7N;ZI24H(3$=r;}` zExF2q2>T9S!ABcZV@2QrBF6iMfKNk)Ya{xN!M89#+ zX@4C!=%y3OhS}X0kREWGnn1zHx4H4rHccT z9`d<0c~1#fna1U|o;_txBygI%r!40>T_HG4-ZN=U-ZME(-qV*>U4b558LfKIZyc>C z^}0Dc1vf&^eDD=zP=Xo$ADg+oWHj4}s^vI#u`RXTp`Y#yhg;}34hM==4-SVuHZ&sv zo%_(^W@vFUgVv9Jv zPDc7Bup+o@`+>f)C;}JUx{}r2Eqi@sery+9N&0o?sJpMPKIsjV>&>Wo8~w&nwGWi^ z!F)$nL_c3EqA#=nv4ow~?erT5jY>j42UDy-|z1>&u4Tg4u8O*!sHx4Ex{}2wQ*q*q< zms&#s!cYc%H2uawr}P`fL3i5|gM2X_4(*0BnD^3e985}=5e7_wJ#nY6$c=<{BN@!G z^cx40(&cUrrh7%X%NOP-Xg7+%98bS-Fex=gOJNT7g?SIOyNAJ?NWXC~DK+jzF#Ub< z4~l*AL)gmXEVeAZgogt6LupQ(Vvl;D#EU)V9OAxdZn(z)N@EzhQ|LDiT}tS&4!UNG zaZoyrwRn<#<62NMj<;IaF5d}II)SyAO22U}D3K=GEx72S;gT3K{>XQ@#N~+`F2mW( zcBp5#93}w0_+L zbMzb6;&CW>+-hM<8w53QC@G zV4L_;iJ!_^ETrGK7EeRT(@qN>8?lR(=!+0QU67SnGW7So_)nuCSe zf{OLCti@9LjcYL-N~Sw4IJ}e2E+4p8A1<_pkr)47UqPAyD8K274|2 z#=)KsCG$nFld|;3;2Wj(4v$Wt=UAWX={K&==b_|zt52I|bOOD=T5P1>xE3!$$%|GC zn`U%cyu@1M({Egh1yHiUX@O}rRuWx^WMM20*tf?;C)PsN=N9^n>vIv5EVBBv$3-W> zV%DO7e&bp!fs!Rw3wvC25-epcw$pE1i)B!<%x=LgVdEvTz%OCrlTklZ)|WFZ3h6fv zixp6^!o~tFiZeN*vc8hF*hRl_EmlFvD!YXXZ*er38}DAiSHJyCTI`|UxEAZ6WS!lDA7Mh`hlXE>A&_4Q&8_)(Q*}w*5CSNKB;i!CGp1?$W1&JQ4gv&d?={(-Z zfFGpaIN+P0WD^G*?oYaaPjUliQby-`K7;x;{l-Dv3?-W_9)PJ}q`QG}(#g7oHF}qR z;~H&+lC2yno-9d$``iwQysZv!I&>4)``G*~S6)EAdi^4JGnJTFFKw+m`GQ(n|Fx zb!Vv|A+7Yd(odA03ZECt=w;#|txUTzeaj4h&*#gmEwdq{m91O$`m#5Kv~okrO)B>Q zd@d_jP;N&^D}TKFh4O!evu@y1eA&W#IE{Wvy~rNUL0;a`nnJLt2%4 zsytd{3VeQAb$_jgLR#&Lwd>cu z8a{8XeOK+F@VTV+mfFyN?L)P{sQop3{#qxcPI^eITdHo&x^+TYz5Vq*toI3go~-v* zeI=yTZ(6@Y{m$_DO9Q2W7SbBL+2HdAUxl=*c3<_*Rfj{`HM6c+cFjuoJa)|=*Ze1> zHLTUJS;On$b48$Jo2FE@O<;d>#ik=7`uQ8D=J(df=bK;K3$Hd^0k6MUX&9B7;x z(i*pD+^zA=@cB&R1&tTO=c&dQn*>5yldGGwY0@^NwP@U;T??RRi-9ffZ80vSwS2DS znwINBTC3Krdba8fpD(sr-wNQ}^y*C?-1KosYn$8l^0rk&TIceeuk73)q;+|!%L`o= zz~`4;PIWmG(z>?k+N*0H_(Z@zNbBCdd%y0t!so-?XLg?x()xeV z|L6XvL)ySk2c8%R^uFWh9p~@(Go;-Wyz8>NN{6%|HHI`D0`fGh>#*C0-5Juxj34vl zn5RS9*u1fo$5w;S-eZT29SNTs#_k#WYDgOw7#AOx2cO->-7)Si_*^+|$GBba`RDko z@gT3`+mG)z9{L}@c>HGA&uQb2kH0V;#?jCd_KEu z*)ov(Wk;6%z6{_kpRs(!^3@@2Mbi~sR&i3YgdiLtotJlHj@zsB?QA66ANo%IBnFXKc*M`^XA#H8jwFA}yK5JL6eP!)F z`22fad|h5hTi1Erpml>o+WPy~&s-03upzLa*am>Rq2q?zHvkw zvP0UY)|>il>L1ebE9E!LZvvm6=bz61HKc8>wYkOSR`B`O=5IHDAJVq8-qL3a)Z6mH zmQ7o>z~_an(XG&aYx}LYZM_3N7jNCRwGcl4T@WgWgtUSi3;Gq@3ZH*$i)@R9v~AV5 zHQNUAxvkH(QQLrT+y30HZwI}(z4rE&+grou=*ZZ9zxVP7 z@Of&twj21nyX@}zyMe#Em+#)WdpCT3vis-Vr$gE+Q(k%T72w+|g|EEx%Hfc<=czpl z_bh?WV|)JEtAw<@P4{-$3;f+Xdhe9IFrK~d?)_o!v5=-{C0ZzfwHwuS7nPlgnyv(t z0Q_IN{^>;}%lNlu{5zdIdQr_&iqk6TFmY%WYlD{y}7Xei6e+AD5lYJ>*?AFmD zw6+^%C2nNb%@o$PtE9QB*uPK)P?EEP=J1b;N(SRYdMx*n8DR6z=tliQAprRwftVgj z1)w4AqLTj40<|PD6uzkRRWAD{@x?g*QFuBe();eRDYFV!Ea#u?fPpQ$-k5Gyp#Qls?$&?%={Ya(wf&Bl`njuQ3^l0fvEL9v#!lh_0 z(@qvc1}5R#1)lDM{^xqDk!lWzZ}wQU{Ga7JWEQQd_3LB#H4dSE7*p*5{{Jg& zZc#0@j;?-$Q}sdKW0bA7xQotIQ6n{vvVNpf{ej+Nv~Ry7$t`N7_R-dlc$zradyM*R zkB<>`(!!|gM?TFQ@I6NVw&z=jdTC|!^)ryB4qAU>msLckrSaB}d73+L!wq2$@pxJr zdj0UH$%9XLM|jEgt;T1fQCge@{Y<3U6S%t&HA$=Upr4C0eG+#UDA`0bOUqNDpO!R# zB6m-Xcv7@T>rCA-N9v>uQ`Ss8@hDB1 ze%c*aW3`q z`N*E2tFGb;W=>^6@zkR_mHO#?q)$@+%mC7Kt#4pg{D1s21Jy<6M|pnrbNtAks4I1E zSK+Lp>!Uuk`sqyvgk3kv@v|M!l2>GzU35<`6Rw~1 zbam2hZmhNCqGx)Vd;R>UyA#)3Uq@vXz0=#I>nA>4o;-g4n(%dGJ<&Hk&cEIR>2?70 zKG-Pwr&k~7y^yX4LGOom#lZCJ2fZiK{Xpn_@sk*o-hH9>h8hg~{>gPSDc=w=${g{( z2Xp2*e8AQKyzSgEpzRaP>$lHN{gklfgb zgB16MJmFq?obr=Clds)76uhP+)QT~7nc!eYMi<$v>~-kWML|D!jJ{#+*(Du4cW zf9gG|1~dsg$^msfb%M`+_|wS-VzIJn(pXh*S2d_fWLFNV>j%FHKL_&4ES4(QCY@{b z&Q$}OgwEx_>VK9{Pb^cuO}0k#2ix_T z#+^T6Hb`txE>C`!>z%F!{2@5q-0cIzCgt{r<95B{)u2Bl$D6udW)NGH>mQ!$_0CrV z{}7$efxr6?cP9KP?QJRn9&Q5YlRyprLrww?zLk#0KUW@qtIvP5-0%m;{hNp#Dk~m- zR_HTBO+X4{1}DJvMmXWi{S24IE|m=_lMVWeP?L~C8No@2e`sJYAJNjh#U7OpDVGoW zoKO>yf;qv7xcf~7?x>g`QDUD;kd#djeUhljNZ};8N1_~1iIVb(qE8kzA*mo)+$KyG zaY!Xh>PQ%U(x^#EB}wBhaV(j{5tTTpCvo)2qb4R5B@ZXY>-N8MbNd%r^TaWgI;ksl z^y#A}CzYj7dDsdm3#MLLSn%GAuc{#C$ zJLEdzf=b;-D|Pkht0wmmOW*iwaQv?eMN!^D-~O3yR`Iz?w1 zQU0XzJ^f6*KIhfMr=y(b#CzS&`}j{-r6zt+sh_@5-Vv8e`Wm4Op=w0j8w`D&7$~l* zI-%->>lV{>KcP&aYDL0_5&C*DRs5~$g{l{>df~dii2M3Nj1V`KIg~l{=D6D+ImIny z5M>afL0l%`r<}?l>dqtK&O>h${awgxDODX&bwqCu{W9OhM8|!7Hd1C#Mo~u5o5Q$# z$1L5Xw=#<|i_sv)^*e^SDC2%t8SLG(6biYP)RUBm+b!oltORHJcv8t-0s?PmZ9rklo z9%UR=dG5RN&^Ws4gFk7($Dg}No2ovl`gl@(^c6^%M^zw?Dv)^5ST4PRXtMm=)a^z!Z%^wPe_L{lQPqP zF%w_w`s7HNNxg6L_}xn;O2SE^hY9rGaTu9Bq;rApR+E?G37 z#aOUzm$3N12hvnVs>-D**Mn3lv)8z)mok;AUP)5D;!I|&V7LEfNp6`@)h<=L%<9mC zGFSal#!~ew>FO88GSQny)UexSY^2Pr%9tu+4_dO^z0Ot2l(|$XOVUzC!{ML8c6}Ch zyNdOZSydHNRm@#A`Y+s7$&|rVB}?*3Mq_HOTd~|SyDDO;i2avhb+6aD3YjvQDrCu0 z$Y?(NY&hYqWlxz?)iYJk?p2fi>o!+SQ$|xYE!k=sO{%*|%dLu{@y@yuzXkOjtb%j;YQ$;VS z3fld~aTPvgK2`W0YT=_n^`GbUltoqjQ}yqD>sC_sbJaj)Kve@DdJUwJbvJ>_Gu6~gnmyr@ z%F42`Dw?WjCRYJ`(6}s|asN7)%qpuYgDQhQsF9NMbqOXF)nqkgQf1QQG~0iPeaEP8 z%Nok4%BcUvOv(M)J7(P^YbmoTvnIFU{%ia@hOHy(D8nkl{#QdijMv{W?L=8mnO2$h zVVEu{_HoC!ePy&Vt}<>?nCfB0xMSWr@^NKeW!{HnyrkOC9RoL!jg*0vfs@Ku4>Qgk z6W5VVl!=vzAEx<|a$kBQH&aGdMovm|J?vNsX2w^(EtHv+nIErbCmBEwCXek-@j>+GaPbrftlc%H^lXKs9jJ`>BRz_DwPfoL?bnH83uOquE zvn#Wwv>}sw|91>OOFpd(uMD5uhD#0c@0h-??5<3&OrIJ|`7n;*j`8crp33;j_z%N$ zsbwE`%-=-zR_0gcPc6oLSjTZE0qV%UDgjgiJS^j-rv2!Xpub82l?18DoDcI@^ocM~ zC4x$XhiSglwl94$3{uIUk|DJj^kE;*orG8|V^l(@gm~BnOpW`ylN7n-P?Z!aDN>_J zQ{tGI7`KE~B}GaMzS?K%EJT`bKuce%?Uu+bhpUT4>YO8$J1H|St_y}r4E1j@q^f_5 zA*BmK23|D$F2!9pX3LT4x{)&VDWz?o_V0e)@4O4oCr7IUaX(PXMCo^ITsK%MLDUVF zy1_E>K-`U1KzQ7ZRv8(qt|RHUR;ugdz31L_Em28gQb&||?rolQGd_Idj_V2DyZi0s z)x`hrxNFN?IZj<$ltt1_8azOWyz_>>j+~&9MJ0<$mV0~PPQv7tlT^aod$5$5)cDW? zu6HpiVIJTTt&%AHC6Vs=l_}~Xlm6yk<@|%qx$8osl15!<)K@R}=>h$7FOB3>b-j78 z+M{ZA`W!dcHAf}RebyV5DCsj%jPtI)?&Ox!)pbYN!e|k-es52>u01Mw)U`*w-|^4` zUbmn3zx~MnP&rdwg6>^zR5@1TW99+ZWk@BEhb72tFcnLGNp|;Jq1oy>l>RKB(mrYC z+;uHdNu;ht>MhX&dcg43XpWq#E=fsKo>ZAnpX12OQuOUVNi$z1Q~ET4O8%sqch`NM zN~Qof*^mSn8fPU8pWj$`@(f&#B?~T^A^oQ0fAuK8Q#H59mK6ZzLD1>y)}q zrG`5HC>(?9TBVXI3F_3NU%|Gl{CuUAjYrRsY1--lG$QjMX@1Nu+Ym#O4Z#&8)! zwMpXz*G-{HE_G9=KEOy~59mH|U!g8uNmH;?;aB6R_kimHrV=cPiDLOX3?%Q|>p$&SqY^E72V0preUGo}#!)4jx^YyWd?cd>bf1E(Qx~=LU3Aq& zH~Ht^bzxJ4RXhq?qR zXQTz^K5ED4x(uoWRF^^Z7BB@okipk)uW!(@xIby!Sng8SL3JH`)UGjU<$PS%LY0Im zPzTeBNz`#BZ-Lfd59`T2>Ux;G!>#PB#`j(x;59M+-`mJ1_o-x5X1SMH)VAdJhwCO) zC8N4YRUg=-um|G4$4~h1=773BCV%}?*BCX%|IY)iYotoZ6t0i|Z=%%p^pVW?Q0G$o zmmGhK>QS|XutsUG3L*T3Q&>c9VHL&E))f6kG}`uJfar>Lh!`kFqMaq46^qes6@$cU zXg?4!nwPL>7PNM>InfqCTN!Olw5`y#N82Cm5VTX#&Oy5l?N+oeh#?vLge5~pF*HMG zw1dU43_H=D6eGRDg~h9Y80Cfidd(7JyuiIqide6&(OwZ_y&n@6?`C40_f)jY#dzK{3AVFP;x* zg|>%S7H|OVD`I)T6|{eg6}B?MVyh=s+NPjgC|21p?zT6?YTMt!5*R4f1Xe=ZNURNf z4(&3rF7QXR*Tnju{K67cL~ID^gLZ`27_<%TZm}uo7h$mrvDsc9ZELZ`j=1(2Vypc; z+V91-;0R#}&MdYE<9LI6iXFl0(H;^zgD(q9h_BccQXOpzu{#9g7qV0A3BmYNPBZ>0&SlCg zESXA+4>BzlA7(l$KFV}UoX=cde2}?`_$2c_@oDCZ;zE{;;OB1~!}&Z508EF}YEhLW|=wwB%{$4H-&&tZ9)^eu&aE_GO1%I=l^<#Gs1 zxxCU^t_|8=GN9aYv|D9h`AIUU{Kvvl{&Q)sfV`-XM+R5G+*If-Ln^F7yH|!*xQOnj?DDzgYFY{C%g!T<#ss4q`S2LHe)GQ(M*T$UIo-GU1ejV+n zvS3}DQ{6nWP+jb|?$fex-T7!Y$|7}fPIa%yqV?dndWB@MdcDvNlf~<8LAz6ysCNnN zzp`ZgqQX+Ylq^-h6WT$tbp17G_scT%zd?IdmW|FWEYam;x#(_ahsyHNIIrk^vO@GB zS)supS+T*NvQmSqvf|^}Wu=B?WaUPm$|{Y%kd+%-Wu?YtWQE2ZWtFCVWre1g-=@=K z)uxBhz9AoLo?lp+SCZA5BVO~vvU&^5UyIVRMvG-=cgmVAZU{?DKUu3~d9+nz?Up~H zy(#OoDkvr&ns-tZo>$O6@wpuIew?aO*`a?#yM$K$pO*Uwan$UWze7rSkc$%rQHD8yxmH)dt{4ts4?v>%a-kN zT|0BRh0L|IRkqv1=3gRJWP3W4CvOrQ3(H)6>Uf=VuPfPS1QVEYIAKUAliE zyY{#syY%!ImY$jA)4jaqGrhg!)4h?~y=%(ueG17QeGAI&eNij>_LM#Q!smT=$zFXi zUwyC2-u=o7OTSvOPrt#kZ@*!(Z~u2>zX640-vO=A9+3S9T$cj|&X@fMz90uYJ46l~ z^otxYI9&D{TunYZ_!T*D@Fm$d#!pycY;tf+HMEUnOw4LIB<3$UbVyw}Y{*hMe8{hI z#L#MT*zb5h zxp{mGw4LRa@fff1i{-ZQhtQstyCy^m%Y?jg_k`AR&xD0??}QKJzKITbU}AH5Wa3s5z$g)tpmLYT?tuwTNjgwa97nwWw+5w2ae3wM^5W7MAI~v@FwC zq1~ZnosmJyHlvl6ea03o$BbKA&Y8WnT(iz-x##$5dFKUd`R3sq=C#%G&zp>PfmUFC zOReDiwZbxglU8UxygdI4t?+_oT9E~>3d@2sTG0iUg=L|yR%~H0v^BKi3y~iSXK5uC zo<@5?E4e6KSQZt~N-csf7A@0CFU}$?iwkLGmI$rvQm0mK>GxXsW!_qaw_ed&ZL6=f z**;inyZwgt#E!b!lRKW*+VA{B>#*xFt>f;@+EcsV(>m?Rt99PSg`_wN&y{fD()`@cr}yVmExpIYC8-)j91S+xO&I%tEA zY}5uH{ZxxNwpSba;s|Z{i|4fwC(39ePn^(3y@WA{sx5?lj@&4Bi(;aos4JXuj%X$x z$5N;qAO?#DShCBexF?Rrl1-KnM@4-s`O6UTxu}OFU-74uq7Ih4GF%XT;on#biO3A6 zME3A+MHLa@RTJzxu#8?sz`h5Ias+{01j`(D9qb3NOpftjKZ0d-^a1+`EK5Xbu%E%Q zM`Q*21uR=+HLzd7az+*e`wc8d?&A+EYrZQffdd&80;KtCaIEFn_SBIX?%pf>p_t6D$C% zS}q%y4eYU82fzZsYUEl476ev3*SBDHuv)p^0t*JKnY#~I2w0umt-(UUYUe%+76w)? z_kJ)3Sl!&0!JJ^xxjzF72dkeaD_8{B<9RG#kzft-`hZ1&HOg}dEF)OMyhXq=fi=k+ z0hSr8alXl5S-_g*8vvFStZBYqz_Nk0$oC;wcChC89bh@YTIIV1mJ_UH{ujVjD|U@_;>2z#A+tSlgnpVEMq>73~R@AMD9u<-iJnbtskttRPtXV!gl$fjw2M zC0Jpwj>R~BMZh{2s0J>uwr0ci@gq39IQ)mU$7EjPZ#?gtRz^s62-wvfpssD z5v(-WGo?NPD+AWE)JtGx!FrSyVCBGim--Q`JXo*NO~ERF^(|cqtRh&SG8w=sf%Px_ z3s_~aeq}xbs{%H#%xhp(!3LCl7wj>xL1mAERRepr{6?_qU@_(AfYksST>c-hnqWiA ze+O0zY)FL~V70-9S11ft2W(h{=fLWMjjZr2SUs>272W}>4>r2O5wK{mQ5D0%8i2)C zlwgm8jj4!yi)aWot|Ia+q7m5Gio3uXgH5Qo6s!r@_)6YjO~EEr{0*!b*u+Y$!J30j zsZ<@T1=!?DtHD}=O|3KytQFXEl{K)|VACu84Aus0TIB{{ZNX+%E(7)i*o>OYqbI>; z*JK{G1DjQI2v~ctxive1bpV@FYdcs+u=%wXfjtE_ug;TToxm2>sSVZ{Y(X8KM;EZg zb$A|K!4^e-2-Xd3Y4i!Or@@vq$Pe}m*s=zpVBNudg=gRN}#J6IpEHO)Q&>kGEJc{{LvVC$OK0qYO8w)rPu1Hd*ke+6tH*!mVN!JY-% z)Z#I)L0}tOb^;p=wxwkQuo$q-Ef;_d0o&Gc4A@YxtsO6c4FlWJ@nf*zVB5PeFGqmw z>cYGn3AVG_-(aJ__H_FeY&6*J?peUbfbHw<2NnyqwY{u%j{kz@7tpA*Ll4e1Ux3+$C4&A?`Zy*%V7*c`A^L)L@M1$%WUu3HiF zz+NAM>sG{ku-Ari{1$+n8Orfn2zGktey~MgZwy@twixW}P}cD!U~dg&9bXFe<}l{g z^I-1`V{R=2dwUqiemU5C!#MUUz}_A9BiKr?_lKPWTLpG*czLkZU>^?83AP68gWVX{v`+ZJHuw!7C=JW?U4)*7qC%|3+`(w_lU@wCGHD@Q-39!p^d0lu3?CM-z z7fym*nY$3|Ww7gWW5HelyEd;N*sEZF&vSsC0=qG9C)jIXH|H$@dmZebd7pxv2D>%y zRj@N)|IQyQ0wT^@3rRV@ttc4rhNvRN0s;0WScduEfV~B#Ef@&)HkkK|HS5g$=;o1M^w959}P6W#MA5_rd%YX<#3KSr>i>_92-6qCsFEf!P+d0Xq*Cu($!( z$6!H=i-COt7PxpU*r#B@i@Skc0JAU22KE_P=n^lm&%r`gOa}V`%(0>u*q2~oD-MHw z1s1+yIoQ`=&Xtj1-+)D~xC!<6$+s~Us- z2$pqKDX^cwvaI6q{S20U6_4*1uxzVtfc*-VbJb^Hzk%ggT?p(FSnkz!u;0OQttkQa z2Uy-UPOv}0@~r6sb{Q=Hnz~?rf#qB419ko$sjNC8%Q-84}!Qi7FQ zhx3Tkz{;+}c|>LaE3EO40IRm~UoackW1Fsm1%lPs^a)rHSoO`@!0cePHqQnN2CKP6 zf`x$9+59b7C|K<+^T5Ku>TMYU<^Zd^)ehzai{5e>EF7%XzO~gj9?A7Il(f4HQ9OtEHhZ+Z9TxUfHm9J5G*TL)9nkuvVpbOJ`5~7So0k` zw;W)tw)5O_g0m5hI@_;?DV#(aPSV6G%yDNhg0()wAcCf-=9e4i;Rs^i`?hnC=f_2(c2do%a*F6Qnii368 z^9@)Du&4LD238WR+g|RY6j=AY+(&7!XZG#|D+AVZ?;^0WU_JKn_{xFx-pk`F57uj6 zH?Rs|efQM|s|eO--(j#yVEy+k2dfO$Z+{?I6|jN({s5~AHei1zu*bj#?XL}14eZ$i z!C=+FV)p+9Rs(GCff`^n!G<2l3swtk$N|)n$l74T51^Jr)&U!KFchpV*vJD{!RmpH zI9L~~KG^7kg}|c0Mjf0A)&MN_U|+Du!Nwda2-Xm6+@T<_MqpzPjRtEBHsMfbuqI&R z5A6bL3O4D`e6VI<6Ayh2)*Nigp;KTjz$PEA3f2;A>fxMVt-zi;+#jqp*!06Kz}kRK zJ51lV1)F)8zJCI2#*zGBPlC-pVgqXjHtWc1VC})?9@z@k0c_3@=4wZ<`A3+mPl3%l zikcJI32fm})SSr9U<-~;2I~U0_-HS%u3(Ff{sYzxZ0XT2z@7$Ma;ym0GhoY(g@APj zd;S=`(F1J7F?ypX*z#lNztv`MV>{+l)$IpQc0^9fkYsp}+EibT^#DHyn;a#vHVB22U z4>lBR>x*l^hJo#PaT3^Yu_~x#z$bkRDH+TgK7CLDE z@nGciomkWO-Jb9f655BKV~A|=z5GAMEBHTu|4*>Q?f(9sM$W)1>R{QH0UhkvWcUA6 z*s-I_et)^!(JbSR`rfspYY98LE*hKd=o)r%-L)fYtaWUn9c7F^BixtVeMT?ncVv|u z6a3HL+FLwkvLmY$c5J$RM%GR4cZ6G)yLa@Wn;ormzoV52J38rpM+=NQdfCm6_PXEE z-h>^UbHAfS#vQ%lW=C(h-_aYc9ob^s?`Vl(M{=9_j%;mRJFt;uD-S22_!j3k(-_c6rj`q3P(Hi$VT9dG& zGwye^+PI?wZg!O5y2Nt?*WI3@&)n|_w}W@(kv!sNNAJ5oqxTbb^o#o)tvBxIsGA*K zbibpEt{nw;2<~{h1XUIq{!iem)`D?06?ctyosbw4w;yMGr-TY*iL0bCu98|bdOE&} z2KR6qd9yKctY?w01YdP?%v+6-ah6KBfyxSN#zO>(W=2BA0L*`Lr>z)w9Tz+(tfYj2z%u+7OB2RD|`2%C*ULHku zWOq9n9~mQ0_AGL7w~;?KMxN?fLC;5PDS#>n$Li`>p_aZ zM_%nV@{h*I@$YHwJMsp%k$*Nuj(-z#-;uYvjr^-IvS)YZj$LjeUou8M;hCQgyN&#Z zG4e~EMSj6;!QMpkt02eT-)tvIE|5`Jc}IdHgbe9az@W0H+CC2 z${0D5XOY{ujhx9CIg4kJ-G06imBko2t7nmWxjp7=#>m+`i#*6}*l4p^F+#YiYW8})7MIPZcaw%hE&pzJ>k98Zlj4`rDpKtg_ zm5nMVWZak3q4-*sUp4y{I2=_?#NE~5+vzBN6Wv4DM4+$>{H{ZU3P;@UP$SUd_ZT80 zTKwKiWI>x1ZFaQy4Uou%7QclOc}0Fv06hx{KT#MvD2lci+7f6>qQ#@a_+PLnE6R%s zc%Hu=)-<&B(OR+HAOBhLcv)1m5Mg`8W%-Jb^0?o7!q4x7->ZJF`MvIU+V3p>d+j#6 zmY7*5SSPvqVF|Cb&b2PEE=*tx<6mptYSM3~NxxT3`kglE_n}F@PZIaD4NKh5Hp-;m zG?RX_O!_@<(r=|nzilS{cA4~h)1=?KCjGuN>G!Qkze^_lE*tv!1oaPk7XJ;3o8R{Y z*T)#v#~aos8`h^8)@K>k=jqn{vtl!b8uJJ`C=(9M}vEtu$bT> z3H^rL?l;wh%@1CX&~L%*eoIZ*N)xuugl#rqJ52Vw*MuE1dF=_4ey2?Oy=BtxoJqfr zP5OOq!Y-Py-%Qwz#CaC{uOZJ+M?<_5_Y1L@^b0ih!)wD#SXAO;3CU{GFPDM&gp>*? z8&WDE=Xq>3OkzbFUWa-f(#Yg^o164&ZPKruNx!E|`gJqu*TbY=f0KTLO!}GB{ScG7 zA2QA)-XxQFCfA1$lj}ohMB;d%nG(kfEp5`Tyh*>RCjDv{`^n>>4HDOp(B>xW2@}@I zgmpJz{Y=jWc00OxPkL^E(l0QiDQwCcYO5-DkpHHev52t`DIfnXn5c?9b54 zuDXe(xci;ZYsUG&G5?deUzkYTFU-rNpPxy;K$CtUCjCk!&grmGi9HlH*5tL5P5MnU z={G0wxP&b*Ild((uU&4^Z?#Fk^(Or`oAldm(r>r1pFAFR*mz9^J7L03nXorZ*n1}I zyb1f<=x6NrTNCz^3H!q&-gOgpD=~9;CywW^o3JcK=6Ax8D{;;^N|~_AiM{5inb<>) zy2kmyJ{pU-HWFN66Y@!L9YCIO4+ia753rzYg zHDN1F*g6xo*@W#dVSA0tI@fW~WUP)E`{6uZGGV8T4DrsG#CzMM-#L?h=S})uFzNS| zNx$z*`u$|=hx0IbPvkJZC&K-Zu_w&%*Oa&IgAXi&13S~!Y2KSoAfJV(yxL^zeM*WIF>|t4_40@53HdH zYi49Pk5(r8ebS^~N0WXg_mWPNdr4+P<`XYrAB-j883aK+v(ElR>A0 z-VXX8=(nJoc7J=6y@0)fy_LN?-jDYVjtQO`JU@78@XFwI!JC731n&(#6nrB1RPbBD z=Yl^D{yg|%@NdC4g8vQi4zYv;hJ=Mgg=7uMg?C{!LZU+&g)|Ro9nvo3sgQ0VJwp12 z3~?H<}Mba3d%&~c$NLKlUu z4BZ*JFZAWm_d-7ky%73m=(W&)!bF%?m|s|6SV&l@uu)-S!zPDK3!4+RAZ$t4^03um z>%%sOZ4cWWb~x-r*r~8L!rluzANG0Jw_!hp{SkIO?3TmZVRvM4vmFZ@OC2j6>l~XMI~;o*2OY;8FF8&*&N$w7oO7Ia zTyT8l_|EZ@lz;#_SSP+A5=Q@T*vQKnG_ zL;OGTKQH<7Btd*o~GJ^7%Drt-5;NbTq(l!ABY>T)7k3>n^9B#nxL8NUyH*DetJkca=tGNNc z-;lQT+;Ey3@IaEZ)<<~T9&W{tE~M=gw_e~@JX9oY=ePksl90BKx#4GSz%xP8_B}V? zM-9^U12eg4$X?lY#vp*2i&>fzNYw6XiEZP;N>oN;OJNyf&y7r7k5JVr_`S z2xFr?jH{h^SAt8N?B?b0C~}8bDebA&On1pFI%$>@=$r zU*=1DFj*F|++_F~UfQ#h6(K84Mi<+QW69c>QL2#Pt8Zy<$|ZbNE$t1lWPgII_IkmlOHyfV!k{C`#*pFbO=*wi>Ug}?iSHz(eS&?W zv`?`=C+*X?G>c}%7k|<|n@jkjPTCivGry#gRvuL_XU4vqomZhtIKE?&_O)EXcS6#> z8A)f~LfIbhy|f>JSS#Q#tR1mmYi9)DFFB<38LsZ;hL^}Pk)YH=5z}qGfLXQvzyO@Bkh<)5nOm=OwFy^maP=lv+j8|^tOiJ~a;yS0 zZmoe(0i4SaJT)%^eAvapRXpl01N^ya<0_s{mjRsLfMBlTp>i1z!qrl&G`%6#nhZ6b zt1~HcD9gw;U~ocDU~mF9a&;4BGi3|vM!x0JajF6D zu;066=lHVPT>X%%=h@{`E`7$OFS+zJmwvB4l<-4oXg+<3i@{psn zhEkSHT6~4L36UC?{-qqEd_Y#t=X)V5P%2U?Q7TiaP^wZMqf}$O>XaIknv`0U+LSt! zx|DkCT%Qt6X+U|L(vZ@K(wO4(DI{bQ9$!;RGfHzx3rb6dYQ?42ls1&MlqV=pQrba8 zRZ2Ckwx@L9%Q|xDDTx07{5@OQiL0F{&rrHhx>CAPo~CrC^q};l^rG~p^kKZdlzv?8 zPZ>ZNNO_hrh+7A9DTXqHGL$lmGMqAkT}E+eYEj)2EjZ*ErZWC@(RFp7hnos@7& z1SOIZMaf9XM9IvZXQ5=JWTRxK)r4d&fQ<`wKDVLgYNSbr01*IjW6{R&dw4t=6JVAMq(vH%e(t*;E zou8s~qI9Nop>(BmqdZM{hMl`pdQf^&dQo~)`cV2(`mu9=$^gnh%CnR~l);o3$`Hy> zp2sjQ4d-h|aA_oE6lFAJ3?-H_mNJeqo-%O@D-llv;`HS)+m+~Fueaat{4=Jy)%TdbjlmleP zDaW|_4dqRUJR*+@EfA8SCZ!&wA*BhWIi(e)Eu{mc6QwIe3+2)?l%AA6l>U@wDKV5` zl#!INlnD^c$)(AZsgxO%*^~v8#gykMD=4ce>mXW2E^VZ2p=_t@qU@y{pd6+gqr6Bt z3DL4}=~c?>l(Uq#DDP6s4VC*=y|I^`eAEr^x} z;-yi%DSi|yC6E$K38RElGEuTZwESGkLCH$5p<oEfikl=Bd+0+&9ed`{tfc>O^6 znesd3GKI6?^$)~bLbS?U@}Y3-z3mhSC5n=j!ol{=ODRAp3eg_p5>L>(JcZ-zU4v4W z!h!W}N@+!TlF|X9#dYbz)$Wu&l>U^#l;M;ylnIpQC>%PiHkam67E_i})=<_{Hc_@y z_E0!<-iIJsJuV%moS?i+d7bhm zlHUJ9eBws35tqCuz7&6ojlwbY;h6eFP?(%PSs;?3G!B#2g3_AOjzYs}G@M4mX+0?% zD~)3%uW{*FN(^NfWh7-Rg+r%t=(OpSS(Nz@`8SsqQI=9Th}tU3S_)05ZKiCa?4)ow zH9_H+YH?#qJ8HC}MmuV+P+p^)p}b9bkCK7%0p&b}#?)v`?JEigR^z~G99WG5tI?vG zALTOTDuq7PZb33=6mJR#HbW34gc1(H-zdRSCQ5cn9!h>n5lTr)8A^FdB}!F@Pj(;N zCq|>x`!wTS^E#jP(r1&;YU#7pXPfld$)!;~qlM2jpXtJ9C~v1HQ|hrZKE4w^oqReA lpVmHYgwHac<{{WAgvZ4S0 literal 494075 zcmcG%3w)eSRX_ebn`Ez(v`O2vY16)M+Vu7|ZMvJLNt3?qyGhzKZIZr8dQ01MyGb_b zw#jaGvuWCwQn@Gyc;O~jm8;woPyx9J$VEXxKm-I4L{z+ih>Dk=iu}K4&U2aP*-2WJ zKOf%aJZH|FIWzN}Gc#wNo##{k{ece~hH+@~sA<&CEiScY<`OCVO-(K>;=h@hOfh7b zrm=PEMs_hX*VdZNl`_R#daiXaGn<~eJCvVZn#+WY3e#w?MMg7)rED>iT)dl`8qF+b zN+F}lG-7kvN!Fg73>hKQ*i>HBfyJrxT-r3Y4N9W5n32*eAKs&HsAkgQGi9IM^g5Q&(RX0)QAAyQFQSsyTC zwLLw(;2()He;^9}cIFS)Zryvg%Iq~`J9-LDlk3e$#oqB?Z&mPySzBGZ-3;DrN{$rP zm`#Cod&fiIKc(>FYhC_*gGmfEle#CuMmNlvl@cRh6(K zzK-%Y?KQ!#SNH{mzggkO*SqrD6n;VBZ&JX*qyg{)obVLgCjoxbl6L{*x%Tutk=8q#EU3R`~Homw(OT zlbr?TOFJhO{qe1?{uxzX^0{6&RrzhMd`^{@e3maN{P-i%9$D^fi+>09#2=OXfwi#z zzQQlK{7}Hc8RXM((~%Da6@EeCM-_fN;L6une6&Nlz7vpqc)U$%dQP$$E#g?W>k5}XZvp|{CJJ4 zKd0y^NIthuQI(f|ll9zI`0P)aZ~0S+6ZWUfxBO}7BhLVU*m1@qaEKwxadHBCPELrr zBP<=Sb8A+^d`6<=a|xDbW#mc^%E+}mtK_q1WxnNESzdZj3+b$%+Tk^{gSwv$B54=W-LOy!5PGufqzzpzymCe%$q}p<@capzwPXe!Ri$`I8F2 zpzu#C{P<>9ewg`ezvQ$1qpH00+-&~^RbKL0-ty?&{?c03k>BE+%XL)x92wR?>^-GV=`Ylh-@(N$^^o6+V>BE+%XL*Hh zd3x6Gdi1d3=?jXd4_ls|<)uewdn`}S`W3$A>4TU_3he3SOcGW+eL?Z`Va3xI6i*+v zJU!v9z@A>>Fl>2x$!AZ`e9P0by!7_TRSSx3m$-@C27u-Iz(w|GdH36`^!ngcC>z96>^;`a*?NRuO|1a>$ zn&lP$Ur_viSn>Y_URksJHrLM-cxBCe%m1@Ias|zN%m1@I3jZ-h53j6QUh)5hg6sdo zivKUfT|XbT{6Ebv1@`}PehDl7zo7X4u;u^R9_jzNT+9En{nF1fU-AD1#s7yD|6fr2 zf7tT>j30Mi2wVQ2^}BvPtoZ)|ujsj4#s3$0MbCW2{}*^g&wR!I7Zm>=R{VdV;QIft z<^Os7x_&-v`G0O7cU}l9{=dL0dM;P-|Am6<|HF#^FYrpA{lk6N{}&YhAF=#Dm1`#C zieBoESpHw~iwa-y|7ODV^AW}Wn?;4M_*phi|2K;Y-}3+D2h4=@^U{AtEdS5;yDR;O;{VNr>*phu z|7Us0=lUxC-%PmvKce`5v#9VD|8FK-KOa&2zgblHmj92OYf74@Sw<8M*zfSsRiNi>V`Q$guQOTEnBXUQT zmwub&?-Y2|d$w0pr#o7&1E8}4SB>T9a1PwWWv^gI@dMbqZmu7%WSX+83{RBUYs9k|jp zGd_GenNDx4yjFF@Y#mR`^=@m~P3h}u8zQsmhKlO;r}gjO)-ki8wqbmxX;W>adh4Ou z;ZQ}WoAPa`ycUUU-*@@Q?XlA>8?zIS=lf6Z>uBBF4Y|h;jhuzt)M!&Wy)_Vu5ANPy zQBirP`b2ZOr)@s-^r?-*<7VjY&eF!3ZI5oKs$Qy`O7=Wqw%5jM2h943;M~UHtB3kh zUCo!OAL-tCCD^z--?%QZFVe6#QdLnIt~qfYcAg-+vQ5o_>LcRffi0ak@O(Gh1Q_lt z^|x;B%J(J)Vdu`uXf!_g*m%dyiEWKb!;RfDh0(!G&9%10p z&7Dh6uWG2xHP*`Z898576*{{md(y1zz17v+e_(S}EOc>8_H;a16{v2nZ|d!u?(MpF zA=lV8gZkW!p*}aNPt>EIE{D47lF5T9w@n`(oxYgA)OB;9zGZd@ z&)NGGcXC_1X9n)?*qED$PmS02HBBP=v@IGMKn(a|#X!@=`SF8G;}`DD%r|yTpSj<= zvAVH4vY~ow$H;ma8y?tihURB4+&erpba3%_&2$H~=U`7$yfD-=3!L4ZZ`xE5tDaiU zjUPt7Ava$#O8Iu}zt(kqEZTBo{!$`A`D-O#E|lm(A+&;T9T)r7QQh^yjvqU(Yr={hF?7o9VwlMdd65cm4C5x+e4Y zcTm6Fw)-U)97xi5P9JE#({eRd5lU6|q?;bEymPoV9)BcQ5xOM`O`UZ{gzTy_0KD|ND0RuZY?J)xYOze|}R}WJ7ILoZG#rp~(zVed?<>w=Et& z+_K%oG8yByuaK;&imYn@J}WM)>uL`7RP=@{`>HCdMn;Aj+phQ4HSf+}>VUozdVQz+ zngZK{+`eXSpknXd*_z7-CkN`*-x=Im6^pbraJwKRZ}xR9rB1g1ck!j(`|GH@HFkR( z2;NQSt{%LTI^De7a_%ZvK$~>N9j@pcf{rH$#KF*MQ@X9&v>%ta@W%Fx|U7VR}bcD_cra#Soj;cV2bg&##8xCZMS<9 zT<&SB+(;-=ThZ87%HChM5&mIjLi!1rKdaLz^;L$y;m*bhm?IX$BGp?W6 z8gIOmzZAbacE8(6AJL|-n{93AxH&-i2RXkvX$H19`Pc1kz1&rR{n;6|KN(NLe!KkW zU_bm%)uh{Q1&QNe-=^H9_+qAB^zVNJI6AZ08DGiDj?%6BvCzRQ2N&mq(;I8xS0iIq zI&hY*aMQ=?2%n8EKHKH^pDgS`yAck_?z39EQEzGY-WzVaud&BH`JddSwmj-JprqIO z(~qB|@*lPR&&7(k?SIx^+COn{5&0uoSN|p_Kl~j0?&9&D7CD|KW=PL=SI>m4NBXhZ zGpFy(V1AxI9xQEKi}s8fi}AmMw+^)Hbg z_zBEg%^Rz)#T7kEuAXEBdfJxPY;K#(2H9Va?4owq>$XEdw!_UUJ?k&ckGGf3+~2qR z>_q3{@x;B2)sFp-x$;XZ$YUH1Pr%=G4U%6v@7kH}AwNBKxDt3s+?=hycVTF2*Fxrg ztiO75mo0zM9k&Bge&SeZclFiI>5KQLmJc+vU6(k8-=hAWbH@Sq_tnn)iNw8*`6~zK zQ^DqCaV>u1_+hH&0oQKs?|iCjeShw1V$Q1H&56sF-?91|<3BF@yX)TUa6`vJ|ABia zb64Y;>#6;w-1ZyM zwg>BnJv9C258Th*zeVeo`LS)Ki`C>W_PO(QQx*A(ic1H0UBLZIc3pM-VUx7$Nbc7C zbrwrA5ry>*O#c@?z;U@Q0*&?-NZVvqW5WTy2t*hTc;aO z(fs?A(w-ju#~Nwp4#-s+9G^~*Z-pS#wzl!^8=ZMWAgw0?Exh1+Yc z#!K1lBO|qaZvVUUA0iV8seKfDLO=WprLT3%f853I&_rUge>?3%ob?RWlM4PCx(bB`@AcA{-^0Q2GY?d#q0Ynv|3Z|#=*z5SaX$NsK)GM#P- zp4%`{G1=3*ui6YHN0Mg6SXW=6Y5T^IS=qF=DOquIq7M7KU}aTs!_wGDbyYaFugh#m z_8x3%XgIQadqY)lXVXZ0sHe56I(}ik0qJc>H+w58HZ+}&Y}hxjFM@Yesw#rH4MXR8 zlD(Z9B6}lyk`<+XtV^(N58mGJcyl_rGoKB{lY8s-p05o=n*yUdM)q!h+R2;en%gS& zv!3ILr!5Us*9KxEXU;!X_gJ8s|29?ZY^s0k+>Wv1hhtSOX7K#F!~1sLy0p6|PI@lv z9FCoK^st)?)C=eYHo5i}J(0jUh(}9ML>!IM?zeyp zPPI04&s6NKy?FNW!BRGec)@@frS-|&wyvAmdePK_xXJNr>o;M)-&B#rI%X@@FEh=T z_HXXQI_2Jl1GL`2I$&`8@uf5MrDbuY{rZ(a)by^Wu|K`k5!exL?pTBQVsW(P;?Pyh z+t^R+leL%_vw{o@;(dfRhXE;Mf%YM{8v z-pGN;#=wT^d;K+6vEPP&KLEK4_4{`xdw1ps>iaHLUw&+6Dp*VFCb_?YeM|H8jkNx1 zsyKoA$o7%zvgI>1_1g%rdn3i8NV6KOr zUHc#Do;kj2J+*HZ`yH$+%ne$8V{Kq}ym?u+BjNxx+m6okZc7B7!h>9wV!b?IR#U$ZHa@;IJ1Y8HFPk-<=gqYievU34 zuP32+iLb5QlUNVS_{5nmQQJ%Q z4>xbBzSbt=buF9GKXHl6`GL(xPt9Ly&sVg2pOaN-S)cK`L(ACT&P)We-seCxF7aE3 z`ivjEIT2)exsHOqWYt|)elxA}&deS#BNPWYS+n`joqs_}T=NWzl z_Lq*IZVY3;I@4Qct#^YB+bRB%!8jiz|7)$YlU1YNVv}b%f;U!a#dR^*T>K$zXK4B-;Nw5$5oO>swpSmXfp7bC4&6t)CzXCiV z4m;6Ru>472YXotpOSMt`vbH?klK~wM7v5G%}Ub4{%owPa$Q>b*&P?L->3XZ#-of+ z+?>GtAfJa3f8E@62l_T9W&SOj_Frwwxea>Z z&t*PYPIgS=#wFStjs_K~HzTn~6XqxB2j?lSb(!MB9Sgu8%@Z^~(LBcU#Fh5I&N6-Q&jaRK z>X(-BLo>%`?p-{4_0UWvXv*<|bAaWRROjspoCjcBMmhdU^RQ^zE^#LDf%*;Xrt!t& z+Y~G(+at6Suk^}(m+N8?=X`C5YqbNH4bFVlBK5(ZYqSq;((~a5slFVSY$sgEIK;>< zk<{~n+g>Rr=P&r@B$sc+$1uOUewEh?(!Ue`^3lw3>2J;G&^7GKDP9zarlo(ZL44tA zTd99nX&E@YIU8J$c!{}*{KDLL=j3>OW9NRHLmaOg>}YLB%%8#dK>SgO%dOjl{ZM8W zaYLF{&vaoQKVXLJ`D{&u$7Qn0L^~i3XphtFgUf>xW*x`>C=SyyOYzL(rz_W)RUUnq zpARm|b%C z#JzGk#A_jE)!X(1PQ4Gej(5x;o=f$v9vg<=x!QhXq8@mkFl#)|7zedG<+@{Pu}9Q8 z{RjRA=HJQO-#2?8$vA_*y?zFMB-tnX{p8R@{N}{{wo^5i&9xGjo7xt8(QoV*leKca zf&Ff4vWjs!(Y1tjuao@E_jc#U+pYueIEOOpIRBxAOby1B^t-?pm!tZbKoi?l8mq(l zaPy&={!!S&I6T>Ur32$@oXZ^@gum=+s%Yo&bESU$o&9qDFL1i$7pXo?T+Ri^-#Ej5 zQQB+8%cWnm+G(kGo83-3HqB%HsGV3Bj&18o96v2;C+oXLvZqC9klK~`ahG2y`5W1O z=z)L3x`*q{>k9H0Ry!*G*J>|{S2sFxF)4Sc>uEF}SmTiWXCP{gLwkM^BZ@zf^MKWV z_V^$>ta2^fOTRt9?Zo4h%aidUj0da#C5}$Qbj=zqr^UdOohxa%6Wht30n zBUZhrJ+Th8@YH*_rGNgK)gPC-Ca++;$nitxVu+hDelUL2XY{|7-=2TCKQDFXXLlW? zc{yzNQ$-WkclIXi4buF-c(JwdDE0;2d-AmZ3zjw>Ok&-(`QFTdYaLi`+`D~tB9R-o zADcb!NG+{z$d21rE>B}WV)0YvdY<>YbMuMj z(*c|VC9B5edS~L;+{K}ZLo?Po+Fma-;r#l1&E_LZnI8PoWTYdL9$g;}S?i2B#97YN zADYGa=aRL~@Qg?Egghs-&MQ$r#Pcgo+?Dg#(6RNM7>ClYpnYPFo%MucnGf~G`WZN$ zY@~Lg{6{CpM#YHt8STjBko?jZjb~c-Smj`!!Tx~PN1k>P6K#3ft|@ba+I|in?cKn* zp#H!(ITG07ea613_9EhyO1LB@2})}D(#Qz$xhk7{{2srT<2wOAuhuEb-BK?*F_}@*K%DnHxbXB zsXL1GZD=-ip~N`qY2MR1WUd)H)^Y>un&~Tbm8Z^5;9MU2DAW(n_jbv+T(BVbBmIYI z9-%l9`Caa3c}^7^FG#1ra^9}9S)Agl(S`SoA zNPFe}z2$0rsee272hQWMPQpHC*lJhEwTXJ0UJ}e~jJM-C9a}AF>%$KwdC4X$$Mf<>68b_90_H(l8 zNPMrvsaS9JZqE%6ZV*?q`jzIzl3bs2Kikj15!a7!BQAI5&jbr>Z_ON?KOjEE`;yuF z-JKHm)ITQnCBye_OTS2X*;X59V!eb%-cQoJ!{c9$mw{uYi`*ZUex4sF&b2Y!CvnR2 zQytK1wuANx!s1p0By( z-sO5>9Ack?_c>&ofZHACxM#aCzsUZw?CZLR_jo$#{K&R%CU>=K2L3>pv)HAMSkI=@6cus^c)$LlWbZ)l_ak@}2yoE#U6h$qT%O#9;fN$lV7o(9DY z=o}dDy-c3P`kQfM>6db0Y5(BXHp)-?Z1@%KPkTRN`)`a7jI*dJFa4pcANSL)HJfQ4 zUqSvru0xvGe~{j|t(WkId8W=9j~R-K$a6ciQ_`ac>o3}$2BNiWw_NW8HgLO`lZ<~$&RUTUccsL^+*Z7g&H77A*k4-s z;`b+lmfhC=D_LdvU0DxnU0|;_ds`Npu>P1ue0ZI`u0D+Uzw*!m-v3(0xyEAej5yb8 zp4ivauyN($4fc{g^XRX`zI_oxBF4m!;!_6Vur&Ki2x(?|l12_+Otd#EA zv8OdzIX2rv_DX+(cninz5MQ<8EzUFMb%`JN1Nf24d&&P`U5arHyY}MUhuQ0JUT3#y&&lO^J?)>j$oEKm z?-dNn_>0_cZ`Gyq{2~4GQx*K4g#Ud5iWfNRs-_T~n=dX8Hzwv&HCO2z0&!uUZ*Xqk zq{xKM_XgT;CaaRZ_X>C)nc94a-a8HW+lSXNowGy3E&W(8$@iZI z-S#Oh1x=s6Eqky(S?_Bnd;hdS%f~p|;p-QQM;Wc5$^doR{|ESR4*Cd1S}XD-%k?V9P|)rfVVd_UvP zoE6`pc@p;xB<#xsPTX0T%T8rWz4`ft>|EyTLMfZiEjD`}d*{-Niy@;LHwUbqFJ!DS zjdhyLrm?}!xsaZ^m7dKs_vG_)%^{=CG}ic%LdJSrK_JsL`OXjIO31enB9b(X^}hVg z7cx_j*lZe=M43jjUtp$~pC|p9bnaY!FpDb}(sLnWD^%%HOyg0V0@$Hse$+J9Qf8H_ zw3l6LN91C5x^yFC>@bZQFV8e~-b~+4x6Y+=v$zi8cK%kTbtqH1k)J-5&P~r{7Mln2 z`CCg1A!8TxuPz!g_LxSH<(M=SKix7%SP1Yl<)8BZ}+?>wkbItLPaR}E`EYjr@txpy+)0vs(WU-iEPA)Fy zsRIw2hEP*OMknsMP()xzEt13D{H zoJmj7ofm;@9$o0<>sg}4rn@luPCR+;lxdg)rV*8NYVd4-0tx2^hECvKkBI!68cJS* z0Mjf`afeK)u`b&43su7K&57lwuo6K&F)Cbhbn(Ra5iR^fy?{EG|gV>3lAe zT1Xd5+4LMSVv=FY2jvskVcaQ_N>5K0O{3b;jzIwBTh-^I&Vwxa7}6t@b+ME#Wh{ER zh=GA7nVViLrRYMCOfGdJo0|8r=JLd zOQrPWT&8s(H=VhYnI6j&P{pH@s5`d?ry_PLAIcbf<%~C~SrkBfh zL)N3gktJ@FGIB!zne>pmQ}VW0DwA8Wr5&4Nlyy2?N=xar^dz;&OJPWX)IB#nvpk*3 zdLJp(%}$AHD7%y`rDt973aU}#W{QAOF_WH7Nxlj_X%*1oNReS^L;G2xz|O`+ zFQw;DmW2{oGzKD>A<^os%DQy!Zpy`~)mlzz7RGA5rAh5>Bgn^)EMT#OE|;A$T};C{ z0%^DBrlt9I*T^=IhSE52pQd59d_v)9@br9UdRac#&~q+7pDE5}TmcdX+Np`906p6+ z40E9&JDthEH%^t@OiQ^%+@d3&c{n{KX;~F+NYW>9#G+OsTD?MGCVZ)b=zlykoB{3E zMi7>0!R(v5n_tS!X5c0wvNhRdL?vzY2|wIU&t=iRG^)@gsXzTI2A*6X&a6@247fbnK#wuiu`v8+E zS>Tr1ON^2I3_LMTPK zlI0@C)>g^_b*QvVW&qL%SDz_6E0@C{e6jdssTh~GN%A0(+~hVqxil59Z2O{xMp>FO zIJhd@%#2)_&iy1Dnn5lfrPcD8OS1Cjmr!{&oieB7v!%ds8LSVFw$a@5)bPqMv=i+? z5|W9FPho*3rIzL~>Ql=_nkM03Oao31W0=_vvpP@QDaT7$yru%9Vuz@E)XSstXpo11kr*s z7@E^5)Pk*(HKsaw9V?G3*^tto*zM@aj^&4RwUnLQKU#__Vh!ttbwk>TK`ZAejAAk^lS4a%r8YSR?54*GLIR~uCMk6# zSPRK?fEc8Pp+064Ewr*GWo*byuCrz(fc`;QkkzZGxRVfP=*oO#EX_t%yDYoLb0^yA zGE0rjBC*VQFcB=KmL-F0TsN)5si+*$O@ z>1?#(TewqX3sTESDZG<#wOGzodfNta6xhN|&gG|WVHu5erF1AX6*ZQp$?mq?k0z^3 zrv1W7X|$-= zYDQiG!w1vyLXN-czT~-NYUspJYH(oq1ln5N=N$qhE_oP72i>ju*~yz&Hg^B-IE1$F zIjr?B=8Kpv))a4B`|WvoSEOld9Gr8ng2X=GN=7!wTATirWUXY#R$H5WTXKU>tBeFd zF4lAETq@buH<~&%pgs+rMR_u9bTm1hvNq8*#OXf;;pEt<6mV=_2830v6CEvV@^KQP#rN}lQgXwIRoQHybD;1ImN^N<=&o*TG; zbg;%!PmZ3W20WQOKX?wFT;eX-+k0YcOtuaDf_YlQN9&f!!Znrg+B7^^QzqB0;UPp* zCf9)hsM>g9IN39J0#<6cuu;Q>l^QPW)No;`h6`IYTv)5&!d_1uhLU4vXvS3QKsC1j)pP!&?G&Q?JeC^3pcVE5p`OZ+vSTR>Yq++P$+2@7yys4gj-G$= zTx#@0-@xdJUW|(B0ld+F>O?9*jRf1)TF*+G)u=3TRvre8#fX^$IYu2t#(1w5=FPU6|}po&Pw!ln#E+jR7YWP28UB) zaJ9CPvKL_}T563YK`c~{`qko`9Ueq&?JQ%x!+ohiw7)CBPR+Jt>|F93ycJj7&PCqX zs=3{4#BwWccN}r4A?!|pDNiPQ&q#(B`>2x5pc^T>~@JlBtxbIFgFbIFgFb77~33rjUz^5f+i$d8wE$&agC z@}1eYzp1(bbNA?Y#P4=bEolTt|JCS;F@cbBT2@Q^& zPr%DzF#yWNjs0NZ#(tEzsi7yr=x`5dL|DYjaJ)RR$FL~ki9$Y|Q#^0&@YxjOo&J&o zg%wW@^rjNMaK)*MXGilN3 za@^=SQsF$?txz+10*k(L81dFpDMDsjV<=|P+!RskiCe-ta5>Qr{UAATv?8QUoZ(;tM%;#}3P)skF#tYT5S2@p9w*yd%0E^1;WIT6wA+vg-YQB}#Hn3Zm zA2mM~H9um0d`-~&Z5%eG7Z&Ca8EQtQA5=SJ{)G9-I>Ru37pJQ;+1#`+KV^Q9w0+t% zw)nEs$=>Kv?i^aGIb?nYSRXpucYg3hGo6vFB8yT!XZ}IN{H*zT;EMKP9DNF#U%-5T zQ!oVYad1b?uqZq0iqe_fy~6yG`A1~dm*M2yofn^En}3Whn$48BzfI!_wTrB_FITCy zsSh#2{1fwQQS+))V#Iv0Nq$UFp;mLq!I|E2f24FxPPB8K@ zp9)CWDnM#f02rP!@oZ-RsmTCrcrxJG&Y*=&0w_>3(6g0+$np{rK7i1(ok0tb1p3=k zf(r#o(v;wXLc)atk(vzXU{48d-zT(vpYZiPJ==BA_I<+F_w;OM(Dr=--RUvO?P*HV z)WO%&3Ad+-)MW7Wbi(avBFi(h`Ffh3tvV2?$>8hhHn*pV)MP++y8a(^laBri-a~G66o2^phZHP4+->aXV4;{&4&bfwlipv z(B?w|J=+@@*8+PCLDN?&r}yDltoipA5IRrI3cn; zL%Rfr0?cDJXAEYEP**VFWDnM9-} zgRiF#yFE>$CWEi154$~0q$Y!}rw_Y5O{6A+ucr^YJx!!0gRiF#yFE>$CWEi154$~0 zq$UHpv(ENvbQ)~!Q3Aj3?a;$60*KTo@*&``ivS`u8GHyh>>_~3@(dk51kkgE03tOR zd*)@+r->}j(CO=GdbWC+NKFQGX9N+XTngvYnQ-9W zy;bUTJDx~QeqYCTx*boXCWEizJKc^aQj@{g@ttnR6RF97-fgnyRCni^TF5V!@G_$H zhGe)R=&P!Wk4`NXx8Mk%qj{%SNQhs zBxftqg7OHESElGjHeAu_tAYzw%A#osK+V&3E((umQFsKP@?>!FMoF3ye0V$J;*Cg6 z2H?n3f(x-DT8JG1Xy_ejyp=3p{IMJL37;MpW|U*SCdvi0_!Uv3;{2q>6X$|l#13?U z)~Nzuw%Sf$c~bL=bhrZVdU(|$kE0f}PAy>N%>#y$2ed8^5ai7RhLZ=hE)T%s%>#y$ z2ed8^@Z!w_hLZ=hE)O6hl()O#V6OPJK*Z4kTBij#@|FySlLxde51{1D1BR0av@Q=| zt!(%i{+} zTmc8snmiN*_1H!X8yrOI^7z3)K~g7=9vl=4^_HW6gA#S+5EkqhI3~vz`&B4dq0p&L zg&!*vF?CGUV}-({UQ-pUP@=9JKUOG&>Xf6$3Pno2d8Y4yBwl*dHk@TFshSB4+{#EddpE@L5aF@(AC~N3Jxf6s>|cY0fkN- z+w?e~V5zqp1qYO>3McjX0VV3n@#BD^rA|3|98i4JTaJPQO4OC( z#{q>;opSUzpn$2j90doIs4K^h1B#qF<>+xhu~Kh23JxeyQx1hoJ$Z;>mqWBJj~@pV zLUr=!aX>*+Z#fDMC{b6A9|sgjb;{A>fI_Fa8S@z zmj_+#&7dNus zfTF8TIeHvWz|>O?G3-1TQ zCMiy<)9A+}g=ZbC=rKu=S8wMinAC@569;{mlnkpJNtfG}IOxNqWH@=Wm`of5*rI&U zF`rsm2v@$uYpWD+bZC*8I0$^H^^*)Ij~0B1gEsiQzr@9lQ*kI!`D(vH7ko0OuJVAB zM6*{R-XF&aPUUO;3SA&34r+mzI0#62YvtliChCj;Xtoe=%Z;F#!H~E3mAa5i9MnQC zaS*6l>4(Yk?v-%m+x=QyP|EB&Q-Q(F<)+HR1lSs`{B^%h7qp3kpfx)Eao>az_m#9R zj~}!Y>$Sn7kNYMlwCm{_CGIN|b>;X$s>FR0`nYd`Lc1%qymU?B%8&akQIM*S3@0e~ z>$P41qdqd6px|%4zPG}a`0;{Qp8`~U)Hp#gVEKAj`c4*?jL_{G;mSYo>rt?&4;m*Z zE-crhq$h1F`jTIf0!Mu$IYGf!U$z28%AhOT4@C+# zJ7t5`l6_Nl_SOkMWCI+LF@7WNlwM>vVY*$qri=#)jB-{ zHyTfbTMGqM0lyvvg8Ha+LPo7^J(JuPRUw}qC6X;OXzZcDwPVjDqq0i)^(dZ^GU)UG zV=>#FVs<)QRqI!xAW|RhPEbVKQ8GzN>itR-i0b3t3Hj!*Z3$|$xDc+|;#Z>}l;m|4 z@*|YO;5JC~A@PKKrPwaINHbcvYKOmQ1)n6ZE834wii|r&>+wlJakFZtfDi$OurusSNRu&6hC*Y0j<#^W9fE7q?Z@7Pi4YY{svXz>j`~)Jwf4g2c7BV zg<`%GuIlpDONqJ53_5#&J=b+CPtmWo!d1upiWG$E1MvxpyUW*t>}h8f_cMm8`uv&{ zm{JyY8341Bl`&oZ{!Ac2VSiT*?gB=?-1Mt~jNQTdV}b;o3V0eq zIVO;ax*7m-t}~F{xailSAXI;Fkf3t{w=0Y643_ zU`Wt;f})3=!884O6o^s=ojpLDJ7kKMGr-RUyv?j&RDTkYpo0h38DKJJXYc~QCIz{a zMQ4(V+;mYogBSYMD9F_xStRIGLaCYM41Uh1NIA!lIW+drv4w-(bWu8kSNYW_*d=+L z8p5vI^Xv>>*-L$d5>3KAuTQb9ls_8 zg!*%o1Rab>O$+n#ch#v<@ebzfPx&<|j#Yn_lAx0jZ#@)f>W@tlbV}mQqu`Dbb#(`b zym=H<>5okkbTZ=2qo7KEY?7c;5^o*_Rg|c+4G{6>Q81%FHc8OQh>S(pJur{^Z~4~Q za20;-@6o3msmP2P8|VbZn@4eJl&H%?E=_eSbc|x-R)3_Dpi>iX9t9)%Bb5Z5n#im7 z?7DKmtqMQm_o`B$qd#d$(7}o~j{+S^)K$|D9XefcstH<`2T1V@5X8RWF#p3}x&kOV zi_vNF1B%XEoYM7xqT?2CEfqjfqNW`G`Ab4Mf048&o$ih7MDlJfL-X00#aQWFl3RC$zy@f5{47^rtBaIv?>`tKda{c#@!F5N{p@FZwf* z1RZpE^C-yBpMoUl(8HTYK?WsO7BdTTrt!$00q=dId%cgi{BIKfrE!l7Wx&-cEqm0f z8oO7|E`mFQyJAK-xSI~vZe$m6+B1lSPHSc^ARoIbY*?LmftLbU7Qsy>X;!HC|rR$JDbBp zmSda+VF0Jfvp0%Xm4OG(cP6|~WepIda_DZ@3aC(Quoa>yj;z)ctEv~l6Ty?zFu2UG zu6R3h8{d?`eLmUJUBoe_ZUJHP^n{9!FkfC%yRKXmwH{(sy*lpTyCXY_}cvd>qPKcFhxB;@r2^-`Sju~d{#zY z>}?udt3t);S!rh(a4Ja`ya@FmT9uxtBXH@gS_llrEk>%rj#~NUf)$>0MT+2T@CH={ zuL7*2VKJ4@&17eniu5Ug)a zhK;-cy$U0rJG(qpD$e0oeRC4_auTqaC0uAFf5AnJIdt`U zO|8&?cUEY?|KIBF*;d+v^H)%~fXI-q$ zR#om9Kzo~ya!0;Tm`zN5K$zQ^`k?HS%fj4YHdCAYmN0j6%14E{i>Z$bb2n4JBg{Qa zeNvcvnfg6pKE`=JEzEt)`;0JKnD;qh?q}ZTg?WIfF9@@hsV@ohAX8r!W}K-%7G{E} zKM`gdQ(qV6A=dW|VYV~%O<^8p>Mw-Z!E)b{wK*-!PV)%W=5K`A#nj&kvzw{!2=gdY z-xcQLOnpz7$C&yjVIF7d`@(#Jsect_l1ut`VfHZfAHwWq>c529$JBod^Ms^AhA>Yu z6%b}WQTWn-sC@gUExul}PJj0X_<{(ow!W?31tuTj~!l#PQGPPcqPcl_6 z%n@1t&?aGyGPPNlV@x#)^Bhy#gn6E+M}>KTZP_l&i_B{l<|U?f33HsOJ;J=q)MLWD z!c>beuQGK&m=jDL6y`Oi62eTe=0n1~&b-6IOf%If%t@xY@X2MSjtX;{sbj*-F!h8m zXPD{{<}6cv!o0!MNnvK0Iwj1TOrg(jF*PX6Ii`k%InUIS!pyN%qr%KHbxxQIoQe@r zVCs@Ei%eleEHZUfm?fsJ33G|_UKi$V=1mH7nW<@E-eGD+n0J}FAVC!h9~H8PQ*RXJE0}td zFki{kTZH*4rrsvZS2Oi1!u)yG{0?FM0#m;#%wJ^c*M<2Srrs^g*E01R!h9W5?-Sp=$=9`%Mm@wbW)Nc#(Elhnvm~Un3cZK;jramRiUuNp} zh50K?eO8!n=l=KuVZMWTe<;j%a=Bj==C3mEkA(SaOnpU|zs}TGh50U~z9!6fGxev! zd=FE9Cd}Vp>d%GwUZ(z1nD1liuY~!2rv65lA7JY5a5E}R81nL2cP5h`xZsap@Qksl z(BN4XlCz>t)2Q>Q3>MtELXqwlH0*imGEHeaRuQMmm|6Id6{K7VZ6)Yy8xO3hEwpM) zNyuN*W}Q(GbUx?7wy!GYR$$)>+Wa5?SY4^S7M{Kd@=#)Sl~*!RYNIcRJcKs-u*gG* z(f39kO3c=#?RvDb+j3gOc|Kag1O5AdE((k+a6xjYO#m0wf*6>9)5Vy4iH|nE0oKw)1zLdZsx=GsirJV-|y5DkP!4-{j zzRSaMy6IQ>Tn`KBoU8vUJ`b?Z{p`sD>~p?`@&Nnz>kllnVhdAP`#~bkT4lvfaK9(S zx^%NHe>%we%n*y|rY-vmLj!#*qgzGUGV}!smeO{T`>i4tI-r5s3ZF3I47zGqU*k#0 zFB`F#uJbK1=YvNqsl%KqX)hoiBxkQ6bdF2a$NeHhIu9^XeoTpt)VWSusq&4b2iM*H z^b$+1VyhgQ{4sZFt8T$)Ss+Dus60d?_{Y2saF${k^|J6)I-TcR7Tc=4nZJeNDnP!d4lM)`zj!O1&J-W8V2r-_5q14F-Mt*izn# z=={6bn@~R8+4hWPw4B5IvlsiEVO=T(LcTQ`m~uyVzUNum{a@&R4;>i&iYk z#iiCsINuOsHQFAuWLBS*lrN64Dy?7ie~9eiMORsTs-NDX;K3@3Prv9Yi%-AkDvM9Q z=qig(zvwE9Prv9YnIr}CDB#dwd{j+>=(9a z)*|@29DT=*1#~WrK0n8wbYmHvOS5HGcWJg1O__3n(D{85BDc@q$YVL(I?pGktYCFh z07+Y4)MJ&pxk(DSAKGIWRBJ9hkI(dv=OYt+=1zZtsRZ@gs7JhCN{Fmd^0=v+q zQg*I&Xz5P;6v}udUSV*`P*SAIS4YCH3jaJ__3(aMa5Ou01I>rrzesPl>Jz1c*G9sx z3BL|6+K;ZH-8`*lL=vzdeG`N`?@ zP^Of|;49^ecSB)($g&1syHR+i@f_6z&&N7X;q}V7%wqFkK7VUzLH%s!!+hma{S2k1 z$;-a&8U9@O52E4EhCh#%`9sraUA3X@{y({tlf54Y_&yQ-Vx3`zzl84QHqwG)fc#2k zdMr}_l)sEa77NM`5|-%x<4E``;ja>?)486d?A-LpVt(E<_QIEy6^VCw(MMCQy^1J6 z`L*!Zqfqjvru{)yZNU=4q41w!V9e8}SO;x0-3mWsi5i%T@SlhOA{zc?_%HG9Rhiub z@Yal~zml(xuPA^I(b{9X4=>Kj9{8Im{Mg@O*e>K3Ml<-lY|iqJrg1^VnFR<(0o>5T zOd#6Id^`Lda=f?!!|^pV6@_|- zgtYG^%3}}8t#K#`*P%S_>h?MmX_q^wogu{i;rNs9hyR8A$-iRM{F`YUSWTM^QWrxs zX#QUvvf~Fr+NNp~#&P)?LHGy4NHX<9Vf08UVhW>|sS08AF;#`mSEhDZCt>s(r!cWc z!onC}Dk_ZAObKC}VX8(LgG{Xz#t>6=!Wd?1J!UdRF*OhU#>54ou4{yG)_9Ui-Xx4s zrZx*>j5Rh2;~Z1lgmIp!M^_ll?(E_^5R`vJH}Z9|E@o6h@9yhlP=6 z-jl*uV7XCY6qq_Ej3Ucj5XK_QT@pr#smsDx;?%3cxXrw4!dPbNx-jl=>ZCC4GBquX zrL-Np0;ZlTjGy8h&lAQ^ zvz8YK<7b%n)57>!mit*@ypVY>62^;|dWkSz%+${b<0VYJTo^B9>XpLyIi_AMjF&O> z3&MCg>wAqbUctQA3FDQ_dxJ1u#ndkeRrNkJ*U1$7;j+ey~21SQ|}kXFERC-!gv!?9}>o!nffhZyoITc3gfLz zeOwrCW9oN=@ykqoQW(F&)b9!7?M!`I81G=}Gs1W$Q=b#YuQK&{Vf-3XUl7KxGxa55 zyo;$X3*+5P{jo6K!_=P$<2RW4x-j0$)Hj6jKJKk=3gi9E`wL-wfT?c@<2Sht{#qCx zWa@8)@gb(ZEsPJd+}{i1x0w0|VSI#B|4|qpW$K@W@iC_UMHnCF(*8{tzs=PD6UOf_ z^`FA{1k3$E7@uV7hr;+>NkvUz{2o&k!uS+ZRl@i*Qz2peK2s54e1@r*Fh0vvwJ<)% z)EZ&@fwVMQD~!*}a--{n@rTUYAdD}t+(u!1k*Nk@e2G)H2;+~K+A54MGxdltzQS@% z!uVsRb_nCEOzjlLpD?vs7++&*uQ0yO)IMSSDQnp;jBhZnRTzK9R9qO}WU5UVf6j94 z!uSiOI)w3;oO(nU-(sp;7=Oj7j|=0knK~|vzhNpVjK5{MUSa$l^G*ok+f4Nf<2y_Z z2;=XWIwOqlGBqTOe_-mYFuupFHX@9FWPM}8_$N+1FN}X?-bG=2pLyfL_!p+G2;*Ow znh?gnF_kiniw{4Ysml=GHdjf5@qLY1UvKUYa$T!b`IOrts2i1yguwwvuz;rP(T`@X~CMDZDfrVhS(KhMB@k zvk|rmFU>}ohnHq!tPd~E3T{cfG+WISUYf1pRJ=61hAF%>yOt@uG+WCQUYf1r9C&GV z9aDH|c0H%!rP&Qky^4NE;4WqO=UpQB;^0fLxQqURH(WA`9~c#<(WsAypHIoJ#ZMoO zW^>unF`AOq-)P{+2qOCW=o_L&BKk(`=iTMxxf{j&vRvjz-xM`kqHl~Etx`m@`;|Y` zvzN=>MXG#qcH_>^2t#t~Oh5am%;xBii66RcwstI593okS1MvRW= zuc8KaNlwHe`s=8_11g&>GrctlZwz3hvg*TZFRp)SEGMI<$n!fx@Lqxmlm66agiTj=Z3CK zp<>yekx5kKbI~dVtC@tR7S!+6#XuuShmR=Iu1p@$8kjoQnVAm?W(kq`%lq- zjz<46`h8*kruji~oc{`M+{VZw24Zk=AsWExdBmQSu`9dnM`s9+-B>IpqT%nwureHFL$EUJXG3Zc z(Y8FvboMs9iHNO}BR#fWnBSGX7^{af2k!POJ^nxtVe4L1SW3?!pNSD5Y5ZLg+BdSz zabf;57r0HB|IQSm1tzWmk=gMVKUHHIe`}mklFKa9xbQMin3sX<$_)>)W!xFf8ZLYH zTy}Z(JV>(~rDxJPb*6hH_84Zm*gja*BVzj_C!)r-*a3g3Wrnuk~1j{|dk9N6pQz+fK-7W+6b*~fv+59PRc>=>6Bs7bgAz%ySQ zHH|0TDenI~Lal+(8|%ZQ62sQu3J(X|Jt4>CWV$F~13YX_<4y>g+ZM^RAFUZ&+1Oxg zh{orzztQNH<%&BrgWz9uR;}%n<>^*$8s}EAF*sw#VK{tRlEltOV&`HPkgjp4q1Yw( z!DXCGjb>&rp{FrzAKjx7v}2k|6x}`s;&ZKOnY&xWF2}B52^PC5r(xUD9(dU9@<=*P z2b3iSH-q_!*tIAu#x}`|odmXrR~Zl3-b`>$O_xb=GXcS9ETGooY9{ZNGW4xrYZis* zV=K?1$}}o1gT|ZiPR51EcJkf0d1q%?%w89g48?Fzx`C#8cS5o!FI09R7R$#92sLum z7BN>uzlJy|*YEb~H2@k=O$K7nmQ4#hxGgDc$MNxni26Xw!B!H-(%(V;Y?gxuPuV z;kB_BirAfC4>iJz(FiYD8|>kAleZcP4AoD>URGyR#$FC*hhycXDJ)}l`p=^h7%ydo zh}bJ*uZqQfHumaOAb_crhQ`h21Onj<;nyHA&oF!4>KPek@aBLSJot(*qv?AUV37$n zute<542`#-Ro+@>RK=bvVsGGv`W3L=US|ZUDSSQvmiRarjEmR@Xjb`N47*;R#Tc%Ycbe(5 z4fLmMhNf%eH#%dJRyB!sx79w%t@bfA(Z}nI5VhKexYa%Z)+g(Xu%^|h=WaG1LfD(z z==aNPLa&lBKnVRQZ)G?apG}>vO@GKXeE|mGXgESPeU@$dGFV@!Gos}-(S7ZWE4vo# z@r~!xVk!{(I^yh%(r;i2ZpI9h&&gMSM%)QUyV!Ry=!4s%puUSE1V-)m%G9Gb zs8aw7`qNG;5(&D0DU*bV&oqn<%49(fz359vf{z0k$^ppGAK=25jszcvu|umA(dl#0G(=`fDYU1fuG%V`>Y| zd?ca;qUtYW>Jfq+_K0ue$L*%^lnZaUPIU_Kg5CS{fA(uq9X73^IST7{uGk3NcQlI8 zW;7-?JDn~YiXD6ZX;~@B%FN)P?-lsE8a=vaMOWin zvY^6t-^vj?nwdS3qqP9K83i;rz2HlgJ$^VM+6B%jeu+B~=M=Bv9!Khb%B`$;96N2h z0C_D|NF29!7Szo`Br$J@Cj^!W%JoU!UiLmobhy>v9yC88pr&1%z_Jf5BDc=?>xVS< z=D7NhmbGtUn@@{?!IlmpCkBbkiNEW8{eqmx7N}t15oJt}0UKLZ+x8AFCF+HD|#x5Rbo!o9$MIgpXt|23aq*PKqG;{g+45C+LPz}pZiNHFhu#5`O zWE+%$2oM39bNLB`4=YDRpq}&1iNF@7uznBF)W~^21ZaljClJ0$Ea3&ZS$GK%*v8qH zu>8;FrskHWGtQ-%3%5$CQb;UA4a*C07gZK_#8V=$gY(^^f`Bek}W6t$+UR-;heng%PrYt=&=qu)Ue*wF8$BhU4)mw25$Im|2B&#t=UNjK&F45A<}UjP z4#U~;d<@6L%)?pIiaD)%pk=63k6V&uOxr6495A!CPnAKzmSJ7=feq-Q4`le7ro2vE zEPW%xC(8XspSmDbWvxh8&Qn5JE7BeFl)$Y>UxM%|rrY8vy$lI-`8y?W!Sd4#PGb|6 z>7sX})29=B8oA#rK+vZSTxV(z!Ax$1mJkm!gL6F3_#pQoPFQ@U9mcB_oQ79AKEu?v z%cL-Ye}mJ$Tb9;|7ach5AIs7(-G80azVA=##2aMa;Odh{cNTn$fDeLw;wg7Lbyq={u ziojku%~fMP{YK_(5rG5D!+QD+%zK2UJRq2-JP-le((n@q*B>h-CSY+O&YE`NeGS&M zM+6Qr?=cbRV5)`m0qv|0M1ZzG@`;E5?SlBJ4DxilyxUK`^V3h3iU<2LQ`B1jCFhH3 z!1Oei4Y+-rd0n(WKrBI}9t6?pM~IwPukDg6BI>b@0n zTV=3spl@#YkP42A&(XyNRLd&#hg<`^TQkJP<347cs8s^HHTsr@uY@wULs#8Fv7A zx!w3%^^%O*RNr0`tX{4&Vu;#Q7i83?`YEuUR%eLvs104r?`vP!iB?MpGs>;@%rXgv zj5T@CRN>Qrdb`7sri&x(PHd_AxoqW6!ldWb8P#Ovv)Rg@0_&&ij2exVKniSXqaia~ zRvbWsxyYK5=yM`Ia{y$YIc}({`sHlSD^T_;>x?yI&P&*wp9kv~>WsBy&RVDGsXB4` zW-#nIgx93Fjb2|a0$`9;R$IXazYZYV-Tzu@-DY|V+x1o$@wPgnmh5^X+x2#^-ce`N z>Fh$w!!EeuVaEBpMBpT&_B|pnz|?z1V34Wzi@;f?;D-VfSz)At2vC59pFjjChQd!E z0u)N&ClLN>q5Y}ekfT2u0pP`7??Z0Ae~;_^DOB>)b;dfX_wR7MKMU69>WuZedc#K4 z`!HTs0$ilC%h2I40 z&+CkOjfJ#dg@xrXpsg#J!Em7us`#xz6Z)))A9StxHe2%@6#e&g#zwN{ui2XKf%T7d z#wMLLD9u+4ZGg!RAc+2;7ySZQJ}fb+{vTfNW$HggV3ZN`1H1suydMG~6h@K=A>#jI z?mYmcs=5B*Ox?RP*_}Igo4cr3P^1Ygy@`S}DWV{Vh)7wA^eRO~Y}l|XVn?NkN?$-k zuwXCPK*ZjA?~U&yCzGAbWG>k|?>^uE@mX?G&dDz)C&|6JCz(`1V4e$j(flCwpT^nq z!_^C$qmaes?u;i}6N(!idI0`IcOwd4N0wNU| zbPsDh^gjzUodwJHT9kT7IyZC_V-x0;Zu#Z#%(xD@!M*lQzSiU)Mg0|st}a6ddPj8W z9l#zzKt5X$SpcyV_~qr)KL%wrX0o6eC<}ogB2d`H=xv0sed|3?GL5T1s748KLDCRXXOv1{&O*F5M+%RHhvV% zABJ>1V;h&cjA_}P0g#(;UykIZVC<%xZ zeX$Y2nj{*S-gGuBV5$LA9m=P`{K?dRKFXd7-=b-!2<;EwL;M$E+Dz)d6scL%eelrAawbm)@iDH-n>7{ubDW9SHrMmSO-v+Hoc+Di8Hq~=gZDWfb-k!e$e24QN2RD0c;*;QdkB!&~?)aGY44kjR z9)6Dc??UywK>bUQdI`>4VeTt%<_f7-ssDb=eI3qRVcMJ2zZ}!{!09VY+e`fqVA?)7 zbcMCS2gz}W;Cq=e*YGCB;?%z$DOiaskt(A8El8CxT_uJdBm8VP3N^<@65E2p z7#m4!CyK`y;b+@V7y}|z$FOWYidEEshI8M?*wNI#9n)YB;0dJaGeLN7vzW`VC+vg0&->X1CW_VA2dqugQK7JU zrqs!nn$#q4vCQR;05N#kPtAlkxf~SMEj<(Vi_XJLSW#0s;Td2Swh3PFQ!}BNvoW)8 zdL}%5&B4rm>6x&e&&A9EX_@Q^uS{8b`kgy=`iR7B5jn9zu(T%fyNs`xPyv@C#0G;e zHtyN?nKThT?8Sz_M>*l0##7iD6dRfwJ1cfJEROvurn5;>;{sRZ?geGwqi<|@Yy>#J z#YV!Jf;3MgwQx>s6nv(sm@s+zY~~krAmJc;Ol)inWAvah2HkNbHQ*1Uq~$&7T;3hmGLLv2bh(y8r=p3Mu#EuQOr9fI8DA^ExxRfhDj{ zWp_wSsA$amlUg@EDdC~jM96?9OpncQNNH>)7&QAGx#KgoL-HoM2l6KdrF%i*tk`VU zia9j@Hf+Lq(1i0HQWl#@^Os}Ng^)DgA+=c2x0rMZBwgx|gCQw)BqqTQLkxBp4q-{< zm;^fvG1y@^lqGepw9;wRUws}Wx?DiNuQM`cj#oaX4DSABX!6kt2 zUOP9ZT0V45K<3l2r4BhPwoGx`6h9Y*O-SyObQd%bup$DWR#N{i1htCBIwL69f{4Ku z#Nn}J)c-nWuBEZAn7JM@H#p=7mbn`#F~*h~wo8dIHf6I-IUZw#pY6?Ij6E2z?Jtb6HG}PfVT`RA zY%dC9K%`EbAQ&Fc;osB@SWG@e;9t_%X9)aj0RD|bj^V)B-WG}lB88hhT86;Cr%57A zUlQ4)Oc3@%p+qV(Y?FV1RsT+7zhGDW3042)ka}1(tWsDt5UJeING2EdyU*wIy6sCZ z(~SDR<~7(s8r#nsXNO~9JI5jQv4%viupjD8tpVE08wV}mAt*Vu2yihF@O|Y11QAa! z1J7M}_Q5Wsb__uj1BeobG(ZpuV_^GNsWm_nuN<1o!}LzzA5Z}Vtg06xh{MyxfJ4HM zsEIn%u8km$1`u@}(hxx;Y*4d%#vXZi<dzaWU_ z)Naf>+-?aVS~;W<2f?<-c!x70MH4NX)9{1ghhWIs9pK&otfV6V8o_>XPd$-|GY+*+ zu$jxO-I@A-$9ln!rAO6rp+3j*H|DCRTJ?AQcxLKrh3{#*8=SL7qbGUZ|XYpq%~=ITn?Z82fD7E|nXe`t~FD84OHfV$f{u zvB`#kfv2U5&|Ki@Bvo8%8t|jI5!5~l&7}gsjB-e01e2I-*xp?VH=DP(nIvo%YOMPB z%|0VcoH1c0JB~JSHv1-PVB*HCu&dSK8*IXbPP@5eSjS> zOvRRvnF!MdHJepaxy0yWel#3O`Y2@6WGa^!F3e|!bEOX(&9uWNfuu8ns#T_#A&&~- zLfPzlVk!Yzwsou@?>cvxu=j z`!y!_b&GU>YoQXansRds@5Rb+QDct18)kGU0xpUNIAJ0Vzv~Wv*l*c;qxK#fe$Z+q z-$=0EftTX+*N$nY&Y0LA-p_JuxD<^JpERrgB-r4q7|pIEELM*viS%~V{=oh)V!v;H zWD!`fBQqu@*x<9X=12@&YiNIJf5tdIhac`jG+$ce1dG6~YbT6Q{@vCWh*M-vw4Fkn~6L3lx{c zGq{w$a_v9uzhMSr4M$yR-Er7R8!@6{+B7z@53r|zb;m>TFyn?-I=4NAK1_LW@YFfntvRd|YkxeN z8;9#)VE9!quUJ@xwcU9JjpxT>k;tEM8%zdU&fOea<-{EynXfT{^wUW-m%_(uyf6|k zh!?@UhxmFAgZ-Z_aOuU=oOnrwcCi^KUK)uX6o*^Nx{$7Ll~(*<81X}!()gjQvkKz1 zEdu*r{-NbGegvi*X^|c*r5TMMjVX040^4Bzq0MQ$KBhFVNKcm1g2s=Zm@s^m<$|CSsDc!;c!mr9gU$%v)E&8t=LGx$$;!m}`n$|VQIJA-Aj{q=+5@OXVz(sd1da+BP0HcPv#!|?J5 zK*k199G8ShvaZNZYLsch5KutX@as8bHVE_!$;CO?fCv zzqcXrC7wI?XIO^P2Tawf!=L$Rd`SE(Sasqa7!wW&w?kuv^lp&((R)0Ij~HpPkKp3__#SQH$FFhek4BE zdJjHoSf4;Ar-_BbqB(JJU>cM-cA2y$u(Qfpj|g_cEPgT6AHT#RLus@ws$v1C;&O*H zV~tH8w5$Vh&|Z~G3gU1R($nnYNBLH$Z;=ZuGLJ@&!#vn=i^GmvXO?%NMdn-NA{sys)%Z`To@7niB!-ID@y}lYY5bQs zyNxvd8&v+gLr#oCU-ji~Jn_FE>2HU0i7ui6bc&6`Q;X`*R$et?!B>n)a(uC{<9A3` zCTSFxn$ZsgFEQ}ju~a#2_WIHL~f&W8WRF3if@;lz;H2Ija!dNAfo zEpi!+uHl+?VCT&#amY!0kjU33<_T9`}1vz*#k_%JAZ zxI=oeDi>Jfa*JF+1I_pZ@6@G%mPpmJ$dxqE1|tn=pgmH@TI4E=Ty2Lp(da(@k-%vN z^5ESuPkuq^aez-KiRmx#DIw(xN>la)g~@0~ot923hxB&f)hvG$9Pt@8VoaS014eN9 zg^5|KY8HhjB&V&@4lW^f+FN8Hjed^0><9>tcSs**wb#% zBmW>Me1=2%G5&v$e+VR<<&gdw{?=9e!;pVC6dvJ_(}156B6Y!Bu zZAtScRg%V|qzS+_(IEqwq##O~0!dRHa(X(F=1H2If&4R}@VO2d#P}`b2gh3n9B(lb0QupAmjfTXhA@7(m4M9x@WIQ04_;?6 zO`!Xy19$8-=N<>n4Hmf;o~Lz-W}O`8X3$vrA`FWrU%8w`xy~)lt?Eled5&`%4fH{c z-vN)r!)H^6IfT(!&fRcp9QXWime9Z{SmZt$=#SJ=eq)pl34AY1OYT-NG3ibR_8{sk zj{uw%7P$`YX5||v&MF!hh{7L&kqgf8b>K?&Y5X7Rtmd1Q&Kiqc4_CM%!+MK=MsB2m zGmvc)4GcwU3!dk!!#;*iqs~@je$*m2!40p-yxk%+DY(m(}LJ1qjrN54Gh zSsFlJI_G&BKnFSJMH)b-Hs@s;Ku0uZmql)+0dzfcUZ(-{4RhXvH&C{>N1Z*W)wgH> zUAvsOEwY#fF2XwBrGZP4dfy_qS>$#axE$jjTjUNJxC$em(ZDrGeL(})BlQ&x+=A3M z7P-?RaOmws{+jRnV3E5ma*supz)iE*>R;g=Ys~x|ZpY;gBF>-ejs&RYUW?pkk^5<2 zF^b!7k);+{Mgw>7ctL;$?nWwPk>xaSFGegHSc+7H238FVJuuw`qTnD%Ds{-&(M1+n1#c4x^PmNXa8HqfLq#In z-=+nJ@g6TY+#(Osz{7~64h^hD>S!9+fYdQGunDR9H1G&gu&``H>R5QNS1~7_sjhZplqqHyP4}|>F9Wt8buc3kGF#k+S2V?$OkU!KRV_5!L8h8=& zM^HK(^Wp1A0el@9%ktOJz$=)44yEHTe>~(*fZIhGxYsaqGNscob1Gy`bI3Txyq*T$ z#Qbw9y$JJXL;f6xjAzVmVdnXiUWu77gBQRIK7lcBfLoLKC@Hvv2KHge%V^*|q%Nm) zA@W=aJXbknA_yd_kO3C(53$&FH1G*hH&A*VGTa0VH#=k!t7M}^DlM|fBAY3_oj)uW zzWbf@YQ-(sj-q#f=*Jy0jXfuBhx?yV9Xn~@2bA#)4g8GMa~9cQ zk;g6aghigDbQ$XU72x0Hkm*dplE}X`OeM|$tVTGU4z@JEc4wq14?w8Cn?btse@<$KfD%}S>#2y4jYpXp#l7&T3DL~@ndKq%*8L!Ab$8MtYeXv zY0%>ODuhkES7bfkQY|g= znnmCnz#A5M(;~a!)@*JYg&i!i$0Bc8WG`Hrjp$$_d@oWbS_GEieHMZ1zV=}f+(i8j zQm}Oeex|pgm_BfYH&T5q@}5Otnf|~cA6f+Vio9kotO5VUYMUup{Bk+%>Yc`sy3&C_TH7eLZH zhsE;}*g*?!`>h_ZInq(pUKKD!c|V zu64*I%=~ttMZ)!5g*Q6nQkL`@vflzpiyU$pv-3Bx)NPP-yF(VRQhTt}U66FQLoR2f z-o{e*LehN>xq_8?2TQ>wN+E2bT**qkkEI@fq*V^Nik12hOFaxps~vJRlnNe$%3Vi; z4UpPE=_mA47`%m*P;8S!7P3kGM;dH``CBRd0`p-*r4Tk$u7On|*a9;jr}SIQgiVz~ z*i^ZeWwyr5XDIyvGoORZ=N)n#%WQ|4FH!n4X2Jwk2ou=#Eb}7BCus7!aO!GpR|10GG=8#29cwfx?ljd2N`8Q<# z&cmv{AecJ04+Ze6dpiXSS7d4E4s74uD04J@hTF@9<05fb6%&>Q|7W@VG*CT`$ z7WvyE|Ij?#bu4NFrP?~=F18C)b;7lC(lP2P!5#R#P;?xybacqwFfZhF;KQt_6C`zZ z$USUc_%~e;9+$2X)C~o}lWkEChb&=&x}u<7kks2D_cB5MSp0ES`^Z_Uxo@0yPIre@g{bZCr7?RF($Wo9Fug>H@v?kc`KSb%mzEj6enmTs+ z>@E{WOd1JSVdoUVW`Aw9n^Vj1?jD(2G@=L|u9AFA_)VQv-HH2+zNd>uN1*5!%jcuP zF=(^n;MV~V&;-lphi{1(nQZw2mM=*2PUSOg(R66T42LX(2N_?8=JiAMz;kgCJQpu# zsbQMeA5&rGFM^qW1xwALd8c72>}nLjuEt81YSFv_m{QnjU2v;<4TvQY%f#%f*ZW>vD6Vd>>idWA#Q=t@^@_Y|$d((o`{ z1P{||b*1IDG%Z?#rQs>M2%e(XX-YS(dgd{^!qUifDaHw@Bv~Q6VMD1d;v*cI^;2(fF%8Xg95&V(%(5`yGCP4mrEA? zh^2pm(my+72dnNxtnN2R`rRRq>#9q#`oB;BEUQJZtUkd6^g#jpW8q@rkSBEls?4uA zzy%b;t3xroIy|Mp+pJ2z#TJ&%h0+m+>}1uA+@*}SULi;GZZF_bQG$g?0b_9M!KrMVcE=I1m`Xi+uU6d#HLYD4M69P+%T2`#F| za`BN^x(<{+${{a6bvAD179Rsi^&IjdG=a?Lb8B(KG~_L+?7jF{6xA5`nmFVoChA~B z4j&|nn>*xX9r7gQw?YA}p>!LEyut)DL;>v~se?myX#}*aGS}kcQNRgMx|2g*)ik|j zm2DPx!O~r!bT@~*rYl`F_KQ!#((tjN7(O<xUi!^kaUJacC&_8z15_8!pf<+cnC^53)qG_WDk=x2~`Lm9*W_^!&_`E zkEZowt0V&&jWWgn!&ryxWirl18RH>of9%tZk+AZeyU z-q8t2(lx%D70-dva~<+76EF`2zzSUqEA)Fh0ZHn=i2DH)!^&L@EBE_Mz{U0@@a9qs zpSp`Lw{1xMfW6~P;Lj4pSJU8Bq^_Ys+{Z4yjt0-eH28I&C=P&|@SfeOAN`m@i*Mmu zu*D#3J{Dh0?FIJbtg_povO8=WKCOQU?^yPg2;m+`UE+|BSpAnF%l$NX1yajs@M@%B zn=3REe*1MKEq)-EeUvC(MT6I3-a|Ba15&GLa1l~#Y4A3r)>}SGgScH?TnRsG1nhuj zGY#UI)8a>H5Ko#GKMKFVDxVJBopP&;>@ohpTnvk5F)W%Nv&We{8hj8de=5zkS|{1o z)5!V^us-XMPoQIhxGi1$LONg4$bJd=UIxBb9P%j}PYn-*n>qhhfqo4oybcoHaL8v2 zbiRQQ~kh0MuU~u^7kyCO@mu7@}cE}x2{Jq@`>ehsC@%}>n?^D z%3^q-{DN7;O=#8d5x*Ed;(y63Vmq>YZ}|$~C#TTteuAH&Lh2Xz@hPN!1HTpa9U$@h zGa{tLfAHP^;y*24Aq_r((*A*8v_d2Jj|QLNX(faPpGOKFo*kZB5~RL37he*FU#~(6 z9{p36tJYOxy(Gd%T1hk(E};(jijA~8xS5y4Ajx*f*P5Z)x@xSK6mXT66hi4DhkU~< z0=K|R4x+&~5MUV%?nUZg8hi(-Luv4RqzSEgQG*lm{PBhdQsS|0aIZ|EWXWLNG-D#)|rkzAXxV=%*i-zzXr;qiAR*Qe$XnE>h>v(1l2ir=d%cnn*)eA~l(YaGENaN<%kc+H@LPjMPjT z!V~f(vuNl(Oq)YP%aA&chE^hVLAu#hJ!_O)h*mQntmYzze9PtocrJqz1*6~xz1ckG z;?geXgpx~9%4HyBfkVDy!?}ot@QYc=RW!67p)8~!9O5O{($E%6yPk#~L+VEAD?&xy zOhb=j+9Dcy3aQ03^c+&R)6mOE-AO~QB6T+n2@_vJLwhjoJ{o!(siidZE>g>B=mVry z($J?!t)ihXka~!QzD8;_4Sk2yS{lM{0VV5c=r>H;NJGNxH__04n6`z6h1qYV;UK1M zqv0H+w$pF~smEzJ52+_UC;ANZFoZ3GCmM!2aEjtk|KLxtH2oFcV&3O5hddrvh+h4UeywI2wLd zzT|!Qm}}io@&Wu_pjGk__=huBcKF%3QDb3qMsX-kxUUbOC7+ah3f}4^pTYNjb}0=W z7y!c0ZkNFr5Po*a490-)v+G_k285s8`hqba{Or;ei~-?iceh{+2tT{_1Y+a%61 zFe1RuKIY)1z;Ivz;($WLfr2>T5OJU&4oE~CD2M|V5eEw5fJVfDf;iw2aiAa$h(sJH zhyx}O2MXeVO2j!nc6tiqj0*5mR3gstu`7v1oa1A6GKo0H$Id*7ILF6s4;FEbkDYE3 zagL8&mnh;KAG_oa1A69f>%{*G%GL6x1*AF)GlMUCn^y83l2Pk5Lep z_!tFoiH}hbm-rY3afy#n5SREE1#yXwQ4p8-7zJ^Ok5Pf9?2IttV-&l(Vz*93Q6w<#PSt6vPwwI0f+pK2AYAfsa!VPvGMe#1r^9 z1@QzvPC-0@k5dp&;Nw)FT&^FSf_OrIoPxN-$0&$Pe2jv)#K$OzOMHxixWvaOh)aBo zg1E%TD2PjZj0%*?^@CFom-rY3afy#n5SREE1#yXwQ4p8-7zJ^Ok5Lep_!tFoiH}hb zm-rYJD3|L8rywrzF$&@mAEO{H@$oy=%H{gODae=j7zJ^Ok5Lep_!tFoiI1-z<#PSt zRG?h0ADn`?#K+fqogrZA74Mp<@&)X$d~%#>qog< zKR6XAm+J?oATIUC*N<|!esBu%rT+N(Q7+dHPC>rZA74Mp<@&)X$d~%#>qogrZA74Mp<@&)X$d~%#>qogrZA74Mp<@&)X$d~%#>qogqog^7 zbNA7_qmX)+=C#1w_i5hoNPS52PD1Kqnl~M(Pifv|NPSN8Zb9lxnztOOuW8=1NPSE5 z_968>&HDZz^8|y8LQ5&^e7N_=+m|H;YW=IuL zyFF4R)b5K^DYXY7Rg2ogkvfFh6OpP-?HNcNPVG5J9ZBsAkUEOm7jX+Kt4r;LT=!-5 zsC^Am4XAxBQjMs69a4>{eLYf5sl5n!n^F62Olv`H?DMi#)LxEhFwGRy8Cfx{V(M7< zJTRxC*RTo0M-HpwBdwCpL*q|dH}01xH4=+~t4!Lsl(RtM{0|mAv8sxkGC1*jqegu1 zis@q}jqE;b;>ht8)9Un^G-=$7$?cMA5F0N^DFPQV_MbhuLiwR>B^Sjih(&(qVdKa5 z8#}!Myht7|>li6JuI%_&xa=l^choOyD-=p zd{yA6MZf98MvUt{Y;u40BU|jq^Vo?MeP&D;UNKdqIKAKp%Eu2F2HJ)aBGE?tec2@t z6>$CNH1LnzwWRQ@C66%dW|n>1tUh>EUbnbcCa`+yzC7%+C)SP*i;#EFxp^B+Bj zf;OD5oIY(j{01({grrzMsBu`2iQ@qaJK_x9{X7NWlf#Lh1mQ1CEZ=zeqTPGMT+ zkq9qN>gP)U$E7Lp%TnYl5PX-5c3&aFD@Ayf2(K397N+oDBhs%G<*pO)>qU5j2yYbO zO(MKmgtv%rk>I~o#21VBZ6dr~gm;MWP7&TE!n+0EJtDqDg!hW{`$TxZ2$za*nFyDQ zaD@n0itqsut`gycB78`M4~uZM2-k>ktq9kNaJ>jOh;X9_D@C|TgqxG}%j|25NPk3x zTSdDb72!4!J|^1{KNjI9BK=blekRgC7vUEo{8Hq9CE{O;@EZ|+E5h$Y_`L{!NNPXp=O2Zf zpG5x8BL0hr|0=@YMEJW1|416&e}bI9QsRG$a{naN$MpTLNdHfS`_+8-*2C8;pHGB- z5e7sUOezofeW9c{$oGX);yEdCD6oi?D+Tj}u`>5gsqX6NJ1@BJ3=}6GhlXgk440O@!S=*h9!UNrXK` z*h_@HMc7A#CyTJJ2u~61I8}uGMA%=1r-^WYsBfT%pDw~dB0NKcgGG3z2#1L9ED;VB za?TdXPK4Ks@CFgyD8ieBoSQ{>iwGBq@KzBn7U69o zyj_HM2sw9(@GcSFEy8<5xI~2aits)W-Y?`V72z@wE*Ien5v~;B10q}{!Usk8kkH@5 zBEDM4UnAmcMYv9c>qWRhgd0UzDZ))6+$_Q^B7B5}!Rtw`Z>#T7;xFq4v%+~KNZ57Y zjUMqmM@X**^(%cZ_HOtz2{l}^&i7jV0^b`ADt&KN`rfPbebl=_{f3pk&jvPJ0}O#O zwn#*Y?_c8N_;%#@zAftk31I@u2f489B>49*kQ^e%_&)c20jW`Pgzrn=SMV+awUI8q zuL&vZ33SK@FG@Cm0=EiNP(Nf3>PHFcCpS>w;b98uS1+LEE1LREg8JPJ)F0-cJZVY= z^``{&mm8?R%|ZR^K~uh%qN)ERsQoUW*biNscAqCub}}fxF9FK$cLNnL2IUWV(NtUk z6_%iK+(22zpnRS*rGm_H&FS;p!~L%?kiA0#U&`m4OD?K zC~w`Tf-01tirhdI8-wy6s4_QD;1yS+Hl>0(Sb{pl4HS6e8G`a3=0#IQ zilz>ippI|@b)+#UZ#JcZsv|)iLt^{?A8>o8bpc;7TzAF?^4JD{X zZlI1e2jyv*Q9(79pqjXWYHAG1=jGAGceSFaatW%L8z}G-HywSRx=#hwLV{}P2C9`g zC{Nv|f@&>6wQ&R0)*O^4O+BD=Upon^y&I?w=Ab-jN(FVC1l7?E)bZw^JZWl`qNx)k zs7`L6;Gl!4p?lJl3hG1&s*4+_uI8XTX=;O_scsTfcQ;Ty%t3k5lnUx3396?Xs9xrv zJZWm9qN&~zR3A4`C!2%vq$w3tUkU0IH&Ae9r$!BZo1&?H5>$UTP^Z-}sK*pg10<+{ zZlF##2X%&*M;8^;UH&8>&K@H6w)Y%f$FgH+et4odA)OMx&Mo3U2-9W+ZGNzzB zy^KDtfEp!1jdlYC*N2#b@-!tpp@14IL7n3UYFrJ2dQt&3UV@t725O=?C{J(5Pbr`# zNl=sBK*6y@)9&;1GP+X%HC2L|<_2nd4TE}G0X0K{n&}4W-2W-4SrXK2H&AnG7}PV0 zrshge=edD8-yGCDFEjd!3aASusQGT7E;0w@>3QlU1=Ph7)Fp19;IOah=v&}LQ!1#- zC8#UhK*70VQ&65}bQRQ964cdhpcej5L0uz3UF!zwI&)Arcb9^-D(cXlcrQqizTSr+(6x4!=Uyknz}=Ry3-BRUFM)Xb>G_xsJkVo zd)z=RF$d+T`&3Z(N>KN?fx5qjLA|4BYN-UZ%ncM=1YxHrSpraWm%1+_tf z+UN!fuJAAg)DZTirmxtwpAwJaymC3aD)o)MIX- z;9ewCP@cN)HwDxV3F>h-P;kAHDJV~xQb9c_K|SRLYG)0D`cu)=(-PD(ZlIp6VNic5 zpq`VUo_7QFf;p&{JUkBjg9@mZC8$^2K<%nwP$31>s}j^}ZlGSTVNhWO)Eg4in{J?X z*D$CY1=Jo1>Mb`=dute!rGR={g4*W>3hqoZou@n*daeTMT?y(vH&AeWTaAK>D4;%& zpgwd1^-&FjiYlN!mY_ay0|hsJ)u^WO6;Pi^P@lVjg3HHh6qMpp>HkuK`pOLy+=Eu5 zpj4O2ZzQO1-9VZ94*NZgKGl2idkN|XH&8#;u%=Y+#h)aopWQ(HQp2EBN5NkusNdW` z{caBGPcKgih05srOM?2_4HVp3S)+!oy1)G^LH*|jYQH%sPnuHP-vT57D&TVg74Vyb z@-+HNlDjVukf4HYphCu=0y$o6s+9uDlAvfSd0y`w@53(dP-&1qvwXI~;KH9cI5IZ3@cEM@aIh0!sQ0 z2i$yz+3!l5f@SzoqaDo>@->qn>lLXb-4b+L| zpt^biwNC*hJz@iH9=8?p58DCh5gTyxhz(T3Bi7T~%y-I^AU$FOZXU6L8uo}K zDk$j@8*uZ84VZhx2IhHLW>ioY%E@!S+vItX`IO+P`@UDY?_vq+5;stnnuGG<5ld81 zmq}0y+(2D!4$6yP0{KzV)D;rcm2RM}G6v;oCy)H3fVx_OTIdGq8e>p?Pd253x>ka^ z&JEP{#-IW>dKrBxsGB6Ho83U&VhqaL28Rl2kpy+C8>q#`pgi4HLjF~3>NW}Lb~jLW zn1k~4l(1g`b*BV%mm8?N|EHktk)W2ifx6cmlqb)9qG;+q3F>|~P)p50d3i?nsi2lg zP|MvwtuP1WYurGs zH3#M8DIo>aItgmM8>kKDpuEgeDWEn=P?c_=HkpI+Waw)5ZI+<6xPf}a9F(V%kSeIH z64aw^pthNV@-+G)%IJGcg4*r|YKJi>Z`blDUO|D!C8#IdKs{N*pcJp5z*7>`PB&0b z*Dxr>D=6@c1of;NsOM@Jl%v?x^AgkxZlGSQVNi-!P~asA>SZ@juhcLo#VaVVOM-gU z4b*Ej3`+3|3cM~sz2OGx%^C)!dIjy4p!T?ddaH&(sa`>QC8)REK}juuYuBiKT1$PxqkP>l=K}AxcLqTs^L5A3Doh)pn}qOIOyg(9IS@#u$O&w zp9)I)4hP+QhlA$6!``NZ6BJE_6NVnlaWV9uRl|nfNdc8BK}Fm^Ma@BZ+DquHfT9vq zo*Srqb5NdkUAiiuViJ_?1}gqP1?5Ok1#X}U%|Uq@eS;NENiXZ5o0oOa+{-%X#mm}v zwgO6eSqI&`tb^uW)}9=2e8Uw`hsf?b)UEq!n|Gh5ee{tEsKX?v!`(m~VGhdElrUNW zb)*DU#|_j`H4JL30_tc9s;(QTW6VK$+FqQlfT|}!)prBcz!+4pk(VdWnF^?5C8)-3 zpqiM2D)$2FTm@7!397jps1`L0N;#quY$-vtas$=c9F(W-Q%-{f+elDt-9WXgVNi3F z?rSeWb#Mc9Tn&SotAOe#K^^Y~>Vz5wb)EuB`lAQk{LzEv{^;JO1m!SKu#41GS2s;{ zt6@zkhk1hCC8!>5piZh`Q1g`T>nTC?as$=7hCyAZfa)Vbo$LmxZw-S|e#0nuiUf74 z8>oIY4C*39Q~f2V)7(G}s9{hSE1(8SP^Y_r8e|O0+wQOm>I?~Lup6i|jX`;vr!G-6 zB|Z0pZl3!=bI*Nmpe|KFoh>yr%uQ3njWy-1`&3XPB&d;Ypel?(dDGNoil#p$~pr(5PRa*fi{n3MN{^&t- zfArw&>_N?unwsmTsq@S=bwT!^=1EW&x`CQ+4(ehrpbk^I?-B{>Qa4bS8G{OVI@^AP z0&0N-b-5d;D~v&T^0M};pstjlu5tr)wJ|794$Uc`7D`apxPiL%e+ue43F>+`P&XKZ z3f|y~9DbdxwM7xOdpgmr=h8O5Qshbh~#rXnyZ-aHSVb9j$2U0clgK+-&MW zbDMhD3#elhP||le=;k{dH1{12uJ-~;@!$wmNX7}T)}sBIF|V{V|f*D$EY3aA|t)Z=cTo-haXlovy9s({)lK|SpT z>KSuTo~8s9)Uy)Qb8euXHwX2i7fqEbnvx!|K{t=spt(nE@KrCM+9{x3lbU+nO;c}} zYif7)p!P^mZ@Gcm`#%Nswgk1$4b(gTQ&8_pQ17{cdfyz>huL?Z^z#h5`FRG-{XB!8 zc>&d4G4#)+roM2~)R*R(@?_{LsIMfbuiZd>V-D&&FPiG0XzF_j>IXMaKbnK`G*79Z zev+Vmb_4Z`IjG;fXiD+P3rg?Bpquw%(A;}5=xOw+p#GAY`rA!Y|Cnp)KQEfk0TuEYg9-(*1{DfQP$4%^VRKNH7f_1#Vo3Vrh1`7dLgqesp}g!taBp1zi=ON`-S_E`7hjux_ANAM*-DUc3(HQ?(1&eeJ6PVb+Q6V zdIg2tyn;gJUO}Ogy@2Ygfa)tXb&8v&PBqt5e=nd;Q9zw0K@D&NHP9T?ATOX!RY08~ zK@D~Tb*3?>pr`k~{tBoe64Y64poSWQ3Jvq3snZlt!zHK@ZlFe*gBs-p)Bpw4XbEbJ z8>q47pvHLtHBbR1?_LbK-Mttxzk4z0=?!_10&0@f)MPhJO)=NhG%uPuLjg5if|}t5 zYNk1;SzbUX=Vn5)C8#-Wpyrx`I^PSZGZjr;AVJM@19hP}sEfRS8lr%@Sc1C54b-LP zpgeu9Jxc*~xde5E8>lPIL0#=dQ$rO{(t{)9=D`s%_uvR!?*)`{7Atgv)YOe`n!3qc zQ@3~lHB8aeA_?kNH&BbsLEY{J)Nloq{N?D7+b>6l%zrsLbdMKMBNR|eq^9n5)6{+D zn)0+irJPy|-7i5cbpy4`9F(W+#R^4J%O$84ZlG40gIeXK`;?yx3d!5wLTcmXNKj9@fqKdqROo3h-8Vt$ zzGoz;XWc+OXAbHGFQ6tXpk9=qUUCEVvN0%c8?h>=S0t!iZlGQ@2emtUO-T=qkedfb zs2Uy|p7s({O}!~KwcAZod(1WE=^L^N>MaRsuN$bh%|Us3LsmiUlc3&l1NE*sC{N#z zRZ#CqQ182e`oI`e=p!$NK1G=lK9-<9aRc?KF(^-$V)<22pGi=kyMg+`9F&(^08&7G zDM5YZ2I^~bP@dk9RZV>(L4E56>N{glAukuL`KKy2C2#5qx!u$iGQX+I+vBhb>KCc0 zU)?nIo4KYuJx{5iq_0cJ&DSMl?&}iz+sl-oTz3)rM{4R{H%k@YJ zbqSmMx&%EvB`Bc6{)DE&0T)e$gXWs@^pv223Q165H&8j|pgcV#sGuwfD%TBE#2l2T zrvw#LRDz;zpz_Q?d3s7vK}oNmu$xy<*xV~9?8z%gxojpZy@JASUO{1VuOM%rl*?wq z#j^WK+`8`|kJ=%QRU6E2mY%G^NJG6v;MQ!1!~C8$H(Kpk4cpp+YM!nGx+!!m>N zg%1xOA%1JE3kkq~gyht()o^q8m^DE9%X+}Suq430x$+qViiGQh>q8o&jwHwP{G4zd z(j7Q@va)_iwjseLzHozZLs3IxD9A*G>TeD=)ytO zJPf-~wmc`?nYA4Bl@mTOxqmuC|D4G6&?7?)eN1aON!HLaxrURVhMv5Jll5vjRbN4R z=kW>>od;r}^ZI1~Jy0(*T`k-oxLW!rg9g(G_vba79v(yjW!<32DI^5{fmPS9eMo6= zb9k7(j`}3X3Q5)Hh6nrFaMkCA&xAq3##U~42-Fr7hJ6NaQ(hoE7-~He{)X_HhlfXq zF7FE>nNX{KgNBVZhtF}V*pd}n;gO<8tZ)Tu9Fq_pMb3ftu+a$>j)cl8;BOSKa9nu2 zsBk*Tf&U1}XBCzPOGBmM&Ec8)YP(}eS#5rJLik+X-u&>y@GM?!es~hAmPrgx28uN% zKRg8}rqKNGRG`8fRB1Ro0RT;eze(^n8UCig-&788w%&lw*8@v8pgBw*2?LtLMP3k| zC%X4c(Y+CD^XBjZz1Zd)b40c`5}q&A90{`un3^Nui-2P7jf5`-D$&yy^2*~uV6maF z4aICIz%2Q4ecP_mgD`5_)!~JD1G-)>6fHN|fD$dUWXmjUS;Byl+5v62A$%j~myMO= zDSnZ@Hf4$@K3}nBeb^9QY`|Q#KKM$*x1}N25WeF*>bu;HBE%Wzg%pJ7MKbkQg zr)B=xjJczh`ByXMTILEf z=2=?i(PqrEwajD9nCEDj$C)wD)iO^oV?IyYRg=t^&)3#G#f7*laWAi?qyh&6qFN!am=O`4TPjJTvA?wXo-#F<+*w`C>EX1=?4mOU+)5 zF4xw)z^vvgw9HqSF<+@=zRHaGDlPLuGv=$c3cJ=!VGFg)*PAh4qh-F)jQLtE^UY?= z*J+s-nK56lWnOH?e1n$xb~EN1waj;#G2f&$lDo}}Rr%ll|e`v;Bsb&7yjCqrm`BO9I&06Nq z&6u}nnZGn+eniXswGng9H+ny&E#Cc@a=z7z+?s{R@AM+KWg+tWoF8-!Tsw?K`s$=O zaOM1{NBM-Y$TXj@oS*a}pUOhy&w7zhXCd+zy~t;?5c#WKnud(=tX{;g-A;;^7|}A=ITZMn1#rQUgXbNh>Yq* z{+fkIsu%ft79#WXqvua^k*Uu_R=!^3-&u%^=|%pVg-Ba3a(@;g<64n9zAQvKdXa%F zL>A~phO!V@s27=&g~%eUspsUHi%gp@i}fO-S%@sri_FVHP5!05Lu=d zS&)UuT6&R1S%^GXFR~;Hk%wp>4RT72MW#O*9I6*tD+`ge^&$_+LgZn3k+riBdAMHW z;aP}0LO&B7X)H4Rk@ZMD%A<@$ruTRqy~w&*h&)O!vR)PMe{#rki?0J<3+bBGXO1kzQn*EJPlw7uhZgk&X2t zJ7giUiC$#KEJQZdi##Cz@kowE?xOfRxa79yMLMRv9$AQNsTbKZ3z4n# zB70{cvbA31$ytbOqZfHf79!i~C%1maBGV_gc6yPgWg)V?zTpFnMW)Y}9rPjxWg+r7 zy~x2?i0r5rIV1~_$LmE7%|he}`W_!p+`BzSY)~poun5zEenx7^&)3vA+ncV z9`NksCd%Uk+T~@3yejkqdZM7@`@}(4$zCdDhrVV^&%H$A@X#6_grf%GQE2S z=|x_jg~&7XB5%w>y~r(Dh@7Ywxit%slk_6D zWg&91-qg1ni%g#{r|3mKo`uM%dXZ0NA#$2t^dg_jLgY-n$QQB@ zd9GgMOIe7Vr5E{179wZs-+Nv)7McFuGe?i|bz_m~qi3#ujK66tGJT;qPmgksvB-3k z=j%o8%|he_dXf9G5IIjT^4%;%UZ@xOeikC<>qUN;g~*HaB0tVT^)Y{uLzHz(b?b91Y@D*4wO zQts;{J+#bGGv<@D%z0+aJ+;g+Gv;1e=C~PiZ!L3y8FL>kbCDVI$+>W^cFL{k8*)nx znDOH6T)2XpoT?R4t9nBEX`69~fe=8@U&~zEjQKPz^WkR919B6;U6ORacJ5II%tC=j z0{lT*A$6-Ku)H4^tx^l2q7Yz)A0P~qz1dR-slZT&C@X3(SSEg>->d z7Z(}`0p`oKv+zY`%vWfcFEL}jQp#(cAu`3^JYTeQq~ znK3WY_A~z>vjhGh8o5G4Rcp+c@6p!0&Ww49mN{ut*lnlrUM=iOvzqVIGH*6xzF*7yh#B)z zE%T#h%*(XQkC`zq*D~)gV_uD%waR;7qrZl z8S{%;=7<^dOIl`X#{9CDIp2)=6)m%E#=J{6Nkkko=2vw#9w{_nPTE^|O^cw|KnU3Q z>)N&-WXAl4mbuJ~`AseCgUy(CYncxKFo~yEp3~RFk{}UWv*k!{I-_)Xfx)0 zTIOTSnBUPd*EeH+SIgYcjQKrnR~>7{{Jys4CT7eZXqn5+m_O7qH#cMcNZaO?X3QUJ znOmDNf1-um){Oa6EpvM_=FhaSk27QbT+4jC8S@uf=1ykJUuxTYq8am7+M2tXF@LRP z?rz5Xjh6W&Gv;r#%)QK*ztb}JF=PH-%iPzD`3LP%d#V9*(u3`f+WziuAOx1$pS17? zm@)sXt@(5_=3lfmpJB%QtCsmpGv?p4%x9S~|E^^|+l=`S-RHW9$tP;;@5nIdvcI%K zOg>d73He*w48u>>|7gwD!` zpUa zS74P5S(Pg`hFAQbRkqFQBM(GYiPHj+PbJ8& zBi|rM|7-vmCdBt-{mRIa-VG}wziy8F-Y~E&@@Hk_-{mB?Pov7HZ~G2w!;Wact{tk< zYn)tYcghGo8m?a%wQ2!$9p4}qKDCCEGM0?&h8iNZ)Z!B|7k^hRIXq*@Xg&zGIdp3S zkdDIWW=zo{rKml{wT{S=w!zXMQ+TwbG$=J5Z5t{Lq0WDRvwVugI9cc-at%yLAyP<6 zBH#OF_%8EZ5&2$BIwz9gewc*kw5qQ#*t7WF_E#KaI}mZ2Q^$ltY|Gr3;Cvz!=nd78k}wPJsJ5n zT%V02pxE%iU)IR=N4~Yi(1{-6W|h&}W>z`hqgBqgO|5diT`jAeZ<|`>eA}Z{&NsKp z`Q}!6xS3T#<^OM3<$T2|kC0Y*q_oO9(khQKx5}f#&BTP>0tT25EI10QQUF&ab?G2v z0mp#u=~1wASUSWn$l&r2ty>*wR~$&%G0}QjY|)05(Z;FRu1b-1PAax0)seRFK+>94 zN7}Uql2%?FY1bb}TC?g%yYWEMnpdY!Z%&bxW+5%ABW=-vq_wP0OBbg|OS6zx)xmaG zinO$rwyqAgB`MOFz0>?S0u1Et}XCH)=4~^B|jTIYWK+eXw(&$x+{;0kGd?i z5#>?wQM-3$!97(7qcfL0IdwLEl(!vDZL>xto{fOY@NCpK+(~GnH$0y)rqXrMf%T#O z;5KW+pg@CuJEDUsqeCjAY5UO0HI+tB5pN2m(No#$jJPHNl5I$U7ntZUtMzJ~ny|_O7of*g1Ov z{uxYm!ogdjQ~dN%qEbt|~ZAF3DJ49U$B7 zsToT~^A!wnaz*B%X(MDi?=5@{|5=WZpQGd0+XGV(A1m@T9Nr@!EAcKd%X{Bpy*M&U zqqAk-%}MUN+0b`$c;C&H<7FcB92=Q!t8Q)q#a;l{wbZZmNc8gLt52d=Ziz1RksZ-% zABo-y6F~G1*3TPKCy8VzZKVp@M$cm^5JQ)}KtTcqry z9p+}V!`O^KXm!kJM+P&xE3+BhCC%tQ?`DMcCd}x5X+}$v&FFqGqous>mKmDSs!V3I zIWtO3I^mt^y-`W*69oIH@RygJW9 z(OWVWjjABx+eY zKzUkt#qN-CDpKddSL`MkOY;4D^=bAMyI;oQse(_)STdR~K0w9ED|RUq6Ca+UpFm40 z;P11v7VR=_(XIovNPV!{W!$1&wo!|AsV%CyP?2{Ud4;@2c5&n9M(A5A=l-Rc-dI1c z&Qrwe2YQP5LYnk$v?Frwy6CsI1uE|p*1Q?*wg+VH$QhNFV6_IjVD@8sGWQC~ve)SskpNVXvXb|+{+ z>N{-;AEBGV_hm7K?=zml_u18%!uKU9{J>K<4IW70`&`^V(x>p`+8fc3RCqX9;UQ3X zm@7QTMd7+B{GI9;c*+$1j3bzlW@As-Tg(uhEh{mP9on(E-LEjG7cR@nm zzLg}Ozs*fpM-QrBNz33bc_Yska(VNCuL7Lu(B!v2UzopvWbo>H7vvviTeZg6IcKvV zX>kR{Mj0Ox=;6S4WJbnbE{tjwR_2mN!w#ftE#ouu9-drF$UZi6NmfhM3kAQ}-4`M) zd|_WlU#QB4d=nCn0YK?rENES6L)HD3=nGfXL`vx~!d^;gy<~ei2JEFCx0m|TUhXGR zZZDHF*h|!H&?Ike`l5Enj9TLF{>r8T6hAX#@dz_3)e567pCOd30ub!-Y}zw*E5H|x zlZ#w{B-=sMWiNn&9V9yiGP##$lp9Um!1YC|I?y*+n#$x%rqaN>sU+0|rqWQFN~2^` zX$Yp$h?~l>(o|j&Q_JEErb6xGGB;Q;73wzKYbPP<`i^x#R(6A*g0$X6BS?T;@}C8shGtKAL1xi=|C1&SX=Kz1vh$O<+?^q)jzVwy7py zQ%$)|l~dum=gWiXhkaJRaUE?9z1X(OgAZcuneTJ22Fw+g9@jv6;^u-oH1mC?$HV`V z$7jr*m06XY)sikwrTLY#E1%STq>R)f4W+y8vBbZhG$elT^Qdyl4as;YhqlPEHt_$e zj=8=V?+NzFydeqGZs6|dC#B?I+8x{-*(dWGv3C+KiST&_-phJOE#(U>-Go}|nNdr< z4YXv($;F0hvh9%>OGaxzO}3(@K2l953pL%OQ&V55rWv575NLA)SCcfIQ`41V{jh`f zPuC(i)iYZg&`8=^l>35ftu1cP%cvZxSXC9-7`iX+ztAV-1GdcHG`1rM5kd?H_ci za}=XJTN>>!H=`Xc)ihHW?MBsTN2V*q-DpP{8ErwFEHKn#f!kJ%)Z_oJR$HK0?PzJW zW2DuNl~#L>u-c6}s~vZs?wC;B?wDlM9fjuIQTYFUcN8k!FyGKN zJ7$Z~u~{7*Gt;|+&e}m|RnocX(~Owa&o}CkqByzCaDWuK`8a9@NPE(g^r`l=-bk6k zarW0t>u?l^J$j@(cN8f-a)IoTdAvvZz_flL?~!&etpjYcr68K2o14`iobqTK$eX0)DiC>sW{Iw?HOAjReIw^i2JS;Hujn>hd;YoQ> zmH5QBa9=IE9T>9s7EW(dwkfbVwUX*W`WN$-XRz*k@OKxy1}wA))hJB0~tU&6i?rys=h9nJBeZ$@uy9Vo1KrnjGaCAt*? z-Sh*Yt81U0ajM|wT>;(w%3fMsds1c&&5>7M-K0iLmyMX7sS!(MBi6&j30@s!-hmoX zFHUx4#)LY~aAMR4yq{#`jl#F1)FZsUdP(h>C)+bGQ+t+G#{e52$N-mDM_SVZNn24J zY0VBKZ6$p`42}VCj(`pAhU^f59L??BwoKA?liDX$*O0DC8jBEN@0JgGf7eIZ!sm&y zv)Al@rIa12OZYxRvJDBWrqu^%SO@!v%qEo9untPY)+9G<4X`m+&=e9SWd{_!fR^#A~ZyeVV4w(<- zbpBzc>HKN$J)K-rDgFPrdk!!uilt}v^6u^K?Csq_lcOYwl9Pz!C|NQnq6m_eWWa<0 z1j$A+5+xkR0w*9UVnlrg#LQEYC@hK?Fz{FRr0Ut}-aVf0{p$O?H^Xdqb#+yBbyatD z^(z*qU&Wk$1vvdG)=hWH}&SFvktWc)5JlGb#GzT9R$|B#aQ=t zVdhmmC5U~>A;#!@$5LkQ^XgcwvXE}EyEJ2wPPjbtUs#v@iy{B+rISgAZB9S$S!8-2 zlj%Jm)BCKY58`xef^0V?W?r4Zb?gxC*df;3hnLa!Y5>)ajY(!7e8Vl*mHgKWBw|oW3u_tazbCN7vE#N|h zNm~6lRG5UQU}S0GH;7JKRB$Y2MD6yVM<>{lOAL`GBk-<^8D}l<4yJx@gSVJEC2n`7 zp!QsudQQ~dd6)L4#ucKe@!I=Q)ZWi7?M>4f#$ngAc>mm; z(N}M7kJsLBR(lTd_``0`5|0@0cOdYt;&D*`-mfMC{?0hy{T_=uJof%%n>!ZvF~WUU zJn$(Z@M(#FpB@iL$eBy|RA&rPxTv&~hpq=As<53;Ix%rthwgktK05?kL3DbD=4@K+S@by>B1 zP_hH*J%s0%2W6qu;8B>V-~7c~l5Ej5WPn5ocO)Lt$_nQ?9*KvvTwzHrQ2-F-W^qXf zt+G?6`AdnDQ~}OqL^!t-!gt~-(T4Zk;>F3v_5Bh8cimX}f!D z4b-EoS9U48A^%%Hb)-7l+5;L+xAu4Q`QPZ?zLgLTlE5`cOJ7Czb=cO(QcA ze(KEsVC2nAc;wAYbmUbMkGv{2oAIEYmGH=$mGH=$nfS=7CLMVKZ81xdGKL>{v*ZE9 zXvun(bmTE@kxF2b;QUU1b&`rgZqi7aooG?4EoNzBg`?1k^9{n1yoQ@4Ilswki) zi~*QDVjlsK#?<_C$+nF%^)Cg_}5pmSz|&Y9)e ztPdU&-b>Lf?ClmD074Quv^Q5w-9)dT4 z9x6;GIQ3CvPq;1o`s-PXi_`V&S86Aa(gy6*Dwp&^ohxxTeK?Z^loSVd`gTLqvYq+V z-w11)xsvk_{3w_!TLcDU!{5ZU2mORY$KRAkM7$fy53;%1L?O)B1spwTAX`3CJDIG8 zHvy}gUHYO2YDppf=H{Y@|4Ovz0iQMa06Sa$7N!_@2Iykosf@$`URz@F%#*Cg`CIcP z>BhbLo`DZ%N>S%Ymacj6niogB{4KdXh7vg+OCPd>+#{OvF8vO%aDTfrz)cbP-1_ zfrz)YM7$jq@wOo1?U;zSFU*G7f_QqfgE?T-vig8gP6JOmxoDk*vQsCV-drfz_i*aX zE_jqJ)Oray#7ose>HN*Z94>?z%XK~DsJKIG`H;G zv*w3vgP_>D7iI^>nN8HW1LN;e7#Ug?PlkFH2HJ{v(0UaH+NyZadKU&-yiBM5K4yZV zv>LF+?NgK#nrF*41Gog}>RO2&X6qIG^kK%GQCcfe^SVkl5_!Qe9e@RWot7;WblRFv zvnz7e$~vv4w4%RBZ0ay|ox~&LHS{{Ikq|s@gRIj^i%Y^%hJO%sQ1sAI{}8v(Q3%K8 zPkgP{iiknd+2=au^UyT%)aMySQjpI>Jt3CRKNe~|F;s5-V!c*HT#~jg@cq_HMF+Ee zz1CLV0$mUT20Fv|)=OfSd0o3+aw^ctKuIDQ%0Qjk)R&~x9!}B_wbMzuJGD1xA!!d` zg)4((Fo-vi3m-!g@T-HfVK)C7IPj`R>A%4GGVH8zid(JXh9B z0ycU7d9B2%V_56{6rYDs-5^QY@56~zp`fHhLL-k{C zG^uV<>!fz@oRPFR=?Tj(|5{1EsNToIwN+S`)30-&w>HpoIr^7mVV= zhU7)+`s97;+T>H}1~y@iReV5|bU!#gb?MZz8+#&cawF@v@Tp?=r0twIT3<9t5}my7nGsTsX$+CdqvqK4!}ZjD$@R zvxM0)hLOP8GMglG46ma$Nmfi8C1q)HV-5OEOy2dx$QMlcR4(|Z>+-2wh?URjmVDlO z>GG+!Ys%++mVDliR_vKmI(9a_-1X2cuvOG5viMH zEBoA{!e+^MqR}fj-LAS>7A57MXJ@R?`L@{>UG+v&bk!UEb9K>GZ;Tb)xt8e8zjV>n z+ciaZfhD>NvFI)U(Ot+ycTs|(`=m&8mx@IfqmqE=F0(|}V<^J?Aa5((6yX+$q2*MB z+#1CeiB98fz%8;{k~k^aB9qLRxWFy8TqyfZxls1|mm4Z=f2>?Ax8!2grOSoht|=EZ zH8=eq@jrv*0upq?2fAXhCPBH_D3S{p4}x6pDn=y%xvZ6| zZhM|Al@K_3$ouBC(l&{Q>U7&VZL&?PEkP5zh}&exIXHK9o0cwwNmuST4?L2P2c9oU zRm6M>-UHv6mAez7f&zFSt^O__-VGn$p zY$rI@120I-1K+NNr8Gny`0m6!@a1leDBTc*xz7u1mUs_mI0H zf7lFP`6qkGdEg;$Q|qevo;TEOp7ZMVO!?)*|hdc*7-+jzO4#T$>sE6$N9lpKDJY-l7@V~@7@vC}miXoHV*5`Fp7r)#|*=5&3)ny%?N9czQ(08H0#LI+xU)tav59`Zrabp0>4 z>00-Y^T0#K=p-;@ziXOu%04v#KCS;l_gW*w8i;c^BAR%+ogcjs z+1)TPc3cG6aW9yHp}gRK&#>cOh!qStYGMe+;Y$|`ytQ54Bf@Ss3ag1$4sG6ABEF>)HUr6>~*#8cgW7+ITkuNvucMXj!Sab zW4s}^Ly~)lpPF_^f~0tX+94BdZYO_-WZL2vP)C+6vbhure)@^U6_311z)?Z?qL!Q z`PTqOYCr``EJ?XVDG~61B?d!MJ!DEbyt#+;1gsqFo)7duX?3xi?Cq6p3WDqfQexyO zkd}Zv?UmhcL>(A`JnfY%Ch_95S7xq23--~0K!$q&b3UZ(!$HFVpL@_SEr*3?uVi~W zMtJtdPxYImv{bq&JwbTBSCiCKaC(ZV)zli6Fm0jXxjhRfOo_$yyGY?hrF0>Jbkm$- z49NgFN#4sOnTF){1WC?hl6)0NvY$$_nyRD+fa-8S;jB_N5QJ~ZY7O|N!M8NED0~aS zHwej97h4j)SS0aTrnXhnus2m^o1fild1VK5Os++_yjplXc1gBk{#NH`eXbt`_Qd#=25)es3Ti zs{16%j4`~~Co!I5H#qQBi}NgE&Jz){^raIMw?qPEEMk_$#4H2EEX#;l&LpOKy)h1s zxDoROt(BaZ6<{n}hKc!t#P0C@h(=B21V+sZS{-5YF`RipmaJ1BHY1eD$~1o1OuK|( z^MW<=PJ>}ng$QI?)v zm!&I}E=o5`mTFNI+)W}&kOkMYC-#&6AEeCA@S0$pI4=&dP&}#>LC=L>=1=FKZ)(-1}2)Uj4>WlY5;k?sYb} zXXFJu-r(aXZ@6*qfaH`H z8+bkPe0>lDdx;nrxE6SlyeIu^-y~X`rJ;v7=0HDJ=U8WYU|^4ZzP=IPz=&@M=I8;* z85yP)x4<$*bMQQ_fmw94a+h+CHJeVLC)BS=Y&P{#XY!&_ErAp2UV9FPS}+a68TY{T z@E@$a{DL&*0X891pYj^en1h&zGy{z}n2E?e;Cs@1>nX}8@I7h1b?QdGVQNu$6HL;> zEM`iF;wy1h`U1ldCvOsQGV=kMavjg? zYzZr8oRAMpabN6mo)$SMJLgGlCOra%o`0a)WEx3FXBgufxN)<;*r38fOH8S{H;P{c$eg$Z12k^20gk#i7|tC5ixwkCm@FO zes+X)84l}^@=T&=hm_^QqWsRwL1}<87n^i2-s$f_Hoc2FFn>#kj)UMK0U2vqr2#I2rUj3_Sva|IE-N*B@aSS2tUzLvm3~R(x(C@TnSM0a%VO zLD|a@xj=(B zTfrKd&#a*+d|LqD(v*+j+d}x3sBCrCa%A-&zT?yY(6e^{w;9IgnrF1oX)1~wo_(u1T zTA0$Owh&3*8dLgw4S;3=MM;0fUHaA~AblUkl|E)&1~xzw&*BO4L&>TWjXDl&hQFqlPk_95#CxB== zB5x(8{63Z?&9If<$4;>dyu-{fD}}Gs@lRq(zKab!)^VSgi`4m4;@k0AY(AB#YR+Z( zRI--BxW56@ReE!~PFK?vdtc~^aUp}BN>cvtxez{;92Y}^_h}4W59xFbY^B3FWf*6K zekLIlZ&Q9I*|7A_v)KBXR)Uvj({AVG+4Rv4C+4GlE;YlUUmTY{mn^w5o^tB`b7>wU zt7D(@mNK;Yu|ZdEpk|Ux=6rriGLiG?Cw_P5^9zY0jTOHyBs^fHKgYuQh1NY0P4z{L zz}Oy?-bXeT14kV0$PvkL5hD)Hx@RZ_<8>s?@i}PeN{w^2k4R3tP*=$Xw>yPh=f(Pn z#BGb2UXLW~R~_M{Wh+=fh7p*Qoy4&v zO?@%-Wy`<1S;SH;zflDb&9e#!s9zd~@7cND+KPs6^N zw2%#t*}YY%rvzysZd4C@UQs{tMAeUz_Nt#Gom4+fX`z0W^1S+a>SO8`Y2(x*%r2e{ zW-7Id|83dDUsAg`sRY=-Us1a_DHZJEqtq@=g0!oFW7IBAg0!oFD;M*zq=1qMWzI_AV{HdGa+qduy7E04!F>UJfS41}TY15_- zEm5*)r65ETyREK9U2STblGrf~e*h0r1NyUrEL#pS<@g z$=;|FW&@#C;A7{%lH`!1i#ZUzPq+F4=jjxntH+=8S8j4YD$y7Gn){G^+6K@Y~}n8Y`ti{9~=c}!vf^UORJXPR~}^O$6PooD7T zSztcL%ww`SHc%C4qabcZg2_Ck#K%~eFcw-8j)jypVpee})S>9Z!lJZw2UkPNbCTkG zx5P0IQ~;>&?dQhtF-;S~jxi37YJtQHe}64k>isi-70lJC?R$$inhKXF=W5n3wcbj%pEmtypFVcpkY;_gTg zbygD5&zq-brGm~3ZTE$nv$Au-bc;S_;pVI)Gneba%~^@##V_2PmE70BEGUK#zvX^b zws`LZpXe6)Sza5VE%c1h8Fyts>|MsbjDKak?~Qs#dT;mM1<#Y-U%bCrOFYF2v4x(| z_kXj*qpbMPmUxtjf65XMTap>B5LlA=N^PYc_2jF^QaY$dQzofjrR-LZr#4Veq&9)S z>FUX}EcHZMQ}yfg#p=oQ7t|B!->Anks;j3mda2)dE2!Uk-&Rli>cZa|^-SiC>gmjR zYSiCUJ>ws)p7yU*&*IJaAc3V8w#^wV21_lT3c2j?Q@dTT)|3wNm+^v zQ16@4^j* zwbW^a8aXKF>K6#Qy1=BKN-k_J1@)t45Oft}N6XYzAmPoZ)T^^W!kbg6r^0GVxO!eB z;a8dxt_C4Gi=G5{!<2BksbQ(e-+77v_phQWT_9Y^`fUJK$v@c55oonU7e$wn7o9Mz z(2yj3v&e)A)F&`EAeaFzG{h>W^5wQdcRWaw{H|0`|4_Q97nRA-(-j_-(NsT{fDV<2 zXw}j@RHEDu1{x+7<@2MHsZVFyL7g?8svP%wy5!4pyZ} zjyj82m4+}(fK{Em-x?RHZ#0ByG83j?7rdk8Ntdls(5+Qts0cceE;;IFbi{eHvL_vN zWU@u-$s$sB6?LQ=*O3faO7Hj`$&f6ei8_)|xQ=ueb)+ZP5wB$Wg7vm|9PvtS#SnGG zTeyz&5_O~x*Ad@;#u48oaKu-*j$CU_PN{ofa>|06Ag_8Z*sm{4O!_5B!2x-}p;KB9|C-PK)h93bI2`ZP5y%TJp+<;)rarhV)&|UMu`ja)t-OX-UJvTmQhCW* z7C)uwS1cGH&C{U4jjnc$1s4>x18xet-v&*UdPYMNt`X!J%yacPEVz*vxT(%*UH4{9 zxaPY4PSt+3I_O)8CcYZc7~Fpm z4Z#hO5D)i*7`Q$j->onqFH}}3YrIkAYvqFSJDlUWO6{)pg6HGvdi7a&e&_LcQs7)q zdrv>lKzJ_q})d*4+WtA~_!>X%Ay^?PNQ`m-`#{Y|+We!t(7tQ_{FsI@$)aAA3xdc>3N zY3RxDJnHd!PI~m2BLb7a8gPFOF{gh4xIZ6Kr(gLU+@HhL{ZUSU`|}ZXf7D*^?PKcn zt5?FePpH$cJ`3MIg>M<^V)*tMd`tJFz_-ugn@^3xw=dwE*E0~l9f5CtPh0r*Z}^t! z*$&^ngl|F5Quy{2d6k6Tmp48!{CuDcRu zaJ+5SF;B-~pF$e8`{Vf%F?L-5O}Ssi3hNrE5uq)Jdv&xQHq%=MyW(I{S=d^7*84-w@l7h4q%VoNt$w^ z7|2N!c#{O!mk6E(-cWyNu*n;E6Y%D{OFrjrv~HYp4}Nc+a}SXn>he$wJMS@aWVsFMV`k z5);jDj!rFrMkjoRqpys_L6NXzal#Ukq{&J^SVFP`{vu%s34}#+3X8^i61E1hKv;4l z!U9{Z)WzUcIbi+he_L4ePMX3}%o3L3i3>}KOBWVQVxpC_gryV~mXbi;QjEle(N0PETRZDG+nX$nhK zOIWHUE-aT{y0Bmp6OCqvr2ivY4J<4)M`R5~;+htT`PE6SPC~1bVQrF-oT6zzjD!HK zPHMHBLQsogc!heVuRv>Mum5OmVoAcX{6w_&ln|Yj0bp~Qd4yRK%fS-UHYH7| znUOuf!A0V3M8<5EV(T;)ca4BKpk^a0{d7Jcx~bm*w^aK8-_F0T9?28 zi|5lN@L^pT;d~V*SN&rKgldD`yuIhnY4PgB&2XZyg=x1w7u3C%a zYLGb%sV-Z=l`OmPmT0|0tM8k4`i24qt z!*D4g&0O4+Z$f-z6arGLg??*Ew+Pb~XcsHS1uufe!0#3{f7b>($G~7V2ClQDMqsxM zixmxP4BTXn0j^IWWr=X^_>)|4y_4o}(NoQV3$B@|=Hk2H+NGzO^I#Ga%}O<=;Z$?* zS%VMM+8J$;_%UOva+tZg&juxTE02drLO8hdYf!SSkj^pp3VR5Wi(!^r3=@sAF_xt= z)Ihp=)oPf{L=#`9p-qHM17llUUo*k2SJ%cG)=PD59JXG@0=dR9lHF>OOr5CTNJBFM z@h8|k#UzBHQGzkWI|->pi*q@F0C-nnY3(*lhhmc3G-$-Tp5nxzB8tf(@;D7qOqv&l zM?{>`E>;|h+2Vkc(1r=o=7pz( z%+!b-^d)TRQ7sD|-rQHIwYx0o5e#~0{&69uvEqZ8he1Ex8uWK#@qx?iwY!=4++!Mg zkWN?+@o5Yk*0<&9xo>|LEE*+cf^^6^ACe>t@j4YUWKBUSH)c~BRfIc#@Too*7Zysj`k4c z0!zu4qQXCDa}USsY#C|Hq3z*JF9vj9+sN-hw{8M z@fpv1d}P{FNfs}2DB}GHENR%_u<}DV)I=qTs|hP+b`ErCXrfTw`H@*k7C^o`;{7*I zrX)`#B$3u#UyA6iFKxC(rppa7{T!D}mE(~Kyh7etsw~MI=VD`3mR+ zz*AWg(&&Rgh!{dvMuhy?nj(J|DY-coA!+$kJSZ*=Zga=f+7DNz9?YDY&!7(Q-#6ah^eb-m0Qa6^{;lXu?xPdi9__U}2?V zSH$Z!RI1nX?OBL2>`_&dhn zZ!qej zP3;P?iiq)cYO=;nQ)NCi9ZXYYJ~I{;SQct$u#Bj&KwY>Y01Ru-|A7MsSXI?!0(Kf)O#T>ex~14&K+IzeQv;?^V^NJN2G z9}T2_CE6)?Ja*ZRt>|KFrh&|84bTmR#mY0vI&0yV#mcOcEc|-tRsz0z!_$CqkS^4% zgZ17g*n00GSg3o_SnrL0x0?2pWsVBGkOm>;5wTFk7~eWdI^%0-PaF1V4Q&awN1q0! zFJX*dYHAnHJh&(VM9kCAMZ56qZzx%r^SaohnK(JDrx810B1$d-HrjHnbSma!BgrXz zdf?qUSRYfbjhJqZ78(jmawo36uLQj_7IR|e7&aJUH zSKlyWJaIX9qa-&Qoo5{G+>H|ZpQqf7lB1S%Romg=+^C6t1SjBeQjSnpU2OT^Xb%Pz zZV*VV3NyX}Zvv98&4kPLinWC@eP9KNE}T?nhs69<*wz~JFRZP#+t^uZw6zvU^8_9n$^Z)4^$y?>rAV3ec*DXwDu^DggHjfV1T zo2prn{235ZYu(F32XvR}tjLz?RuLaN5@HtOoWRKmuY6CAYoRnwAt|isJd%RirpHDE zZTA(54DX&ymJA0_@3GsdlUZ_a#Bwhi=;2i{9)`JjJPrJ0hhZHI5kN>!zl7J+X`$}ae}1HPpyE0wa^PWVP|3o+u# zd9mJQikY$pXp;oPs1q+{&$)`3aS+r8a#zzDWm8y&37+E4z}<>^Om-X!qux(py)Of( z(cbU2dcTMD9?*>$tcvPgk-7pFTiYs0k6x}6Rd*`N3oWbackRo$uWhrie0?+tJ# z7Dmz^+n)Xqq_ETuR((#X*SG2p?VX7Bepvfpm-b1Lvd{b7_Vii$&k^mjo!VDn?I`=!MaegD?}?lEheNgl?2i`u^>Tfe2OP`t_T^BP6V(|!Qt z&P22yS@ECj;;B{hwBNL}h<35_nR6pfSNC7ljQ`kV3{Nr4|( zo__u3G#HieJDj~@zrRJ&>F@NCFa38feM^UsDIfcN1M$({>Cq?scRzhgr)QSf?+=my z{hc0G(tn2#b^1G;GGf2qKtlBQERtpX9;R>Ujk8HM`@JQ}roZQq9OL&~`j+0fNM6WK zibO)8$CVP#g~EMFGD#y|;wKsjliVGl+)ith3Tu?2Ym}TdO4b?$PRnJkQ8M752OKYY zE>yJdU8S-o(0-p@4wc#gC2tcmcnhoRkVi=H#^g%#E?gtIm{tsAB1cpJ~!01@Ir zeG>~pQ-GnNJ0qdHBBAN~Libo;A7I&lO*Y-{icQSG4Ji+@jNxWAn`#eo$^x*NVPkUz zxa8yLUqR?$0X7e@M8+oASZp4NgyvYNKg^A68;6IT>f=!xhx*X|gY>T;v`~PNO7i&K zN61R5gb-T9=`@>5i#S9WUD}L|O~kRP-dv ziDfD-fK_0?0xPgR2TOp=Q_eZ!IUAXdIsk{M275ea-Ymr8r#V*IEPmQ4bGvQwb_UY^ zLjMXv`vl}&;wE#5I@|z=F~UO1QchO`eO|EX(>11hoSI*zRYGjCd$dd|kG_M@zG(NT z8xSXz{uP7{2zs=fBZ#5i7Y9He!`7{Kp*AiwUBwXq zX!@E>(>^gY6>Ejnf)TmeIU--T2@Kgzf|>NMAoP}iz|XjiNF>7?lh<(Eu$jDuC5bf0 z6)^d28;AbTKDaKys|rHz32<1e`H+n8OpejpE+k&(B=P$;i3hrp*u_>_&vD)E+j?$H zK;O)T0kDJzgJ<5A{uP8i67+2YP9@@^b!q=%-MNsmQJ^6g!#`hRo>;DVsT(1GFPHv>OTyZ41lnZAu|P`?n44#zI5e z>cs6!8`=n0p#mXyQT2HoR<>N_ah?J&@+%!a^{_?)C`eHl>{t*wAzO-hR!&4L|G*G97-Q*}(EdmN2|1RQjBBg>Bq^ zyhnU3WBOYP;g1&&_H*OserV|Wn7ZW!bzgSWWrRk92Tffr6Z0z$@yx1Vi|2Gs*ai0X ztB%o!ilyk_LNAeJ_mp}|>RkH~`!zOqIQh_y6om4=LR3sIJ1b?Hj1b;(vq=YB< z8-*hH8-*hH83?hT}UCvLjXZLqCeupBfxsE?ojIhMw`;Pt< zWVIA1#`m;L5I@uCi}fAk3hI3hDd_Rl2Jv7)U^Zh;Wm1_jr!}dJm{WyRF$ERoPhTIK zIDqY)Y!=PxfK@8Yo>^VYiHu#Pkjmm1-75BQR_tR|OwaGp7w*=J**nM@;H)wPR{_Np zTjd64l@X}QK40I6Z(zhX#G2O*;RS4Cny*TLM4&ZClB$R{)kw9tv>EGce4^QS9NJ8A zR=mTkD5T9j&MGr-6<69oDo9Shx4%UGwSTf6gg%D@1n zAtH{R0jcz0Vqm~Vo2`sX^s8k5luU`UbPIf_Frp=5R8gqgK9 zoRw#*pal$lKrf%$)eI@eB$rramJMfZw_z-@VBjswka9c@h=>j1u~;fvYBh^$7L$x1 z9ra0lM!21%J|bKL(!eAf9zl75=~{Xua33RiL(-7dEFcY0%|@h=S<^}K6S9ezBl!u* zMIu>y@TfZ>IdMmsv)87|6DDEzIW8DiPBP!x-8Q7$L941y*+96)2SmZT-a=^$x|aJrgYje9_~D(gWj(u$RSo3uiu zTa(sy4>;}gt%TG(Q@&*ukw7BonXMjuvHEU9+Au`^McN=l+LE>iZ9KK;=e|s3YwPTH z?Qylj7Uy=P9fSTpX@{V_;3N=$*APoTG~l-B^NsR zjIIjgdq8KoMOU!v&Ba>)u-2fGe-IqkX^QdvPS!hos6qKI4zocOcPHH$Wsj2Xh_XFM z4|mFbC%f>eV>s)W&F1wsn|qR;tdSF>Cu*b@>18*<*xZ}+X63#ny-~S7q>oh&2L&tN zOYR2d0&}5cRf%*urT%-#QGUZ2zLtQR2A|>^axKENF9Clr+j{rolA;Q!at{r!2-&G%Pk`;yYb z`O=c9lwPg+^Z#6;F3Q{Tps&EXpjeVyGGWpoFMAs0`2p;jEi5Ki%P%Q z<04XMXcwH&ez&3REHt!VozVWUp%v)RGK;zi^6xCZL%?e)bVXUBKG3oQ$N;Kk!wMOI zwCq3v%SoWY*;!R6UIzZSk@CCNTF6FrS0?7MxPc*L*@=#nRI5m{9>Lz*S13b|BLW0EXNvau=BZ#y#x8Dh+ua&|EgvXMx4B={I zEJAo38K;vV*3Ji@k`#+BySZvcNO?$z20x%PWJhQZ@nn-^4Aglso{VQ*t4_wFu1z2l zEbd}Qj-okVh$iRB(W2rDRwx@dtBV$2FNDlF_q6C*anXS3PXH4_P|VoM1G@`MX(oRY z$wb!Ynq(sC^R47o_de^FVYyFb{-#!IUPo(gAtd3tB6Zf#QfKI})|>7+vAGBc>}j%H zrEnN+JH7>WO0Jpr7}h6H!eed;NewXf%e|jek-*YexHT59fFv^}&#{2iPiKmn3(^K$ zirTEpe8M7f0H0f-ECn;sxa{mUavS4nTXGxX>LfBr*DCDtyMl0MJrS{e_njKV8@|4y zI>`-~lgVTTvn!d5U``=ZIGC`%3YSuZ!#!;^`wja zrAhATWIBT~l1xWX?k0D0P{zcNI~xZcna+?w?t92R4CXj;4}y6wxtD`^tI@&RY|IWb zrEWmEkKD(gOeXgsDEE{5IViWsV3zBUEjEA+m@~)>2J=ob1HpWNJix((ona;j_u81z z^9v~e2~M1ZAySI29O(Jf8$U=MWFQ|P4rN0C}l z5@tpXtnfN$0faDii4v*~6*j`f?ef+MQsQ8H;i;54b>h2K@ktFqVD2%SC*uq41?bdLxk&xctx4H(tP2P!hyjGhr>H< zeRT%fg0TqBm`B@rFO#_H4)YxoM&*lBB7;uB{?T9%}C=086q#@@&5rRO3Mysa9$XsWnv9 zahfr_-xkud#+2s24y5&fG58%TS=A(aDLl8TNi?v*twm%J!nRgzekSMBp*<7z6q`c?OB1`2!ANRp*D8tTn$a zIvlof_zl|siT)LYKNa9`1$J0W9E>3ImQJ5>Nx>?ZUOKriHK8u zjuv1pn`bf4ZujbGrs81Gfb&WKfO-5903;`ZK|}7)Hvq8XbiApF0BFSG(vX8@$LV;} z!S{j7V8Y<&!bI@|d4g$)o|d{ z+E^Nofvt_kk~mU+8g4Af@d|1e77jEZ#L`IkTNuiXa2rh|OZUuUIm4G0Z&I*!sGc~7 z#|Jw37yii(fM?hQ;TXaiYq6s#J0&JC$??{{+LWCX7nG#E&0J-`chbJ8G#N11{TQ7Q zV#V)3=SFc!iVjs=zlw-*F@`9##!m&=kV(&0w}_0{kjc?JN8m!nX0rWCCJJ7+S|MeT zxD#A=n?be{aZ!$j%_Q59bmGp=z!V3-+r@xsW(-)y$o7lK5|Wc8q`WKx4wgEPi|UsY z$3;P=R*8#p^k^*0n+h>0*BjuNT?+#)=_;=NQ}6*iZp*av?hoA7XN6Jz1PS9ZAzn+%qaC3G^#E>4!9 z$zUm2YEK4S+pOx!kU}(sA58+uSdQN!beni5@M=E=7gSDHtsz+t6GN zY_$c80~Bas5V*_}xU(%Ju?su{TgY~pbcb&lfrCas=rUv(BJ^^y+?~)ZWJ0M!TtQYa zh~>x%1aT!g{&g0SX&jzD%93$vYNGJZiPcNpBJdO zG{R4lSH;=-KO4@rk0*Pn!Uso@E#K&^KSQ2jtyd<`pw`!rHD*sC_6M3ZXHd+;mJ;WV zu6{t%W_C;HxE9m&TC$ckU5%_oO|K*C^rl(Z5%ikcY}8c2O<&Cxncam=UwFyB+^~G} zw|lenrjZXqL+)a;MX0Sfi{JJHT48S+mejM2+??PMd9z{Y)TDm}*;nAX$j-EoMTn9yp0Tn2w zF~n>mv9t_gHnfSEs}obMaN0WjkZf~D8w8;!0|0ou6wYpJyC=nTxTa|OOHfQP&)di6 zc@rDW5*(TdgjlK_92*zeO>JnU9LPbWVRmzjT*vsxU1@_W!yzZ|;S~~0Qv%i^v0 zu(0m3D>i*?9B9lC5{qMS$5U3TRmC-2?kL>lFXv%4E33})~ei44)6&LP^v z9-_4p0np2FlqK7Y=7E7xClLU>opyFF8-uzW0DVG|X8BY5aO<$W!r6BIYH$5QL%Y^V zojx{s8*N(qQ(rcIO&10LF9@#d6k9a_oy2vwqSTZyu(2+l}eUetc=!l07&) z&%)nk_@noRTFX!UB$CYUar8@AQ}mNuo#UiMSILM29Rbc-_5h4;f3{%mZV~ga`!i!! zP*Qh)Hjz!tvK&M7XhFFAqEYt?=s{UABV*y)8Ldg7H7tsU5GkInWA*ew0pK{H0;)5XqTVdJe;RI~i1{E95#q z*A0=FR@9`(z5x%9A(92I?BP)QCcd;J;DzJz5ZNtVy8tdXNQcPMEIHsxf^z__A6-Zg z0;);wNpipyn@T=_3$ExEvV{%)o5>b5__va+?t^~_B=#4wj350_bh@x8Z9R7VX{bzD zaXlN#PT0AR9=g?>-4Di_tEwL=xgD2R^+RPQH)jY9m7Vc-;9XBl69>$4;*y+k1%zHL zF1pK_o#!Z3LQbi0ws8jt1aNxW=o1AmBKahriO3j|k3?iU z*)9-~Vev&I0P!?`l86KpcPb5&@XjG3+09}3krR;{5*CpgB+XzEVQu_boz_K!fpqWu z4TTYrVewrxLat6kMh3go} z!31V3bCDQhBxj>pn2#`=XpAI*4sTkIk;RX*I28z4VloKM4;QjDMi#5$Y(%oXPaA=F zrEKnYC@fl!OCw6grV8ySe*FdTT`n$4v2fjO9jo;c*Wx^iv04vtNk&R9n@WN-9*=G+ z16lb^<#7^~q%+*yly&&~<0U}=;O|3oP?2#lrlKTMM_DYo<0bo3Jh#V5HY%u)^M{Ye z%a-vu`i_^z55Oz~j^=kU$ye3!TA~qTwAT^BZqt zwaF5gdK_xzKn!~#I~prq#Q=}IfD|wzX&Wg(M$&WSIo(Kd?L~{ zl-*=6g7Q3ho`V86R>4tQNKRv6HVMy_^k_Ilxfvk$k$nv0i)0@H`2u-?0|{3~IY3Uv z#~~@m?5ji+re4f+4sr& z6lRV>-bXM$ARl0uIdHEEur{2NVjIV>x~jp+VtU9uyBmyUh${hIb%-2d;L^w;1nxuf zAp%Fs9VUlaxeRg`mHUW%#LC4Sguhq9^^eKN43v+2j6i)tK0!?ur8GQAxBt! zLXM#N|0e%N?G)scjN#@CtW8|b#e;WY-ymhUz!05b>C6Y1KV}A}^$O>dvJo7j4+P8m z0{czBh#&{w?Gny0u3&+CaJ<)p5nUxOr;6QD)ksdYNKOr_!H4nL91~%eCTKLBd1FvG zr>>3_ZNj+4%h5)CXd|4{z((Gt4j21n`)+d_m(b-HfpFA!8v#9S zK>rGInqr#+1^zwib_tzJSFb`{#g`-Hv^H#(aE=-91nOy|kSiTUaJpJ>uCWPZT*;LX zf#!3Ye>Q>UbH{x;g>$;;@F{`D0C76ezk-}z0s<|-s>nPezBm2BvL$BmvS;^Beawo z#DI{ojzkff2FR?We+4;^^~F9&g9hs|Z0VV@h=?LaXgL>JVL_mh1fY(7%G5MS?y&i>E{A157A(-V1xeeG+v6UBsqt!ln?d?t_C% zF*oz%EE81U%uR5#b%-&ItxjEglYqGOEiu(UaI3yvP(6=}tB2Nu?cAz!i9XPXjW}ix zkQKM;TyhRneTPE>Mf{KhIJWQLtlE7?OC~vc%moGHf$qk4+ff%s>*@ycMGO<6w8z;a zt%Y0lR|M7fItFMY*u||nxTNkqddHD{N6Bx7!V;{x-=7(5}&B344qVwi}VFJYAE*wj33)z9PV7^Poa zP~t9LWCE7u1{1tK*KX!|TwuQJ?50-71!gWBG__%(ZXR$@TlGv_9d$ETb3y49 zJoovIy1~l^R|xKkiv$6y7@p)3QET8q>5Gx}sPzPJ518y^y1^`mVcG=n1TnId&zY;- zs#n6*F#)Q%prm2hp(y26cY|3+1hc*i%n(+16sFJX2D6C>=9Mlmvz(;MuIUD|6^4mO z7k18mzI3hI+qhMSTk_l_*OP+@!Y0q}+^R!zN7w3Hwm>$%!B2=4#cOeO z%=ZB<^ep0_Co}3@fDRP_g**84t;^iux*bASv>pJDXtf(|BSm1wxZqX{TWeH)XbPy< z{!SFZoa_R#IJTY`%mZ#P?-0QR<2hzHmvBzPL5~|uYUa941-W2u#=tCzVN$;kmVAzq zqts!`eS{oE4%;zu%v|!JdD9_@j=k~fDJ4mrV|$@ctQ4%o8;df=&4|azan{-#avZgG zf}F5hV+T6{v6JK^D>|2)L`A@&4atf9EhJ0g}!^oGG1n1HXwcLep z`5HA@lZU|tY>68h|K3WhdLu?3|iX|3??Ba{@Uwx>+s5(Yr& z-a_(mn`=-~k^{*~s0_tQ$4KTGa)!}vH93Q57bQ_lI}ppWw z9Qo~R`xYUVb{+yiu}q94Tp$-1PWj{l!s%D?tB#YtgypYdjl}Yfk;rB{>Cs|O1s1wu zuUF@q_fo=+%R`JXzmeY<5A!d)R+PrfSOlo(AOJ9Se`8hIPgI5BwTHf0m<8cC6~3q(IZIg3F2g6r%oRbLy^bnp z7C@EDd7%0wr@1c~1_MxXCZ!~_=7H8c43n4X8;3~}d`U9t1XmWjH}pE5Wm!WOx|P}1 zG&jpZlgR)U(l~--ze(S?CR5-`irslZlm8MoSp~0S%PDjy21+>hL))Z!#8`!Y+Re0} zIl(N`!l161%L~l0Ijk=H9}S0LbWVkirLs8M zdbpnfONR>S4A__SjRTeeUosrPzOs8Y-vpLh-2mo=3SI{67=7b_`QVEW0ShLFbC26X zw9o_wkteyZ&uXvXVJrBIJ%1WaW&+qu*5q0G#x?1OFMdap-`PzrW=*nV2D#@znVYxB z=AO4BH(~Jq1lv;(O6W}3>!~Hl70O-e3MIt~I`=|2_g9;yj~m?z0O|qOt>5Vz*R3FY z3F_TC%dXqWy=c_Z;1`Y7DnduT#?=bJmylVD*HpD7S0C}3sH!~s8cTy>bM9-y@aV#FG1=+ye#xEh^bSraMa;tr=H}_ znHcK8fHtT@hjvQrK&UTC+DET4{)l2v$CcwMc1s`C!MlLeMW$&LV-_Qd1n^7@?pl#7 zbGkw6e+`_@JeS;;7g7<3sBpZ)yRdfxvWNU`o`5L0$+;QHF%`NdDxg2ZGbNdAc`{Z zCFvUno}x%h@cL2%*mcF=YcW=%G=1Z0P!x;XH8_N1;oaPsaZeLTXfVdT1hiIyVN#a9 zahTBFlr%A+la>`U58#W4X9I45p$XnIVWQC5AX_N_Uy3zdp1yHSQ?isco3_YS2ELYI zH7e3Kt_DTFtX%^m+f+geClK2{4Q#fQ^% zryRumGU(}Lj0)B08%G67$x1dA47yc@ua#Mi>hz7PK`B|quEFS>3LI`*fuw zlLV65E1j4IO+Tszz^=jCy@I}R?NZ!p+U**c)`G9KSdDu0jjKU%uWi@Bn6_Zavqgd0 z)-2V+IlgG75k}KG0J{!rw*h_Q+NHQ(p|?wyOe|&!jr@i!e zwR4sZe2!$aA>h=I;oFS9arjc2G>V6BE7@T}4&PRZ@xB$_+F;}9h{3JKfKOwF>y`A4 z!89LGu(-LLtxPzxo_IP)oOPD<#F;q2zKX@P zk9|Whr#eV{1ZoN8Tb=8Gm4MZ`me7HgtaEMY8`n8XysKk6XZzQB>Nm^DYL76~JpoV` zHvSmvjtOJk(G}}ffLSYsbw~QfVNIFT8ewgzEQ=DITw%6>dTkiY&h(9gNoms-!DMFs zO-LKUB2zHd_30e%JiUcdXID9H2hFx)D0QW897^rsOM4q7DsozBtpuiW7m0gGqg70BvS( z&01kWzs8kXT>wHC2E7-3*NZ>Fly#c5}t}8mM;-gV~3^aWE-ey6G^f)^(vm zcUM8{4)wY-n0@IR2b0pJhYgc%@;NnmPYG94jPq*zBczkqKx$;3uYfznEjw$KL+y# z`o_Vebn9=!bd#vQGAoCZk-l-P2rk#Wr?1S5a=@)4neClcL|@rDwgc`}61Wys`?~6r z0YJF{jH)-%H;$?U;mbhGcVtEMbG0G{L5)ES+6el_L8Edt*oGF@is=7mTM_*eo{#&x z4$~pffg!AOBk3F0xuNi7XiVo4v?5@qjLre>R)n70&)qZQZJzxk~hKKwvmS zZXA8%kfSnwqm7)KJuz6KMUg!**wvo63E!y?4&eLtORV%~0=V z2J=?>#=)e5IFf@YwkL+VQfm}I7{#DZqHi2@DloTj(481x=Zf)Ys5hFyoI>9?m{efK z=r9HL#PzNsHx}xRWiY4FHx4G{^EeKsb4D5F3UfTv8_!_gLEku-lz$VXFmG^$IT7kj zWH9fdZyZd@zgrPZ_ci(Z#B1`y+00}|7=Zj9bgb;d=}4MUrpQAvP{KtXwl{H~G#u{R z0Hxa)y0hpThb|R}NjADhjmhwJGOID0zHv1uyQi2ntR>&6@O3Jy@hE-cYEWiQvubeB zMI$6JWc-%z2-%XSz2Gu}jcl8G`jW%#fWhqyyLt4D!;Xr@9XfV&;fhUJY@Omx_~A}g zX#suXDp9`QWp#;OKuE(PiY%hnQ=t%v=}>Gs1MwJr<3QXEU+y;BWg!yS|l_aCG z7Q-Kg`VTYME9e^sdnSCDX~Q<~r=w~XtFel{aWx)+FOS$Ycx)s~+&GJ-XRORcfeix2 zOFB|#Gc2B=ZyXkL;L98v3!?@dsgJT6Yv~(TV=jD|YuDiLPB^zZAU;}bwc4xrV_gM_ z4%K-Kz4i2sLvKEOnQx+J?H|%{x`5T#NZ+^`3*pN`vxX%|i{R@bR$~)=<7zC1FN^IO zm;~eEc$kbKbjUx(U~i#s9PG#8%i|*030eB%oO{WvO*)L9U~T5nH?GYm;mea|n-!+#=^IyRJAB#Bp%RnO$1~Zv0Fk@P22Qn4gaQAUzHz{Jz?U5ya5$Lh z=;suyL9>3%OJ5i%^6%tj3gl7I4u*?-wX4VpkFrLAU^G1_G?IR%&sOD~q}P%@PWsFn zO|F%ERdOqDH2c?_%$%S%nlm=%?wtF)(V}IG)+t)g8zt3Ab8;0t=aH4<8E>@Ml46^S z<$0qenw02NqN_JrvQNpIN{;kKOHD5IP^nq)yjWT*9ri{`cP>4s^iX&{S$bpX&E9C4 zI%Tdd)5aSuJF@KUW$%LL>avltyS&kIUzfX3?sspreADus%U=V}f0zHh{CRJ*La;)a z3gzLsvO<1^9q{~Dg)b`{^F}N7t2naaXn5|d_*%s`;d!LucNKr|MlbVSR^qbK@ch0~ zQl(UHv{JE3)hgBSMk`OOe1GK!;rUVJQI^#wf7)lROR=8e`VS*J#w z+TLj0{dGU6dl;VI*8RPn;*Hj8UaxDt?(mG(SL!EuqxD~}|4IGNz0n4H8@%1%U2n9} zf<~(wt%c{wM!z)r%^Pi8vvG^YSHttR#t$@p7@m6?zt#9(-e{AgCfQAjz_U-2o0^iQQQWP)9JEKRlL#e z<+@+dy}mcvV`h)1dMt(KXFbmJ_|6;c*}iALo&(|eO3%YRKk-I;wd~cSS8s2$cbDFS zdtV37dwS3By~rCK^68M%L(Y1m!#)~zY8cRa_=({^5C7F09pN2Od_*a4bY%6B%}0Vf z-O}@x8*aJD8@+AHZ4ck}h&MVZcT%NERpB{c(k+w5!gKSaeUo1DMkjkFhbQO4v)AO| zlSjaF?c`mPcf<47DVbA1UZ-@KGI$EKKV`*~ZBruf{CdiTDIiZ%Yff!373ezko~iSv z0$x)OO+7L7ls7u9;-W^zPHIpFZ3hot-p0dp7W6_PE*i%)TFIM98`=q2|qnE}sb zOSUiB3D1j5vzJ1DmeyX{W@&qPKDYFprSEy8%jz%dxU362Z(4T8GN`}o$g=axe)dL} zXDzR^9Qd=M=!)tqYQeL^ioq*@?km1ssjf`+Mpu?vdBw{5@LaTV!%FDK%2!u@w(^KK zx~j#hUaPK!=j>H0S3LvIBdgA@0(h$*UA=lWjDu&IKGW@)p75OU%#+V7@kZC=t$Ah5 z>)z;EWo^#dqVW7|?e}XzAFP|dZtc1a@I0~Zw{;i2(e+c;&sjeYo?5jZ354aww~Dv@}E~duVr3qc)p(ZMc$X* z=(aZ7`fr1B+n(6Ac^l}%Z9nJx^Pzrzr~IM$AUFBT^0(*jgy#?2Gqz`XqubkUAGm!8 zJb#L0MnG;ORU*wJFb*R9BV!}Lx5zI$0y{$9=#CmYuHMlGp5u1R*zu4zx-+!%vYj9w zJ8#%Ib>|)MT)cDRPSB@2U)%Zl&VPHOyDIK#ysH^J`|KLE3*>CqXS=@N1@tNi7E~yx z1kX(cFBKes=aGW*1wi-bvYxB-9PshE(a+uc+zfbr`P@&>UGPSCXYa1E`*L`;+1-CP z^lNv)?zeY?zS{lm9(51!cTcH3SL^})?pd{G*B%&udk*dSX3rUKbnlG4PwoZ2?cKTe z;NEw<(dQp}e#!I8;d%V|U!VWO8{OA*U$=e0-+klu&DaP1+4t7Iul61HMwO&u?G(?( zE$XuumFE;SP4Orm_`fvm!;4C${_iCH-)ZEbi)yY?lom-NGt)w9T6+E^zcA1j0aVU^ z1kVDKbtz!1+QCB9wkl=DuVj_Y5SF!yq&kaOzfcBHlCuvj;U5>3bjF9YQ23G=VDV3H zM*UPF0QpaWnCATsfO?}Bm9#$>s40owUoI+xl;VFTz8L2}9WcUMdr>L*XX9<+-ZqUA zW|UI;ztI5Kh-a-*_P^DL*$|bU|7s(MD{7Kb{=eBD+^7F~L&>x!+J|ZBXPG<KWU6-U8Vm;|8O7wXASbKR2L{!{#RW!yUTQn8dj?PFB|3?FRE1kUpH8`a>`~QnNm_q-r zNF+j~EB-&Jgemp^j!1lJ%~tCDe^U!n>@R_gH5KL4VW-xD5%?#%3$(kJ`e(Wte;I_R zvqzPduP618snVJQ{{fnyu4*fd{wj3CBKDU;D#f55C7v#AO_U~oC8}Y{{pAqDrb7p% z*HK#iJtqI(5_!ubJZtW1e6Do;J8}VY;&0mlUJ-Ts zyYd0^;&0svUO}ndlpcR)mSDF0?K{G=Mj4>=`n$6Rv*#bt8D5+9`6uuP^XMPbA;(Z! zuJrwb&dn!(7- z9O^m&BjJT^L~c?B{}XwM`T39Sps813gPF3jnlkjC%1X@6e{?5t%m572RcV3i;XgfQ zprvyCKbgOn$N%__nnTyn!vELadB8VSwPF06+cs^QrcIkBrDaq`*|PWEdv8>x$liNT z*?aH3_ud;t!3l^Haf>)mKtvRg?|E7R;r7OlKnsPQ@B8_jbN~0`y~#Px``(-6rbLTZ zp7o`5U*2T#VqG6r#+`9lprb{bZXqQ|T+!@haDY-4W`@$#77w;4MhC1#p!OEgba$J9R4VkVW}Fato7g4a<`Y7tp8)L_@!&K^4I_Om%GQ*ggt=A z3`$uQF;-da!K~(PH#KPwXg5xpiJx%dPaZ;X!+KDu*pE6(i}A{M4{khn^QnpR!hD=K z_m9{ciiyg1-ucemdusB$^xl6Iz{$#p-W$=~jA{ZO!i>6tXu2t;Djz(OcyH4)>>owKHTxHdSv8uaW)ubknT{)?)AN=y~YsCH>$Tzi^uUwmO zu61{=n%D$%E+^LgX9<x6twU=gQ+Q_4$vM>;3?FU~REk zWyRyq3ir%V3-AOogA3q#BYgMEeV=P$tICEalMU_}p%&o@Wds)??xukq{B54*C$_76 zcyjsRo)c;zo?uRJA?|-ufh#H|NR-&A66DDyhun#!l7n@{dJr4}j)=T!W>IHN{sW&?#M>(0o}PSj&pEYlPf^b4^RB9R zSLNN)m3QvBrxx!i%RMfh>(9m9{fCO*S1I`PrJ#Enss(%s)6gIli;L4L6`#&jbWcaM zh)-!cauM(U*82mMk56wty62=?$fr0b^?6xUe5msB>CQ{{+*FJCl;}Pwi?^|&3iuge-__M#@_b+-n@uOPw4z1=_+@8?ynDjs`{YngL{oo zhEO#k_6>%6o#-L{QguSr3D+g2>v}?&Le+}9A4a&>i}B*7su!wWxax)L`Xcu03o%gK zQsz+Ra5u;O21ze&D}yM5=ndjB2|wjj22ocYcdtC$jpBY4GF3`dM^qhgw}*S5?_#RP zetkAb`Y59)qqv(x-@j*;*3wUzMVUo!5dHQ&!(5cHzpD(j`$vxV50(5K)N!i zub=#h$!WMs!siWg2yAec)58 zQPr`is-vpTgH|1mb5$N?994N9xbo0AZq)~GrFi>uYiU*0M^zths*igGQsz+=$g2uu zT!r3}A*u?gD&%bya#f zw7C0CnBR-iq0FSr^eATHV_lydDKn|}ZC<}`)0;1Vf4CffR7J@7;eFeMo zH%nq#g5?J}xE56fKjOBqYmuY{{#n9F!?9#O;Yl(9iFwJKw(j6H10^7J@YDO2WB zr7S^984ZVj3fuKr*qtiYSw^cWrmC2yYV;`FRmqgWR3%IBN=9R9u1m2PnN}4sRm2{p zSpC;yU4=}UOck<3DP%Ms{`tncZ!J5>^s1hzdiGy6=~4H&YML^ds%eQ<(`Zt+i?kS3 z)KpP>)WY@u9`7n_%518zC2C=#5#2A^{*swgeN*-A|JA32*zc-w%5bX2C3=n1*SQ#( zMb$Y~=MtihJxB~!ty88`weGRhI-1h=&WCY#z9gL~v#FA&O5TH%tArZkDtpR!s_Z?s zvPbi}eO~vxDtfBuB~(Fs&^WHbr_84c-(xL&G^qRMc^za#m$>ou0p6xs0!f|DTFlZ{TGFKRXtSo(3={T&|_USQ5jLy z#3xo0X=+>~#{G5f(lWm)imE6kbfNP$o~tk_GpfS)L<{46#j(6BsEVU1j@}lt#2D`? zkjjv%KtAyTNrSt;vtBL>t2(LbWMb64hl%N`mCBT=RwjvBsjrudWl>cxRlR(e+Ll<4 zan(#^OjR?JOwFX(?|xEQTozYFQx(m`Du53gmxVL-Uk8)XvZOMoGU&q^DKQ^+*QBDf zEUiqcOq!Txdz9GsjCx9zRYp}teH1e#_G9mvb*(I~%&N?q*oJ%5`1cH3QC3ujRfc_3 zLp_ei-!ttfSy`D@nf7s*E+LL_&$wM=Rb^ad+=MXIavF@4~U-{NoW>#i? z+y+eO63yf zAIEdJXZ(t?gEGD{{^KxRQaQ#w^VgQ0l=+qUlZr7P*YmiS02O5yl>jOM9+&Zw(sA6A zpqokpl>|x2oR9OlxFPb#a|ARAQ)qiy=w zMb!3xd%|_@QOTpuJ?j0AmmcuFbHD$cTmJjW$?6pJ-{nS?V>LfU9&nw8R04Tff{Z3p zvE-Lz_rDdIs?I~n&jKp#6K2g_=OUFv>RhDW5KYsN#XgsPEabL z)Co#`5Rm{LaQ~3JrktzJQ|dgG6zcr5@Ely{DwR|TP^X>+L#WsA=*89jeAPzISLdro zpHgK@HHR(_xPPL)P$ic#hRYbLO&Bk@E(%q0sf$AO0Y(CQ!0i+F#p>jhFa=8$el?Ho z9&nw&RDvaN@p7MJ)la@jcmF3GOVxQS`C37hfy7;V_fI>Pt3*rO$yR1g-t+6aa8!w= zE*#Y-ABpGzw@*P4qw5@}5>B1t)SI`*-~spZT#Q_+&U5NK_q3lwo|fnDI@hVBdkl5%X)%R*o{3w4 zb$=XZxm>SKd5JsY%F$|m-96ws?Wx35r#O82M_UeUL$poNc0=12 z?Rd1)(5^(g0qtSY*C#-jeNu{kJ};x~E&BUxLHn8*teWHDPi`jD@OQ@N4rS8>URV!Z#?XfKEf0U3okAfK2RfY%$)UQ7yDhjx#c9PlIBU&R!24q-MI z6I0Ed&~_Ko%-hf&5!20A&|Via0?P_>U~Mroa5&o0VpiZ;wBLx?7P~N8QiwU0Mrd1$ zxt7^z7l?V5x6qy!^Mf$&L0QCtpoVDMi-keE(H<9zg07>zDHdA`2(z`aSYjQEcD7h* z#k^bJ70awQg*iA_EDtV*wx(DSJPz$bu`>84v^T`6kSxL+l0&Qx>5O)uSQD}l?KZJC z*g0_)ZZ$n($B(cHvDcT>z#?TbP9GY5e3dQpc?I1RXu0p$4Yze(4%whgw zYgiey^~JU@%wO0Ru{{j)7j{AHu%{Jfdp@z#-UaP&vCIAr+Rw!9aEma9+r*x5_$j=p z*c%T2gl`c0!hb;fhdAJ9FAh4s6lTYF;;>T+vol;Aal(Vna^jeCD%!>3HRmbudPI;o z9#Kh{BdUuxBSxW}D^5hbiS{#bG6g)8BBgjMvY#+VP7rTLVGg2hiFZ@x7v_{@#d|4V zK-)~5Nx2T~9`Qj+tZB+$#o1JuggI4y@lmR|;^S2Bh)+`87N4drEIvwITbxV1Q+%HK zqBx%>rT8LEeQ_=g);&#k@l~4BXulI*N0$@dL{AgnM*l3#(f^9?oC4vO!e`&F3J zN^v1AUPIb);)iq6RZE-1Pu`tJM z6TfD}I%NDs{FdpEFlRa~E@!$e%$dW)mCQ%beju)9{ZN>*UKGD)gKx5B5!bS{Lfc3D zk!>Z~J>q({3uv#2KePQK{>=Wfxbgho;?ErLNRHCtuN(u>P8NUXcnj@$aWf~@I%hU< zE9Vtq&gCoq&4qc)l~UZ!H5%3#f^1kRr8Br{iFc-@zQxqE} zBa5AtQN^>#l*I=NbMX-}Rq_33-;=3Jn1s1Rq)bzy9@;iCy2NUs&rlEtPHN*1qW zk;Q5ikVR@WmnG_SkwxlYed|n+CF|@%`>rfiFN-kOD<(_VL%e$XWSRO{zxw%Q+4>97 zZjt5c|1Hc70%Z9Hh0&If6&n16_Li*JFq<$pEFmj3EQ7YbtlSX!+Hi%e(h&LF@T#oZ z2sN`&X<4liYC@yovU(%b@J6r68jS}CbK^;}X5#~B-<9e4%-N`C^MY z@`V;SXSA3iUuy9-+An02mfeN9SK6PKtvUn>bBENjO-Dc3wv(@H(+RoVshn)rIlFA% zC7W#51+}tE2ic(ueBNcN?AQhC)#XpwscS)D?pj`U?%G>+>Dpg*>2_Ln?VeqB>E00S zZrQE-U$T3TnX+4t!?JtNzOqNJOR{_K2-&rFY1y;)aoMByW!a@qfH3#5%HDlSqpd0X z^jRkR_W48h>sv|o?>k=(==-Z2*sru4)Nh6`_nRvR_k&0KeIEzJg2$(a@4?CXy?n(13yChqZ~8HEX;!< ze=2f??4hRBJ7rlVaYClC5vmY{BVXAYT&cD|f7#iCBwc%`<+}m9BVPWq+B)}o*3RsE+2jj?J2op1YYY1 zlUz9h#~#r_t{O27?QFSb1kSG`-jW+$jS%KnW8}tH8^}$s&Xk*9JuA12w99QH>&RUr zr^?+UPsszLGRT9YUY3VOEt7{wU6)5jSCL0YFOtVbUzM+o$t_KN9l|_2vzBxA7_{@XTys!U=VaA#&-qu&GcR1rJMVif-vS>k|H8CdfrY)b zJPRjj1s8s%6$J+NZ)jE4e5h4jJ6@}{_Dik$y6#$yb-!vg*O$?1tzV+mUVlxiv!Rk! zZ(~ob{>B?xgH08*hMVSTjW=J`Uf5DXdvR+@?WL`!wI@W)!8Bl)zxM-FTKj$#g?st945CfCSqBA2KkDha2YChCgn z=-Fj=(OXnQ&nD~O8n`NYR+&c}5LM6%lwsmaQ5ijd@w=3wB6_|)=S4uoMN6cJ^m$XH zjrdWN5Gj1if&B!Q(l-a#&tOrG5U^jsQiuNqb_pz%<5jR?&CL$ZTN0gQbgH1$GTACUOecA7B}xwt-y-%M`T$>`$iS?e!Lp{A0QL{q^J#j6-2%%VZ3p`oEN7ZqV7I|?M7IzDDFj&V z=&E>*5-eBrW-tvbZ}eO+AFw>nRRHq^%l}+1Fh8(-X@`KBzzU{q2j&k}AnjhT0ISZc6pnM`15z-nf^3>FPmBU28r=fG-bN&%J@ ztXAeRVClf>X6_D_9;{C0OJEtm>Sz8KEC#Gz76({Hu!fm$gJlA1kmWE~X0XOt)`DdL zYm_x5SXQtXv-*K$1A8IoFtF@kO>%YsdmikiT!p}LfHljN4lE~F(_9_Fa)GtT)c`Cv zSo2(*zdT?s=i>b31#6k>OR#)kujD!jmLIHDZhx=>U~O_;0V@dBI!|t}LSXIkqy#Gr z);8ZKU`4<>0w=)Af^{!=2CN)duYw1_%7gVRyaucSSf9evz$$|EF8mKzC9r;lFMw4B z>szEOSQW4VMV<$%3f8~KIIwD9gNpP7s}44>$Z4<|U_*-R2dfD-xM&1eEwEulC0K2+ zp+%8zDe8cYD2jYbQ5S4@(XC+hz(y9G4^|)S)na~N4Zubh{SB-k*r;NSz#4&#Emj7s zG1!=5%fMa$8((Y!*o$D}ifdpmflVy-3s@7d3B{{{H3geoyZ~4;uu0{ZN6o>emSY~Z z0Gm>-FIY>k>E&92y$m+3{3ftgU^C0l0eb~(M#Y!FT7%85SOKgJ*sO|t9c{tpR^;nw z2R5hb$6)Qj=2txm)&Xo@wJcyA!4_7tgLML1P^SP`XRyU}o&)Owwy5r6u&!WB>y8EM z2DYT`6|nAL%j=#4>jAc`UK6mMU@PlY1nUL1qTV^M-e9Zi9S7?JwyJ&uu)bhx>z4xS z2ezg`OR)Z6>l;)98vwSh!7Q+WU>h3@1sepmq4{O7!C;%4e+D)LY*Q=d)ru$}D!z(#`Y=zuz&Vief!4yfZPMuY9@)D~!!4CB43N{JsaGw{zCW9U7^BUL`u%mr8 zf=vZG($@(#4eYhP0&F_ivA*rVW`G^_96u$5qE2NVWd1@`fP^kA#OJ{rLD(HgK% z2k?Bf7VMJ&8^G3qof|L7EB0ow!i zPM79*CMF}Zp{0nvl%xA_oU>|^KGrNJE1@oI(AM8Ug-&w7}J_7TfRR!#0 zFw?B^xY|oGM^nfQ8J-0rn+W z@SLGwUx9_rX$AH*m~Czvuy4TZb2YGU!NL}e0{afkv8X-R_h8|R_JCagi&(S(><2LC z;s~&dV3CV%g8c}VVsT}#pTJTseje;+u&Bi!fc*lNdhu?sOJJ#%)ByVxEP6>Eu;0Ma zEaCIJ43>5YpWhX*=a$?6y9$(6dgQZ)V73>;V%+g@6Kfp39%LR5FEYq@Zus^{v zE^7&P11!t33SfVMWnS(J_BU9zWf#G2f@NJ^0PG*I=a)x;-2%(Ld=uEeU^$mh1G^2D zWBFGiC{lpsUjDks7Ae7Ut!Ma&w5lFhAXw2=g}^LeMOG~W3j!;?YB-n`tk~+ez=FX_uHFO| z0#;&83NRa3>DB*$g@Tn@^9NWMSlKn7g4w~!tX&Tl4px5cWH1L zR$<*VuoPgG*YyUA1go?@7%U2`>bk37DZ#3&$2>=-0;|3r^BkEPtlIh`U}?Z=u3rHb z4OU}AIM{Pwwb$POOAA(OLtC(PV0AZC14|E9XX6a83}E#)_63UptG9`-EhAXNjeKpH zz#42q&O~MgYrF|L6PX39(WZT1S;1c1v1lDdlk5L$`?e=Y8MZh|2p9NMFto;r?zhYpWw)6QF2kW?_6<7(dE;}lL zl?3a&V-HvjRfRzU8x-$r@3|NmHm%++{b>G<>tQ=Uco#nvFgZ11M0#*U6&(7b$ zDuVUiRT``kSifB{V3oo8?m{hztO7P*7ivjlRj~fML&2(n4chewSaq<0yDNaz02{J9 zD_Bji!Mn$T)dCx~yAxP#u%UahfYkvTvBwHl7i{>RL16X3M($|=Rv+xuJ)6NAfQ{ZW z9jqbPs6AhUH3A#E=QvnnurYg!gS`MYes5Z^7s1Bu?E>}^*u=ec!J2?g*h}9x1)IE= zzHbILX(5b9mo#W5p3ZB8(1f>1qbMj&R~lV z&>LOA79BVP))j2&fn8wTz?K|Dy^8D(w)_CF~c`L&3Hl{swFq*p?%#SHr=!A7Q;30k-W3eef#S&Li}}NU$A8E`W^! z+kNCMu+d<o>X&IwfqwRUpmq8K?e=h>3!V>j!F8a}CZSF5l%V25 z!~X@oTFn+)Q#a_2BZkHv#~C*$&{cuVv6WQDR#LMT2v5gV(a^RYBX8129_C%-YoV_C ztbfj1^pOvE6*)|JjJ!=B`Ji`^%^oA~&_|A|^zr67)|c+{9Oucf5RZ{}=_ALL_6Lp} zFvm#4@E^;rAk>Aiq{@lCBgFQw*p^tptyU3$GMt(~l`3tWi z+wC4BzoUyR{k)5u%VXsC^pP#zMK0no@+p1fAnzitv#Ym{z4yl zymyg{dz_80^pU4}7rCOx$lvHAPxCHv1CNov(?_1^UE~QKBVW)*j(a~8@7(R-iqSFK zW8{na$Z>Cg9ysy>kCA`UM~-{P^T3gpdW`&wK62b!oCl7)%46hT^^xP=(>!qG^&TT% z)<=$e6Z624w|IGstp^tpbyU4$IjI8M+ zzvf-!D;^{J>LYu2Z{=y8|MnQ!q>t?3y_JW^P9Kkv1N4#K@M<=k0Ujd<>Lb7DU1W#H z$U(ZuTCjJK(|C*=tdAVxUF7s0Bir0M-x@7kg=>LaJ}F7j|spSVV4)<;h5UF1Wa z-djaw)kpU3-LdlxkCC(MBWL#RIlt>Mat?iD@7{Mi&v=ZSOCLF_chC6~kCF4}BWLp} zazvmsWP>gElW(+$xO!g^GfruqcB2`Kx0~Lt6u_ z1^WZ>p9QyYMAZ}`e22Iuj|(Xe1$-a^0*(f}5pW{lWWZYi@8G`^ci4^i%re3<(lrh} zJk~PRGQ%?SE}I$mSj#$tahnXry>2k>gu%EE4aR*Mf1I^%{BhQS2IIyVjGJUIZl1xo zMF!*68;sj*Fzy|Lai$ZpMwnyo< z$LY2w>9(i2Z3oChAKm{&`o{(w4Q&znvg`Gt7n`G@9Sm5n(B5~)^}aK1tO1)I zI^*uR8F$9bHDHSj*m485#(-@!IPNwBw%g#bhYiLZHyHP>!MHO9<32GMciwApH8)^w3|MCa*3*Cu zFkr(B*aQPMOV0w1+6`)ueN+5v5&L!ncFcgCj$a?_9~rQ72JDLcs;h3I7kj;9zph^o zJmfq~D1&k148~1~KQG}k44&T{ zgU2o~7`Mb=+zNwnYYfJ1Fc`N*KTaMB-=jaLf*m$s#|_xq2JEx}``Cb;*ZUdA{nmi} zXuvKT#QW2L-HOi~KJnu@f(=+IJqtMMNEbh69C-{_(fD3-l#1^mM>+j^;22d5#?>$w zSI^)WjSU{##Ne^L&-sSIV{gSD=alisIZgU;0Y{w{J+n-8hUgxPYcQw%{%bI23WLX`(mf8>YRz9%-;d#SjCGE4T`!;)`@YS&(7EU?TNHN<;ap}g z?r8j)>pW?|P8qNd4cIvY_GA3>r}I+$If$^ve{B(=@t<>qGyX9mMi{U$dL|D=oYbF- zz}`1t2Itv`^X})ss50UzKEb+yPp4+!lc!CV*DWV3A6h=O_O%YQj7jE&7lkelT@$)7bX(}|(8Hm}L*ETO6Z%Q$`OpiYmqKrZ z{uAaC<{uUm78aHwEOl5qybCKGRynMCSe>v2VK0U?3u_hDHmplnkFdUB1H*=ejSL$X zHp%X^N7?h*3)+j@OWUj1>)0FHo7>yiJKKBO2iS+%C)j7%7uh%2x7&}|PuoATpR-@F zU&j^azu{WADLg3L7M>@3VEEARQQ_mlr-aW4pA)_yd`b9<@HOEZ!ncI)2|pZuJpAqO z)8QY7pAY{w{KxRi;eUqTa`-rc9jP4Y9C;i?9i<%Q990}O9Q7QH9Zej)9RnOg9U~p% z9FrY09rGNE9V;Ab9h)569eW%H95_!n-gdn2IP3Vtao+K@Cf%9+-g(V5km+nL{4#96{w)>+9}-C4)k(D|aXxwDnCy|c5khqI4!pmV5m zB=Tk&b3%9oZ^2+EtgrDY+W zE`P!!Ez1$!GJrd9Ke@ED;|~0YNLu=0hix^UZUjEsmbPg@>!fW4*;FtmzE+U7DeU2^ z3u&9k9=_6%mW-yn(o(>ZMOs%Qr~@}MN$V0$4t}~Jtt$|~dV)J}FOsxw>(+|47ce{k~#H*pJ& z4EA9Ux6H`kF!peZiwus$kl<9@#C<6;I2xOlLU_8t>Bw+Li45j?1ako#xD7-G=jCPr zZsOhz8C;w_+-o6&%W+3VZsGkrL7iw_<~y6s&PkSZsJ>IX=}+Iz6F-HrhIC}8IKcT>%|@T zs#V(haI-%*@%^Z@4dCWrZsJQ#X&b`L;drbQ-$Y8=2-~aDHrh5uT1qg~IBrg&_3)ja zv`uCY-_c3iY&?SBPf6PX4q1#H5%^L`+Lp10FM*_O4HCz;ma-w}dub_&Lx$p4Akwy% zo0$;aQVR#Sw7?Gjh(lUhb8`!K93hJ$qk%2>g_^V+%Uj%mUzAD9d)$#3 z3u-yXrTu{cxMX4YU4gV*<=#KJ$qBRE;O0$k;tqak`G=dgxry8EWsu-zE`$o=T84Eb z^92j?=VmW%25{5D&B5H{A_s+Vb2K+?+|0we(E(z~%}~R+Igv7jGM{WErpb=GjAhU& zZmy=Rp{zv}2-<)smOR|t%+0L~x`Vx4?CoW5KYNGpQiI;-xKm_j_^`a({E(X;bI51x zea_yO?0wDNMJzzjCCX*W?_7_340WBGfALBF1F`yH(;7et!CtLXaIk znv_};rzyLTwfX$&Q0h|ZQR-6~FjPbK8c`ZkUZA{4d5O{lB1%$9bF(R>86Vc1y%v;~ zl$R-ODXl24P+C*kP}))2Q#w#OQaVvOGgKE!S8jHrbf@&7^rZCS-rnr>q4cHnqx7c? zpbX@YLF^5t4519A4C9XBlo8x~l`@i>qu3kG85+ahSjsrcc*+Fsm`IsKnM|2NnM#>P znNFF(!80kdD6=VZD03tfQ=_ zY=D@uacMX5#cU$m%m7>1+e+C+*-qI(*-6<&@u3~@_k)Gp&CNZOy%14`y?yMJqm<=l zBzu3-#VaT`Da$Ec&G?GErr9Y(AId)v8Avgk(+M$(tSO~8%IlQA40V~k z0qk{RZy;qjH`}t;nY~xoYenfnX+zmV>5skA!XdjThbcQL&A7J<CQ~|a$Jgw&qkO~7 zZtQiWbm!(#_CBS2PMJzpg;Il3jZz(A!kJx|N}I|EQy%tk?ITPbOdW-|!O8xca*P4q zpiJV!MpM>Mj*!izOyK5P%0|jM%6iHs%6ty##oiXmX38qcLhe{XSxVVPwt&5@>@8w% z2Yah2%P1=;izyo@+bLr?ZXRU}r6O3s#DGbZt3nhH7zYVlEW{iRZp>HIfHIzg$5BRd za|Goj&ixCNCLH`Cr5k1A_Wok;Z^})|Ka^XPe<`;i0RrO!Bt@h6kogAS@1U6|{*(ZUnG#5` zP=Y8{J|dVBLa|XoDPa^lC7j~mU?(Mll7bRRiK3*Wq@twe!P8KpDbG>TQqnO>diF9< zVkj9YnJAelStwa4**G{md(TsHP;yf8QF2joQ}R&qQu0#@Pzq8CQ3_LvFjP@WF>V&8 zl%SNPl%ka8-ZJc!rIe$Tr&OR+q*UUN%IsC4RHam-ROgNwl$zYEMXAlrI_%Zul+RN>55JN^eRZN?%GpzK;Iv4d7!3vNwn_m@P z6hyp*T#ycAg|tz^DR#0D_JS!^ZU#|8xfzC@X_{#|ByfQcMFU0)aS=PjDasd=KPW#@ zey03N`2-IWpHZ%J^B2k`$~m(0l;60ypS|BHA5hLxPEx+4d`0=5@+}AdKzWy&KT_VO zTq8R}xj^}la+UHiDZC-+v%}5~3An&qU$e``IWCN)#oU!pZi_ zM9E6Y3DHWi#~0{Vn8JDXD@&=mVu!TiW;;q}N;gVx$^gnx%1FvM z3a3u1z}^hXT*@NKa>^>oTFNHMb_%D?Z!biv%-$i&QOfI-la%);rzxC2zmF-OQO-lO zYV3VQ`JQr-@-v02>PJ8OU8is+{r-iRVrQ}@d%hHZN+88b;hdT{r=}DXCZ{P4L^71d zY0~Ob8c~{1XgH0A(`Y!Y1BG*?ajxVI_IgtKQ2J8_QHE1EbsDEmn@E{LnF*0M*_%U| zPvInLODQWTG@-VRvXQcd!s*lmg>$OK&MEDv(T*DJs2!)Apu9~vMfrf@L-~mEDTT(= zXiV)J3MW?M#A=*ajT5WUqFMmu8s$$4eX8At_-GVA3MbYlgc3%HfM^!>Qc==UGE%Zo za!~S83Q!7Dicv~JOleKHPOOSjZ>r0y=9Q*Z(zMpJOqw>BHcHbL_6D1V2-5`9L}BX3 x%jq$c${bviy_Tkzg{hILu`n$(Et00Cc!Ze2Q^!ooEJ|faRBha(>*FWH{{Z|j>XiTh