diff --git a/el2_ifu_mem_ctl.anno.json b/el2_ifu_mem_ctl.anno.json index 6975fe39..4335bbce 100644 --- a/el2_ifu_mem_ctl.anno.json +++ b/el2_ifu_mem_ctl.anno.json @@ -128,6 +128,14 @@ "~el2_ifu_mem_ctl|el2_ifu_mem_ctl>io_exu_flush_final" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_ifu_mem_ctl|el2_ifu_mem_ctl>io_tagv_mb_in", + "sources":[ + "~el2_ifu_mem_ctl|el2_ifu_mem_ctl>io_ic_tag_valid", + "~el2_ifu_mem_ctl|el2_ifu_mem_ctl>io_exu_flush_final" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_mem_ctl|el2_ifu_mem_ctl>io_iccm_rden", diff --git a/el2_ifu_mem_ctl.fir b/el2_ifu_mem_ctl.fir index b1b4c182..1ede13f4 100644 --- a/el2_ifu_mem_ctl.fir +++ b/el2_ifu_mem_ctl.fir @@ -3,28 +3,28 @@ circuit el2_ifu_mem_ctl : module el2_ifu_mem_ctl : input clock : Clock input reset : UInt<1> - output io : {flip free_clk : Clock, flip active_clk : Clock, flip exu_flush_final : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip ifc_fetch_addr_bf : UInt<31>, flip ifc_fetch_uncacheable_bf : UInt<1>, flip ifc_fetch_req_bf : UInt<1>, flip ifc_fetch_req_bf_raw : UInt<1>, flip ifc_iccm_access_bf : UInt<1>, flip ifc_region_acc_fault_bf : UInt<1>, flip ifc_dma_access_ok : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_inst_mask_f : UInt<1>, flip ifu_axi_arready : UInt<1>, flip ifu_axi_rvalid : UInt<1>, flip ifu_axi_rid : UInt<3>, flip ifu_axi_rdata : UInt<64>, flip ifu_axi_rresp : UInt<2>, flip ifu_bus_clk_en : UInt<1>, flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>, flip ic_rd_data : UInt<64>, flip ic_debug_rd_data : UInt<71>, flip ictag_debug_rd_data : UInt<26>, flip ic_eccerr : UInt<2>, flip ic_parerr : UInt<2>, flip ic_rd_hit : UInt<2>, flip ic_tag_perr : UInt<1>, flip iccm_rd_data : UInt<64>, flip iccm_rd_data_ecc : UInt<78>, flip ifu_fetch_val : UInt<2>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, ifu_miss_state_idle : UInt<1>, ifu_ic_mb_empty : UInt<1>, ic_dma_active : UInt<1>, ic_write_stall : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_axi_awvalid : UInt<1>, ifu_axi_awid : UInt<3>, ifu_axi_awaddr : UInt<32>, ifu_axi_awregion : UInt<4>, ifu_axi_awlen : UInt<8>, ifu_axi_awsize : UInt<3>, ifu_axi_awburst : UInt<2>, ifu_axi_awlock : UInt<1>, ifu_axi_awcache : UInt<4>, ifu_axi_awprot : UInt<3>, ifu_axi_awqos : UInt<4>, ifu_axi_wvalid : UInt<1>, ifu_axi_wdata : UInt<64>, ifu_axi_wstrb : UInt<8>, ifu_axi_wlast : UInt<1>, ifu_axi_bready : UInt<1>, ifu_axi_arvalid : UInt<1>, ifu_axi_arid : UInt<3>, ifu_axi_araddr : UInt<32>, ifu_axi_arregion : UInt<4>, ifu_axi_arlen : UInt<8>, ifu_axi_arsize : UInt<3>, ifu_axi_arburst : UInt<2>, ifu_axi_arlock : UInt<1>, ifu_axi_arcache : UInt<4>, ifu_axi_arprot : UInt<3>, ifu_axi_arqos : UInt<4>, ifu_axi_rready : UInt<1>, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, ic_rw_addr : UInt<31>, ic_wr_en : UInt<2>, ic_rd_en : UInt<1>, ic_wr_data : UInt<71>[2], ic_debug_wr_data : UInt<71>, ifu_ic_debug_rd_data : UInt<71>, ic_debug_addr : UInt<10>, ic_debug_rd_en : UInt<1>, ic_debug_wr_en : UInt<1>, ic_debug_tag_array : UInt<1>, ic_debug_way : UInt<2>, ic_tag_valid : UInt<2>, iccm_rw_addr : UInt<15>, iccm_wren : UInt<1>, iccm_rden : UInt<1>, iccm_wr_data : UInt<78>, iccm_wr_size : UInt<3>, ic_hit_f : UInt<1>, ic_access_fault_f : UInt<1>, ic_access_fault_type_f : UInt<2>, iccm_rd_ecc_single_err : UInt<1>, iccm_rd_ecc_double_err : UInt<1>, ic_error_start : UInt<1>, ifu_async_error_start : UInt<1>, iccm_dma_sb_error : UInt<1>, ic_fetch_val_f : UInt<2>, ic_data_f : UInt<32>, ic_premux_data : UInt<64>, ic_sel_premux_data : UInt<1>, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_ic_debug_rd_data_valid : UInt<1>, iccm_buf_correct_ecc : UInt<1>, iccm_correction_state : UInt<1>, flip scan_mode : UInt<1>, valids : UInt} + output io : {flip free_clk : Clock, flip active_clk : Clock, flip exu_flush_final : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip ifc_fetch_addr_bf : UInt<31>, flip ifc_fetch_uncacheable_bf : UInt<1>, flip ifc_fetch_req_bf : UInt<1>, flip ifc_fetch_req_bf_raw : UInt<1>, flip ifc_iccm_access_bf : UInt<1>, flip ifc_region_acc_fault_bf : UInt<1>, flip ifc_dma_access_ok : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_inst_mask_f : UInt<1>, flip ifu_axi_arready : UInt<1>, flip ifu_axi_rvalid : UInt<1>, flip ifu_axi_rid : UInt<3>, flip ifu_axi_rdata : UInt<64>, flip ifu_axi_rresp : UInt<2>, flip ifu_bus_clk_en : UInt<1>, flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>, flip ic_rd_data : UInt<64>, flip ic_debug_rd_data : UInt<71>, flip ictag_debug_rd_data : UInt<26>, flip ic_eccerr : UInt<2>, flip ic_parerr : UInt<2>, flip ic_rd_hit : UInt<2>, flip ic_tag_perr : UInt<1>, flip iccm_rd_data : UInt<64>, flip iccm_rd_data_ecc : UInt<78>, flip ifu_fetch_val : UInt<2>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, ifu_miss_state_idle : UInt<1>, ifu_ic_mb_empty : UInt<1>, ic_dma_active : UInt<1>, ic_write_stall : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_axi_awvalid : UInt<1>, ifu_axi_awid : UInt<3>, ifu_axi_awaddr : UInt<32>, ifu_axi_awregion : UInt<4>, ifu_axi_awlen : UInt<8>, ifu_axi_awsize : UInt<3>, ifu_axi_awburst : UInt<2>, ifu_axi_awlock : UInt<1>, ifu_axi_awcache : UInt<4>, ifu_axi_awprot : UInt<3>, ifu_axi_awqos : UInt<4>, ifu_axi_wvalid : UInt<1>, ifu_axi_wdata : UInt<64>, ifu_axi_wstrb : UInt<8>, ifu_axi_wlast : UInt<1>, ifu_axi_bready : UInt<1>, ifu_axi_arvalid : UInt<1>, ifu_axi_arid : UInt<3>, ifu_axi_araddr : UInt<32>, ifu_axi_arregion : UInt<4>, ifu_axi_arlen : UInt<8>, ifu_axi_arsize : UInt<3>, ifu_axi_arburst : UInt<2>, ifu_axi_arlock : UInt<1>, ifu_axi_arcache : UInt<4>, ifu_axi_arprot : UInt<3>, ifu_axi_arqos : UInt<4>, ifu_axi_rready : UInt<1>, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, ic_rw_addr : UInt<31>, ic_wr_en : UInt<2>, ic_rd_en : UInt<1>, ic_wr_data : UInt<71>[2], ic_debug_wr_data : UInt<71>, ifu_ic_debug_rd_data : UInt<71>, ic_debug_addr : UInt<10>, ic_debug_rd_en : UInt<1>, ic_debug_wr_en : UInt<1>, ic_debug_tag_array : UInt<1>, ic_debug_way : UInt<2>, ic_tag_valid : UInt<2>, iccm_rw_addr : UInt<15>, iccm_wren : UInt<1>, iccm_rden : UInt<1>, iccm_wr_data : UInt<78>, iccm_wr_size : UInt<3>, ic_hit_f : UInt<1>, ic_access_fault_f : UInt<1>, ic_access_fault_type_f : UInt<2>, iccm_rd_ecc_single_err : UInt<1>, iccm_rd_ecc_double_err : UInt<1>, ic_error_start : UInt<1>, ifu_async_error_start : UInt<1>, iccm_dma_sb_error : UInt<1>, ic_fetch_val_f : UInt<2>, ic_data_f : UInt<32>, ic_premux_data : UInt<64>, ic_sel_premux_data : UInt<1>, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_ic_debug_rd_data_valid : UInt<1>, iccm_buf_correct_ecc : UInt<1>, iccm_correction_state : UInt<1>, flip scan_mode : UInt<1>, valids : UInt, tagv_mb_in : UInt} - io.ifu_axi_wvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 133:21] - io.ifu_axi_wdata <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 134:20] - io.ifu_axi_awqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 135:20] - io.ifu_axi_awaddr <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 136:21] - io.ifu_axi_awprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 137:21] - io.ifu_axi_awlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 138:20] - io.ifu_axi_arlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 139:21] - io.ifu_axi_awregion <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 140:23] - io.ifu_axi_awid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 141:19] - io.ifu_axi_awvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 142:22] - io.ifu_axi_wstrb <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 143:20] - io.ifu_axi_awcache <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 144:22] - io.ifu_axi_arqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 145:20] - io.ifu_axi_awlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 146:21] - io.ifu_axi_bready <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 147:21] - io.ifu_axi_arlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 148:20] - io.ifu_axi_awsize <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 149:21] - io.ifu_axi_arprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 150:21] - io.ifu_axi_awburst <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 151:22] - io.ifu_axi_wlast <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 152:20] + io.ifu_axi_wvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 134:21] + io.ifu_axi_wdata <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 135:20] + io.ifu_axi_awqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 136:20] + io.ifu_axi_awaddr <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 137:21] + io.ifu_axi_awprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 138:21] + io.ifu_axi_awlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 139:20] + io.ifu_axi_arlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 140:21] + io.ifu_axi_awregion <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 141:23] + io.ifu_axi_awid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 142:19] + io.ifu_axi_awvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 143:22] + io.ifu_axi_wstrb <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 144:20] + io.ifu_axi_awcache <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 145:22] + io.ifu_axi_arqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 146:20] + io.ifu_axi_awlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 147:21] + io.ifu_axi_bready <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 148:21] + io.ifu_axi_arlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 149:20] + io.ifu_axi_awsize <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 150:21] + io.ifu_axi_arprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 151:21] + io.ifu_axi_awburst <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 152:22] + io.ifu_axi_wlast <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 153:20] wire iccm_single_ecc_error : UInt<2> iccm_single_ecc_error <= UInt<1>("h00") wire ifc_fetch_req_f : UInt<1> @@ -77,229 +77,229 @@ circuit el2_ifu_mem_ctl : ic_ignore_2nd_miss_f <= UInt<1>("h00") wire ic_debug_rd_en_ff : UInt<1> ic_debug_rd_en_ff <= UInt<1>("h00") - reg flush_final_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 185:30] - flush_final_f <= io.exu_flush_final @[el2_ifu_mem_ctl.scala 185:30] - node _T = or(io.ifc_fetch_req_bf_raw, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 186:53] - node _T_1 = or(_T, miss_pending) @[el2_ifu_mem_ctl.scala 186:71] - node _T_2 = or(_T_1, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 186:86] - node fetch_bf_f_c1_clken = or(_T_2, scnd_miss_req) @[el2_ifu_mem_ctl.scala 186:107] - node debug_c1_clken = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 187:42] - node _T_3 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 190:52] - node _T_4 = bits(dma_iccm_req_f, 0, 0) @[el2_ifu_mem_ctl.scala 190:78] - node _T_5 = and(_T_3, _T_4) @[el2_ifu_mem_ctl.scala 190:55] - io.iccm_dma_sb_error <= _T_5 @[el2_ifu_mem_ctl.scala 190:24] - node _T_6 = or(io.iccm_rd_ecc_single_err, io.ic_error_start) @[el2_ifu_mem_ctl.scala 191:57] - io.ifu_async_error_start <= _T_6 @[el2_ifu_mem_ctl.scala 191:28] - node _T_7 = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 192:54] - node _T_8 = or(iccm_correct_ecc, _T_7) @[el2_ifu_mem_ctl.scala 192:40] - node _T_9 = eq(err_stop_state, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 192:90] - node _T_10 = or(_T_8, _T_9) @[el2_ifu_mem_ctl.scala 192:72] - node _T_11 = or(_T_10, err_stop_fetch) @[el2_ifu_mem_ctl.scala 192:112] - node _T_12 = or(_T_11, io.dec_tlu_flush_err_wb) @[el2_ifu_mem_ctl.scala 192:129] - io.ic_dma_active <= _T_12 @[el2_ifu_mem_ctl.scala 192:20] - node _T_13 = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 194:44] - node _T_14 = and(_T_13, ifu_bus_rsp_ready) @[el2_ifu_mem_ctl.scala 194:65] - node _T_15 = andr(bus_new_data_beat_count) @[el2_ifu_mem_ctl.scala 194:112] - node _T_16 = and(_T_14, _T_15) @[el2_ifu_mem_ctl.scala 194:85] - node _T_17 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 195:5] - node _T_18 = and(_T_16, _T_17) @[el2_ifu_mem_ctl.scala 194:118] - node _T_19 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 195:41] - node _T_20 = eq(miss_nxtstate, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 195:73] - node _T_21 = or(_T_19, _T_20) @[el2_ifu_mem_ctl.scala 195:57] - node _T_22 = and(_T_18, _T_21) @[el2_ifu_mem_ctl.scala 195:26] - node _T_23 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 195:93] - node scnd_miss_req_in = and(_T_22, _T_23) @[el2_ifu_mem_ctl.scala 195:91] - node ifu_bp_hit_taken_q_f = and(io.ifu_bp_hit_taken_f, io.ic_hit_f) @[el2_ifu_mem_ctl.scala 197:52] + reg flush_final_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 186:30] + flush_final_f <= io.exu_flush_final @[el2_ifu_mem_ctl.scala 186:30] + node _T = or(io.ifc_fetch_req_bf_raw, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 187:53] + node _T_1 = or(_T, miss_pending) @[el2_ifu_mem_ctl.scala 187:71] + node _T_2 = or(_T_1, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 187:86] + node fetch_bf_f_c1_clken = or(_T_2, scnd_miss_req) @[el2_ifu_mem_ctl.scala 187:107] + node debug_c1_clken = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 188:42] + node _T_3 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 191:52] + node _T_4 = bits(dma_iccm_req_f, 0, 0) @[el2_ifu_mem_ctl.scala 191:78] + node _T_5 = and(_T_3, _T_4) @[el2_ifu_mem_ctl.scala 191:55] + io.iccm_dma_sb_error <= _T_5 @[el2_ifu_mem_ctl.scala 191:24] + node _T_6 = or(io.iccm_rd_ecc_single_err, io.ic_error_start) @[el2_ifu_mem_ctl.scala 192:57] + io.ifu_async_error_start <= _T_6 @[el2_ifu_mem_ctl.scala 192:28] + node _T_7 = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 193:54] + node _T_8 = or(iccm_correct_ecc, _T_7) @[el2_ifu_mem_ctl.scala 193:40] + node _T_9 = eq(err_stop_state, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 193:90] + node _T_10 = or(_T_8, _T_9) @[el2_ifu_mem_ctl.scala 193:72] + node _T_11 = or(_T_10, err_stop_fetch) @[el2_ifu_mem_ctl.scala 193:112] + node _T_12 = or(_T_11, io.dec_tlu_flush_err_wb) @[el2_ifu_mem_ctl.scala 193:129] + io.ic_dma_active <= _T_12 @[el2_ifu_mem_ctl.scala 193:20] + node _T_13 = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 195:44] + node _T_14 = and(_T_13, ifu_bus_rsp_ready) @[el2_ifu_mem_ctl.scala 195:65] + node _T_15 = andr(bus_new_data_beat_count) @[el2_ifu_mem_ctl.scala 195:112] + node _T_16 = and(_T_14, _T_15) @[el2_ifu_mem_ctl.scala 195:85] + node _T_17 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 196:5] + node _T_18 = and(_T_16, _T_17) @[el2_ifu_mem_ctl.scala 195:118] + node _T_19 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 196:41] + node _T_20 = eq(miss_nxtstate, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 196:73] + node _T_21 = or(_T_19, _T_20) @[el2_ifu_mem_ctl.scala 196:57] + node _T_22 = and(_T_18, _T_21) @[el2_ifu_mem_ctl.scala 196:26] + node _T_23 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 196:93] + node scnd_miss_req_in = and(_T_22, _T_23) @[el2_ifu_mem_ctl.scala 196:91] + node ifu_bp_hit_taken_q_f = and(io.ifu_bp_hit_taken_f, io.ic_hit_f) @[el2_ifu_mem_ctl.scala 198:52] node _T_24 = eq(UInt<3>("h00"), miss_state) @[Conditional.scala 37:30] when _T_24 : @[Conditional.scala 40:58] - node _T_25 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 201:45] - node _T_26 = and(ic_act_miss_f, _T_25) @[el2_ifu_mem_ctl.scala 201:43] - node _T_27 = bits(_T_26, 0, 0) @[el2_ifu_mem_ctl.scala 201:66] - node _T_28 = mux(_T_27, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 201:27] - miss_nxtstate <= _T_28 @[el2_ifu_mem_ctl.scala 201:21] - node _T_29 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 202:40] - node _T_30 = and(ic_act_miss_f, _T_29) @[el2_ifu_mem_ctl.scala 202:38] - miss_state_en <= _T_30 @[el2_ifu_mem_ctl.scala 202:21] + node _T_25 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 202:45] + node _T_26 = and(ic_act_miss_f, _T_25) @[el2_ifu_mem_ctl.scala 202:43] + node _T_27 = bits(_T_26, 0, 0) @[el2_ifu_mem_ctl.scala 202:66] + node _T_28 = mux(_T_27, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 202:27] + miss_nxtstate <= _T_28 @[el2_ifu_mem_ctl.scala 202:21] + node _T_29 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 203:40] + node _T_30 = and(ic_act_miss_f, _T_29) @[el2_ifu_mem_ctl.scala 203:38] + miss_state_en <= _T_30 @[el2_ifu_mem_ctl.scala 203:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_31 = eq(UInt<3>("h01"), miss_state) @[Conditional.scala 37:30] when _T_31 : @[Conditional.scala 39:67] - node _T_32 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 205:113] - node _T_33 = or(last_data_recieved_ff, _T_32) @[el2_ifu_mem_ctl.scala 205:93] - node _T_34 = and(ic_byp_hit_f, _T_33) @[el2_ifu_mem_ctl.scala 205:67] - node _T_35 = and(_T_34, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 205:127] - node _T_36 = or(io.dec_tlu_force_halt, _T_35) @[el2_ifu_mem_ctl.scala 205:51] - node _T_37 = bits(_T_36, 0, 0) @[el2_ifu_mem_ctl.scala 205:152] - node _T_38 = eq(last_data_recieved_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 206:30] - node _T_39 = and(ic_byp_hit_f, _T_38) @[el2_ifu_mem_ctl.scala 206:27] - node _T_40 = and(_T_39, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 206:53] - node _T_41 = bits(_T_40, 0, 0) @[el2_ifu_mem_ctl.scala 206:77] - node _T_42 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 207:16] - node _T_43 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 207:32] - node _T_44 = and(_T_42, _T_43) @[el2_ifu_mem_ctl.scala 207:30] - node _T_45 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 207:72] - node _T_46 = and(_T_44, _T_45) @[el2_ifu_mem_ctl.scala 207:52] - node _T_47 = and(_T_46, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 207:85] - node _T_48 = bits(_T_47, 0, 0) @[el2_ifu_mem_ctl.scala 207:109] - node _T_49 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 208:36] - node _T_50 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:51] - node _T_51 = and(_T_49, _T_50) @[el2_ifu_mem_ctl.scala 208:49] - node _T_52 = bits(_T_51, 0, 0) @[el2_ifu_mem_ctl.scala 208:73] - node _T_53 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:35] - node _T_54 = and(ic_byp_hit_f, _T_53) @[el2_ifu_mem_ctl.scala 209:33] - node _T_55 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 209:76] - node _T_56 = eq(_T_55, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:57] - node _T_57 = and(_T_54, _T_56) @[el2_ifu_mem_ctl.scala 209:55] - node _T_58 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:91] - node _T_59 = and(_T_57, _T_58) @[el2_ifu_mem_ctl.scala 209:89] - node _T_60 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:115] - node _T_61 = and(_T_59, _T_60) @[el2_ifu_mem_ctl.scala 209:113] - node _T_62 = bits(_T_61, 0, 0) @[el2_ifu_mem_ctl.scala 209:137] - node _T_63 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:41] - node _T_64 = and(bus_ifu_wr_en_ff, _T_63) @[el2_ifu_mem_ctl.scala 210:39] - node _T_65 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 210:82] - node _T_66 = eq(_T_65, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:63] - node _T_67 = and(_T_64, _T_66) @[el2_ifu_mem_ctl.scala 210:61] - node _T_68 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:97] - node _T_69 = and(_T_67, _T_68) @[el2_ifu_mem_ctl.scala 210:95] - node _T_70 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:121] - node _T_71 = and(_T_69, _T_70) @[el2_ifu_mem_ctl.scala 210:119] - node _T_72 = bits(_T_71, 0, 0) @[el2_ifu_mem_ctl.scala 210:143] - node _T_73 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:22] - node _T_74 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:40] - node _T_75 = and(_T_73, _T_74) @[el2_ifu_mem_ctl.scala 211:37] - node _T_76 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 211:81] - node _T_77 = and(_T_75, _T_76) @[el2_ifu_mem_ctl.scala 211:60] - node _T_78 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:102] - node _T_79 = and(_T_77, _T_78) @[el2_ifu_mem_ctl.scala 211:100] - node _T_80 = bits(_T_79, 0, 0) @[el2_ifu_mem_ctl.scala 211:124] - node _T_81 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 212:44] - node _T_82 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 212:89] - node _T_83 = eq(_T_82, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 212:70] - node _T_84 = and(_T_81, _T_83) @[el2_ifu_mem_ctl.scala 212:68] - node _T_85 = bits(_T_84, 0, 0) @[el2_ifu_mem_ctl.scala 212:103] - node _T_86 = mux(_T_85, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 212:22] - node _T_87 = mux(_T_80, UInt<3>("h00"), _T_86) @[el2_ifu_mem_ctl.scala 211:20] - node _T_88 = mux(_T_72, UInt<3>("h06"), _T_87) @[el2_ifu_mem_ctl.scala 210:20] - node _T_89 = mux(_T_62, UInt<3>("h06"), _T_88) @[el2_ifu_mem_ctl.scala 209:18] - node _T_90 = mux(_T_52, UInt<3>("h00"), _T_89) @[el2_ifu_mem_ctl.scala 208:16] - node _T_91 = mux(_T_48, UInt<3>("h04"), _T_90) @[el2_ifu_mem_ctl.scala 207:14] - node _T_92 = mux(_T_41, UInt<3>("h03"), _T_91) @[el2_ifu_mem_ctl.scala 206:12] - node _T_93 = mux(_T_37, UInt<3>("h00"), _T_92) @[el2_ifu_mem_ctl.scala 205:27] - miss_nxtstate <= _T_93 @[el2_ifu_mem_ctl.scala 205:21] - node _T_94 = or(io.dec_tlu_force_halt, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 213:46] - node _T_95 = or(_T_94, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 213:67] - node _T_96 = or(_T_95, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 213:82] - node _T_97 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 213:125] - node _T_98 = or(_T_96, _T_97) @[el2_ifu_mem_ctl.scala 213:105] - node _T_99 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 213:160] - node _T_100 = and(bus_ifu_wr_en_ff, _T_99) @[el2_ifu_mem_ctl.scala 213:158] - node _T_101 = or(_T_98, _T_100) @[el2_ifu_mem_ctl.scala 213:138] - miss_state_en <= _T_101 @[el2_ifu_mem_ctl.scala 213:21] + node _T_32 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 206:113] + node _T_33 = or(last_data_recieved_ff, _T_32) @[el2_ifu_mem_ctl.scala 206:93] + node _T_34 = and(ic_byp_hit_f, _T_33) @[el2_ifu_mem_ctl.scala 206:67] + node _T_35 = and(_T_34, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 206:127] + node _T_36 = or(io.dec_tlu_force_halt, _T_35) @[el2_ifu_mem_ctl.scala 206:51] + node _T_37 = bits(_T_36, 0, 0) @[el2_ifu_mem_ctl.scala 206:152] + node _T_38 = eq(last_data_recieved_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 207:30] + node _T_39 = and(ic_byp_hit_f, _T_38) @[el2_ifu_mem_ctl.scala 207:27] + node _T_40 = and(_T_39, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 207:53] + node _T_41 = bits(_T_40, 0, 0) @[el2_ifu_mem_ctl.scala 207:77] + node _T_42 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:16] + node _T_43 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:32] + node _T_44 = and(_T_42, _T_43) @[el2_ifu_mem_ctl.scala 208:30] + node _T_45 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 208:72] + node _T_46 = and(_T_44, _T_45) @[el2_ifu_mem_ctl.scala 208:52] + node _T_47 = and(_T_46, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 208:85] + node _T_48 = bits(_T_47, 0, 0) @[el2_ifu_mem_ctl.scala 208:109] + node _T_49 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 209:36] + node _T_50 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:51] + node _T_51 = and(_T_49, _T_50) @[el2_ifu_mem_ctl.scala 209:49] + node _T_52 = bits(_T_51, 0, 0) @[el2_ifu_mem_ctl.scala 209:73] + node _T_53 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:35] + node _T_54 = and(ic_byp_hit_f, _T_53) @[el2_ifu_mem_ctl.scala 210:33] + node _T_55 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 210:76] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:57] + node _T_57 = and(_T_54, _T_56) @[el2_ifu_mem_ctl.scala 210:55] + node _T_58 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:91] + node _T_59 = and(_T_57, _T_58) @[el2_ifu_mem_ctl.scala 210:89] + node _T_60 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:115] + node _T_61 = and(_T_59, _T_60) @[el2_ifu_mem_ctl.scala 210:113] + node _T_62 = bits(_T_61, 0, 0) @[el2_ifu_mem_ctl.scala 210:137] + node _T_63 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:41] + node _T_64 = and(bus_ifu_wr_en_ff, _T_63) @[el2_ifu_mem_ctl.scala 211:39] + node _T_65 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 211:82] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:63] + node _T_67 = and(_T_64, _T_66) @[el2_ifu_mem_ctl.scala 211:61] + node _T_68 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:97] + node _T_69 = and(_T_67, _T_68) @[el2_ifu_mem_ctl.scala 211:95] + node _T_70 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:121] + node _T_71 = and(_T_69, _T_70) @[el2_ifu_mem_ctl.scala 211:119] + node _T_72 = bits(_T_71, 0, 0) @[el2_ifu_mem_ctl.scala 211:143] + node _T_73 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 212:22] + node _T_74 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 212:40] + node _T_75 = and(_T_73, _T_74) @[el2_ifu_mem_ctl.scala 212:37] + node _T_76 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 212:81] + node _T_77 = and(_T_75, _T_76) @[el2_ifu_mem_ctl.scala 212:60] + node _T_78 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 212:102] + node _T_79 = and(_T_77, _T_78) @[el2_ifu_mem_ctl.scala 212:100] + node _T_80 = bits(_T_79, 0, 0) @[el2_ifu_mem_ctl.scala 212:124] + node _T_81 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 213:44] + node _T_82 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 213:89] + node _T_83 = eq(_T_82, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 213:70] + node _T_84 = and(_T_81, _T_83) @[el2_ifu_mem_ctl.scala 213:68] + node _T_85 = bits(_T_84, 0, 0) @[el2_ifu_mem_ctl.scala 213:103] + node _T_86 = mux(_T_85, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 213:22] + node _T_87 = mux(_T_80, UInt<3>("h00"), _T_86) @[el2_ifu_mem_ctl.scala 212:20] + node _T_88 = mux(_T_72, UInt<3>("h06"), _T_87) @[el2_ifu_mem_ctl.scala 211:20] + node _T_89 = mux(_T_62, UInt<3>("h06"), _T_88) @[el2_ifu_mem_ctl.scala 210:18] + node _T_90 = mux(_T_52, UInt<3>("h00"), _T_89) @[el2_ifu_mem_ctl.scala 209:16] + node _T_91 = mux(_T_48, UInt<3>("h04"), _T_90) @[el2_ifu_mem_ctl.scala 208:14] + node _T_92 = mux(_T_41, UInt<3>("h03"), _T_91) @[el2_ifu_mem_ctl.scala 207:12] + node _T_93 = mux(_T_37, UInt<3>("h00"), _T_92) @[el2_ifu_mem_ctl.scala 206:27] + miss_nxtstate <= _T_93 @[el2_ifu_mem_ctl.scala 206:21] + node _T_94 = or(io.dec_tlu_force_halt, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 214:46] + node _T_95 = or(_T_94, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 214:67] + node _T_96 = or(_T_95, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 214:82] + node _T_97 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 214:125] + node _T_98 = or(_T_96, _T_97) @[el2_ifu_mem_ctl.scala 214:105] + node _T_99 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 214:160] + node _T_100 = and(bus_ifu_wr_en_ff, _T_99) @[el2_ifu_mem_ctl.scala 214:158] + node _T_101 = or(_T_98, _T_100) @[el2_ifu_mem_ctl.scala 214:138] + miss_state_en <= _T_101 @[el2_ifu_mem_ctl.scala 214:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_102 = eq(UInt<3>("h04"), miss_state) @[Conditional.scala 37:30] when _T_102 : @[Conditional.scala 39:67] - miss_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 216:21] - node _T_103 = or(io.exu_flush_final, flush_final_f) @[el2_ifu_mem_ctl.scala 217:43] - node _T_104 = or(_T_103, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 217:59] - node _T_105 = or(_T_104, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 217:74] - miss_state_en <= _T_105 @[el2_ifu_mem_ctl.scala 217:21] + miss_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 217:21] + node _T_103 = or(io.exu_flush_final, flush_final_f) @[el2_ifu_mem_ctl.scala 218:43] + node _T_104 = or(_T_103, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 218:59] + node _T_105 = or(_T_104, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 218:74] + miss_state_en <= _T_105 @[el2_ifu_mem_ctl.scala 218:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_106 = eq(UInt<3>("h06"), miss_state) @[Conditional.scala 37:30] when _T_106 : @[Conditional.scala 39:67] - node _T_107 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 220:49] - node _T_108 = or(_T_107, stream_eol_f) @[el2_ifu_mem_ctl.scala 220:72] - node _T_109 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 220:108] - node _T_110 = eq(_T_109, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 220:89] - node _T_111 = and(_T_108, _T_110) @[el2_ifu_mem_ctl.scala 220:87] - node _T_112 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 220:124] - node _T_113 = and(_T_111, _T_112) @[el2_ifu_mem_ctl.scala 220:122] - node _T_114 = bits(_T_113, 0, 0) @[el2_ifu_mem_ctl.scala 220:148] - node _T_115 = mux(_T_114, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 220:27] - miss_nxtstate <= _T_115 @[el2_ifu_mem_ctl.scala 220:21] - node _T_116 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 221:43] - node _T_117 = or(_T_116, stream_eol_f) @[el2_ifu_mem_ctl.scala 221:67] - node _T_118 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 221:105] - node _T_119 = or(_T_117, _T_118) @[el2_ifu_mem_ctl.scala 221:84] - node _T_120 = or(_T_119, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 221:118] - miss_state_en <= _T_120 @[el2_ifu_mem_ctl.scala 221:21] + node _T_107 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 221:49] + node _T_108 = or(_T_107, stream_eol_f) @[el2_ifu_mem_ctl.scala 221:72] + node _T_109 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 221:108] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 221:89] + node _T_111 = and(_T_108, _T_110) @[el2_ifu_mem_ctl.scala 221:87] + node _T_112 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 221:124] + node _T_113 = and(_T_111, _T_112) @[el2_ifu_mem_ctl.scala 221:122] + node _T_114 = bits(_T_113, 0, 0) @[el2_ifu_mem_ctl.scala 221:148] + node _T_115 = mux(_T_114, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 221:27] + miss_nxtstate <= _T_115 @[el2_ifu_mem_ctl.scala 221:21] + node _T_116 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 222:43] + node _T_117 = or(_T_116, stream_eol_f) @[el2_ifu_mem_ctl.scala 222:67] + node _T_118 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 222:105] + node _T_119 = or(_T_117, _T_118) @[el2_ifu_mem_ctl.scala 222:84] + node _T_120 = or(_T_119, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 222:118] + miss_state_en <= _T_120 @[el2_ifu_mem_ctl.scala 222:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_121 = eq(UInt<3>("h03"), miss_state) @[Conditional.scala 37:30] when _T_121 : @[Conditional.scala 39:67] - node _T_122 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 224:69] - node _T_123 = eq(_T_122, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 224:50] - node _T_124 = and(io.exu_flush_final, _T_123) @[el2_ifu_mem_ctl.scala 224:48] - node _T_125 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 224:84] - node _T_126 = and(_T_124, _T_125) @[el2_ifu_mem_ctl.scala 224:82] - node _T_127 = bits(_T_126, 0, 0) @[el2_ifu_mem_ctl.scala 224:108] - node _T_128 = mux(_T_127, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 224:27] - miss_nxtstate <= _T_128 @[el2_ifu_mem_ctl.scala 224:21] - node _T_129 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 225:63] - node _T_130 = or(io.exu_flush_final, _T_129) @[el2_ifu_mem_ctl.scala 225:43] - node _T_131 = or(_T_130, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 225:76] - miss_state_en <= _T_131 @[el2_ifu_mem_ctl.scala 225:21] + node _T_122 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 225:69] + node _T_123 = eq(_T_122, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 225:50] + node _T_124 = and(io.exu_flush_final, _T_123) @[el2_ifu_mem_ctl.scala 225:48] + node _T_125 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 225:84] + node _T_126 = and(_T_124, _T_125) @[el2_ifu_mem_ctl.scala 225:82] + node _T_127 = bits(_T_126, 0, 0) @[el2_ifu_mem_ctl.scala 225:108] + node _T_128 = mux(_T_127, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 225:27] + miss_nxtstate <= _T_128 @[el2_ifu_mem_ctl.scala 225:21] + node _T_129 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 226:63] + node _T_130 = or(io.exu_flush_final, _T_129) @[el2_ifu_mem_ctl.scala 226:43] + node _T_131 = or(_T_130, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 226:76] + miss_state_en <= _T_131 @[el2_ifu_mem_ctl.scala 226:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_132 = eq(UInt<3>("h02"), miss_state) @[Conditional.scala 37:30] when _T_132 : @[Conditional.scala 39:67] - node _T_133 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 228:71] - node _T_134 = eq(_T_133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:52] - node _T_135 = and(ic_miss_under_miss_f, _T_134) @[el2_ifu_mem_ctl.scala 228:50] - node _T_136 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:86] - node _T_137 = and(_T_135, _T_136) @[el2_ifu_mem_ctl.scala 228:84] - node _T_138 = bits(_T_137, 0, 0) @[el2_ifu_mem_ctl.scala 228:110] - node _T_139 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 229:56] - node _T_140 = eq(_T_139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 229:37] - node _T_141 = and(ic_ignore_2nd_miss_f, _T_140) @[el2_ifu_mem_ctl.scala 229:35] - node _T_142 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 229:71] - node _T_143 = and(_T_141, _T_142) @[el2_ifu_mem_ctl.scala 229:69] - node _T_144 = bits(_T_143, 0, 0) @[el2_ifu_mem_ctl.scala 229:95] - node _T_145 = mux(_T_144, UInt<3>("h07"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 229:12] - node _T_146 = mux(_T_138, UInt<3>("h05"), _T_145) @[el2_ifu_mem_ctl.scala 228:27] - miss_nxtstate <= _T_146 @[el2_ifu_mem_ctl.scala 228:21] - node _T_147 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 230:42] - node _T_148 = or(_T_147, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 230:55] - node _T_149 = or(_T_148, ic_ignore_2nd_miss_f) @[el2_ifu_mem_ctl.scala 230:78] - node _T_150 = or(_T_149, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 230:101] - miss_state_en <= _T_150 @[el2_ifu_mem_ctl.scala 230:21] + node _T_133 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 229:71] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 229:52] + node _T_135 = and(ic_miss_under_miss_f, _T_134) @[el2_ifu_mem_ctl.scala 229:50] + node _T_136 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 229:86] + node _T_137 = and(_T_135, _T_136) @[el2_ifu_mem_ctl.scala 229:84] + node _T_138 = bits(_T_137, 0, 0) @[el2_ifu_mem_ctl.scala 229:110] + node _T_139 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 230:56] + node _T_140 = eq(_T_139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 230:37] + node _T_141 = and(ic_ignore_2nd_miss_f, _T_140) @[el2_ifu_mem_ctl.scala 230:35] + node _T_142 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 230:71] + node _T_143 = and(_T_141, _T_142) @[el2_ifu_mem_ctl.scala 230:69] + node _T_144 = bits(_T_143, 0, 0) @[el2_ifu_mem_ctl.scala 230:95] + node _T_145 = mux(_T_144, UInt<3>("h07"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 230:12] + node _T_146 = mux(_T_138, UInt<3>("h05"), _T_145) @[el2_ifu_mem_ctl.scala 229:27] + miss_nxtstate <= _T_146 @[el2_ifu_mem_ctl.scala 229:21] + node _T_147 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 231:42] + node _T_148 = or(_T_147, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 231:55] + node _T_149 = or(_T_148, ic_ignore_2nd_miss_f) @[el2_ifu_mem_ctl.scala 231:78] + node _T_150 = or(_T_149, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 231:101] + miss_state_en <= _T_150 @[el2_ifu_mem_ctl.scala 231:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_151 = eq(UInt<3>("h05"), miss_state) @[Conditional.scala 37:30] when _T_151 : @[Conditional.scala 39:67] - node _T_152 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 234:31] - node _T_153 = bits(_T_152, 0, 0) @[el2_ifu_mem_ctl.scala 234:44] - node _T_154 = mux(_T_153, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 234:12] - node _T_155 = mux(io.exu_flush_final, _T_154, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 233:62] - node _T_156 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_155) @[el2_ifu_mem_ctl.scala 233:27] - miss_nxtstate <= _T_156 @[el2_ifu_mem_ctl.scala 233:21] - node _T_157 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 235:42] - node _T_158 = or(_T_157, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 235:55] - node _T_159 = or(_T_158, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 235:76] - miss_state_en <= _T_159 @[el2_ifu_mem_ctl.scala 235:21] + node _T_152 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 235:31] + node _T_153 = bits(_T_152, 0, 0) @[el2_ifu_mem_ctl.scala 235:44] + node _T_154 = mux(_T_153, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 235:12] + node _T_155 = mux(io.exu_flush_final, _T_154, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 234:62] + node _T_156 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_155) @[el2_ifu_mem_ctl.scala 234:27] + miss_nxtstate <= _T_156 @[el2_ifu_mem_ctl.scala 234:21] + node _T_157 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 236:42] + node _T_158 = or(_T_157, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 236:55] + node _T_159 = or(_T_158, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 236:76] + miss_state_en <= _T_159 @[el2_ifu_mem_ctl.scala 236:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_160 = eq(UInt<3>("h07"), miss_state) @[Conditional.scala 37:30] when _T_160 : @[Conditional.scala 39:67] - node _T_161 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 239:31] - node _T_162 = bits(_T_161, 0, 0) @[el2_ifu_mem_ctl.scala 239:44] - node _T_163 = mux(_T_162, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 239:12] - node _T_164 = mux(io.exu_flush_final, _T_163, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 238:62] - node _T_165 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_164) @[el2_ifu_mem_ctl.scala 238:27] - miss_nxtstate <= _T_165 @[el2_ifu_mem_ctl.scala 238:21] - node _T_166 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 240:42] - node _T_167 = or(_T_166, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 240:55] - node _T_168 = or(_T_167, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 240:76] - miss_state_en <= _T_168 @[el2_ifu_mem_ctl.scala 240:21] + node _T_161 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 240:31] + node _T_162 = bits(_T_161, 0, 0) @[el2_ifu_mem_ctl.scala 240:44] + node _T_163 = mux(_T_162, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 240:12] + node _T_164 = mux(io.exu_flush_final, _T_163, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 239:62] + node _T_165 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_164) @[el2_ifu_mem_ctl.scala 239:27] + miss_nxtstate <= _T_165 @[el2_ifu_mem_ctl.scala 239:21] + node _T_166 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 241:42] + node _T_167 = or(_T_166, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 241:55] + node _T_168 = or(_T_167, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 241:76] + miss_state_en <= _T_168 @[el2_ifu_mem_ctl.scala 241:21] skip @[Conditional.scala 39:67] - node _T_169 = bits(miss_state_en, 0, 0) @[el2_ifu_mem_ctl.scala 243:61] + node _T_169 = bits(miss_state_en, 0, 0) @[el2_ifu_mem_ctl.scala 244:61] reg _T_170 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_169 : @[Reg.scala 28:19] _T_170 <= miss_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - miss_state <= _T_170 @[el2_ifu_mem_ctl.scala 243:14] + miss_state <= _T_170 @[el2_ifu_mem_ctl.scala 244:14] wire crit_byp_hit_f : UInt<1> crit_byp_hit_f <= UInt<1>("h00") wire way_status_mb_scnd_ff : UInt<1> @@ -318,272 +318,272 @@ circuit el2_ifu_mem_ctl : bus_rd_addr_count <= UInt<1>("h00") wire ifu_bus_rid_ff : UInt<3> ifu_bus_rid_ff <= UInt<1>("h00") - node _T_171 = neq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 253:30] - miss_pending <= _T_171 @[el2_ifu_mem_ctl.scala 253:16] - node _T_172 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 254:39] - node _T_173 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 254:73] - node _T_174 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 254:95] - node _T_175 = and(_T_173, _T_174) @[el2_ifu_mem_ctl.scala 254:93] - node crit_wd_byp_ok_ff = or(_T_172, _T_175) @[el2_ifu_mem_ctl.scala 254:58] - node _T_176 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 255:57] - node _T_177 = eq(_T_176, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 255:38] - node _T_178 = and(miss_pending, _T_177) @[el2_ifu_mem_ctl.scala 255:36] - node _T_179 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 255:86] - node _T_180 = and(_T_179, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 255:106] - node _T_181 = eq(_T_180, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 255:72] - node _T_182 = and(_T_178, _T_181) @[el2_ifu_mem_ctl.scala 255:70] - node _T_183 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 256:37] - node _T_184 = and(_T_183, crit_byp_hit_f) @[el2_ifu_mem_ctl.scala 256:57] - node _T_185 = eq(_T_184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 256:23] - node _T_186 = and(_T_182, _T_185) @[el2_ifu_mem_ctl.scala 255:128] - node _T_187 = or(_T_186, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 256:77] - node _T_188 = eq(miss_nxtstate, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 257:36] - node _T_189 = and(miss_pending, _T_188) @[el2_ifu_mem_ctl.scala 257:19] - node sel_hold_imb = or(_T_187, _T_189) @[el2_ifu_mem_ctl.scala 256:93] - node _T_190 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 259:40] - node _T_191 = or(_T_190, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 259:57] - node _T_192 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 259:83] - node sel_hold_imb_scnd = and(_T_191, _T_192) @[el2_ifu_mem_ctl.scala 259:81] - node _T_193 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 260:46] - node way_status_mb_scnd_in = mux(_T_193, way_status_mb_scnd_ff, way_status) @[el2_ifu_mem_ctl.scala 260:34] - node _T_194 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 262:40] - node _T_195 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 262:96] + node _T_171 = neq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 254:30] + miss_pending <= _T_171 @[el2_ifu_mem_ctl.scala 254:16] + node _T_172 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 255:39] + node _T_173 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 255:73] + node _T_174 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 255:95] + node _T_175 = and(_T_173, _T_174) @[el2_ifu_mem_ctl.scala 255:93] + node crit_wd_byp_ok_ff = or(_T_172, _T_175) @[el2_ifu_mem_ctl.scala 255:58] + node _T_176 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 256:57] + node _T_177 = eq(_T_176, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 256:38] + node _T_178 = and(miss_pending, _T_177) @[el2_ifu_mem_ctl.scala 256:36] + node _T_179 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 256:86] + node _T_180 = and(_T_179, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 256:106] + node _T_181 = eq(_T_180, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 256:72] + node _T_182 = and(_T_178, _T_181) @[el2_ifu_mem_ctl.scala 256:70] + node _T_183 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 257:37] + node _T_184 = and(_T_183, crit_byp_hit_f) @[el2_ifu_mem_ctl.scala 257:57] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 257:23] + node _T_186 = and(_T_182, _T_185) @[el2_ifu_mem_ctl.scala 256:128] + node _T_187 = or(_T_186, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 257:77] + node _T_188 = eq(miss_nxtstate, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 258:36] + node _T_189 = and(miss_pending, _T_188) @[el2_ifu_mem_ctl.scala 258:19] + node sel_hold_imb = or(_T_187, _T_189) @[el2_ifu_mem_ctl.scala 257:93] + node _T_190 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 260:40] + node _T_191 = or(_T_190, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 260:57] + node _T_192 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 260:83] + node sel_hold_imb_scnd = and(_T_191, _T_192) @[el2_ifu_mem_ctl.scala 260:81] + node _T_193 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 261:46] + node way_status_mb_scnd_in = mux(_T_193, way_status_mb_scnd_ff, way_status) @[el2_ifu_mem_ctl.scala 261:34] + node _T_194 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 263:40] + node _T_195 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 263:96] node _T_196 = bits(_T_195, 0, 0) @[Bitwise.scala 72:15] node _T_197 = mux(_T_196, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_198 = and(_T_197, io.ic_tag_valid) @[el2_ifu_mem_ctl.scala 262:113] - node tagv_mb_scnd_in = mux(_T_194, tagv_mb_scnd_ff, _T_198) @[el2_ifu_mem_ctl.scala 262:28] - node _T_199 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 263:56] - node uncacheable_miss_scnd_in = mux(_T_199, uncacheable_miss_scnd_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 263:37] - reg _T_200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 264:38] - _T_200 <= uncacheable_miss_scnd_in @[el2_ifu_mem_ctl.scala 264:38] - uncacheable_miss_scnd_ff <= _T_200 @[el2_ifu_mem_ctl.scala 264:28] - node _T_201 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 265:43] - node imb_scnd_in = mux(_T_201, imb_scnd_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 265:24] - reg _T_202 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 266:25] - _T_202 <= imb_scnd_in @[el2_ifu_mem_ctl.scala 266:25] - imb_scnd_ff <= _T_202 @[el2_ifu_mem_ctl.scala 266:15] - reg _T_203 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 267:35] - _T_203 <= way_status_mb_scnd_in @[el2_ifu_mem_ctl.scala 267:35] - way_status_mb_scnd_ff <= _T_203 @[el2_ifu_mem_ctl.scala 267:25] - reg _T_204 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 268:29] - _T_204 <= tagv_mb_scnd_in @[el2_ifu_mem_ctl.scala 268:29] - tagv_mb_scnd_ff <= _T_204 @[el2_ifu_mem_ctl.scala 268:19] + node _T_198 = and(_T_197, io.ic_tag_valid) @[el2_ifu_mem_ctl.scala 263:113] + node tagv_mb_scnd_in = mux(_T_194, tagv_mb_scnd_ff, _T_198) @[el2_ifu_mem_ctl.scala 263:28] + node _T_199 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 264:56] + node uncacheable_miss_scnd_in = mux(_T_199, uncacheable_miss_scnd_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 264:37] + reg _T_200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 265:38] + _T_200 <= uncacheable_miss_scnd_in @[el2_ifu_mem_ctl.scala 265:38] + uncacheable_miss_scnd_ff <= _T_200 @[el2_ifu_mem_ctl.scala 265:28] + node _T_201 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 266:43] + node imb_scnd_in = mux(_T_201, imb_scnd_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 266:24] + reg _T_202 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 267:25] + _T_202 <= imb_scnd_in @[el2_ifu_mem_ctl.scala 267:25] + imb_scnd_ff <= _T_202 @[el2_ifu_mem_ctl.scala 267:15] + reg _T_203 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 268:35] + _T_203 <= way_status_mb_scnd_in @[el2_ifu_mem_ctl.scala 268:35] + way_status_mb_scnd_ff <= _T_203 @[el2_ifu_mem_ctl.scala 268:25] + reg _T_204 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 269:29] + _T_204 <= tagv_mb_scnd_in @[el2_ifu_mem_ctl.scala 269:29] + tagv_mb_scnd_ff <= _T_204 @[el2_ifu_mem_ctl.scala 269:19] node _T_205 = bits(bus_ifu_wr_en_ff, 0, 0) @[Bitwise.scala 72:15] node _T_206 = mux(_T_205, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node ic_wr_addr_bits_hi_3 = and(ifu_bus_rid_ff, _T_206) @[el2_ifu_mem_ctl.scala 271:45] + node ic_wr_addr_bits_hi_3 = and(ifu_bus_rid_ff, _T_206) @[el2_ifu_mem_ctl.scala 272:45] wire ifc_iccm_access_f : UInt<1> ifc_iccm_access_f <= UInt<1>("h00") wire ifc_region_acc_fault_final_f : UInt<1> ifc_region_acc_fault_final_f <= UInt<1>("h00") - node _T_207 = eq(ifc_iccm_access_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 274:48] - node _T_208 = and(ifc_fetch_req_f, _T_207) @[el2_ifu_mem_ctl.scala 274:46] - node _T_209 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 274:69] - node fetch_req_icache_f = and(_T_208, _T_209) @[el2_ifu_mem_ctl.scala 274:67] - node fetch_req_iccm_f = and(ifc_fetch_req_f, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 275:46] - node _T_210 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 276:45] - node _T_211 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 276:73] - node _T_212 = or(_T_210, _T_211) @[el2_ifu_mem_ctl.scala 276:59] - node _T_213 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 276:105] - node _T_214 = or(_T_212, _T_213) @[el2_ifu_mem_ctl.scala 276:91] - node ic_iccm_hit_f = and(fetch_req_iccm_f, _T_214) @[el2_ifu_mem_ctl.scala 276:41] + node _T_207 = eq(ifc_iccm_access_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 275:48] + node _T_208 = and(ifc_fetch_req_f, _T_207) @[el2_ifu_mem_ctl.scala 275:46] + node _T_209 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 275:69] + node fetch_req_icache_f = and(_T_208, _T_209) @[el2_ifu_mem_ctl.scala 275:67] + node fetch_req_iccm_f = and(ifc_fetch_req_f, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 276:46] + node _T_210 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 277:45] + node _T_211 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 277:73] + node _T_212 = or(_T_210, _T_211) @[el2_ifu_mem_ctl.scala 277:59] + node _T_213 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 277:105] + node _T_214 = or(_T_212, _T_213) @[el2_ifu_mem_ctl.scala 277:91] + node ic_iccm_hit_f = and(fetch_req_iccm_f, _T_214) @[el2_ifu_mem_ctl.scala 277:41] wire stream_hit_f : UInt<1> stream_hit_f <= UInt<1>("h00") - node _T_215 = or(crit_byp_hit_f, stream_hit_f) @[el2_ifu_mem_ctl.scala 278:35] - node _T_216 = and(_T_215, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 278:52] - node _T_217 = and(_T_216, miss_pending) @[el2_ifu_mem_ctl.scala 278:73] - ic_byp_hit_f <= _T_217 @[el2_ifu_mem_ctl.scala 278:16] + node _T_215 = or(crit_byp_hit_f, stream_hit_f) @[el2_ifu_mem_ctl.scala 279:35] + node _T_216 = and(_T_215, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 279:52] + node _T_217 = and(_T_216, miss_pending) @[el2_ifu_mem_ctl.scala 279:73] + ic_byp_hit_f <= _T_217 @[el2_ifu_mem_ctl.scala 279:16] wire sel_mb_addr_ff : UInt<1> sel_mb_addr_ff <= UInt<1>("h00") wire imb_ff : UInt<31> imb_ff <= UInt<1>("h00") wire ifu_fetch_addr_int_f : UInt<31> ifu_fetch_addr_int_f <= UInt<1>("h00") - node _T_218 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 282:35] - node _T_219 = and(_T_218, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 282:39] - node _T_220 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 282:62] - node _T_221 = and(_T_219, _T_220) @[el2_ifu_mem_ctl.scala 282:60] - node _T_222 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 282:81] - node _T_223 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 282:108] - node _T_224 = or(_T_222, _T_223) @[el2_ifu_mem_ctl.scala 282:95] - node _T_225 = and(_T_221, _T_224) @[el2_ifu_mem_ctl.scala 282:78] - node _T_226 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 282:128] - node ic_act_hit_f = and(_T_225, _T_226) @[el2_ifu_mem_ctl.scala 282:126] - node _T_227 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 283:37] - node _T_228 = eq(_T_227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:23] - node _T_229 = or(_T_228, reset_all_tags) @[el2_ifu_mem_ctl.scala 283:41] - node _T_230 = and(_T_229, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 283:59] - node _T_231 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:82] - node _T_232 = and(_T_230, _T_231) @[el2_ifu_mem_ctl.scala 283:80] - node _T_233 = or(_T_232, scnd_miss_req) @[el2_ifu_mem_ctl.scala 283:97] - node _T_234 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:116] - node _T_235 = and(_T_233, _T_234) @[el2_ifu_mem_ctl.scala 283:114] - ic_act_miss_f <= _T_235 @[el2_ifu_mem_ctl.scala 283:17] - node _T_236 = eq(io.ic_rd_hit, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:28] - node _T_237 = or(_T_236, reset_all_tags) @[el2_ifu_mem_ctl.scala 284:42] - node _T_238 = and(_T_237, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 284:60] - node _T_239 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 284:94] - node _T_240 = and(_T_238, _T_239) @[el2_ifu_mem_ctl.scala 284:81] - node _T_241 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 285:12] - node _T_242 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 285:63] - node _T_243 = neq(_T_241, _T_242) @[el2_ifu_mem_ctl.scala 285:39] - node _T_244 = and(_T_240, _T_243) @[el2_ifu_mem_ctl.scala 284:111] - node _T_245 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 285:93] - node _T_246 = and(_T_244, _T_245) @[el2_ifu_mem_ctl.scala 285:91] - node _T_247 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 285:116] - node _T_248 = and(_T_246, _T_247) @[el2_ifu_mem_ctl.scala 285:114] - node _T_249 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 285:134] - node _T_250 = and(_T_248, _T_249) @[el2_ifu_mem_ctl.scala 285:132] - ic_miss_under_miss_f <= _T_250 @[el2_ifu_mem_ctl.scala 284:24] - node _T_251 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 286:42] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:28] - node _T_253 = or(_T_252, reset_all_tags) @[el2_ifu_mem_ctl.scala 286:46] - node _T_254 = and(_T_253, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 286:64] - node _T_255 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 286:99] - node _T_256 = and(_T_254, _T_255) @[el2_ifu_mem_ctl.scala 286:85] - node _T_257 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 287:13] - node _T_258 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 287:62] - node _T_259 = eq(_T_257, _T_258) @[el2_ifu_mem_ctl.scala 287:39] - node _T_260 = or(_T_259, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 287:91] - node _T_261 = and(_T_256, _T_260) @[el2_ifu_mem_ctl.scala 286:117] - ic_ignore_2nd_miss_f <= _T_261 @[el2_ifu_mem_ctl.scala 286:24] - node _T_262 = or(ic_act_hit_f, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 289:31] - node _T_263 = or(_T_262, ic_iccm_hit_f) @[el2_ifu_mem_ctl.scala 289:46] - node _T_264 = and(ifc_region_acc_fault_final_f, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 289:94] - node _T_265 = or(_T_263, _T_264) @[el2_ifu_mem_ctl.scala 289:62] - io.ic_hit_f <= _T_265 @[el2_ifu_mem_ctl.scala 289:15] - node _T_266 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 290:47] - node _T_267 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 290:98] - node _T_268 = mux(_T_267, uncacheable_miss_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 290:84] - node uncacheable_miss_in = mux(_T_266, uncacheable_miss_scnd_ff, _T_268) @[el2_ifu_mem_ctl.scala 290:32] - node _T_269 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 291:34] - node _T_270 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 291:72] - node _T_271 = mux(_T_270, imb_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 291:58] - node imb_in = mux(_T_269, imb_scnd_ff, _T_271) @[el2_ifu_mem_ctl.scala 291:19] + node _T_218 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 283:35] + node _T_219 = and(_T_218, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 283:39] + node _T_220 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:62] + node _T_221 = and(_T_219, _T_220) @[el2_ifu_mem_ctl.scala 283:60] + node _T_222 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:81] + node _T_223 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 283:108] + node _T_224 = or(_T_222, _T_223) @[el2_ifu_mem_ctl.scala 283:95] + node _T_225 = and(_T_221, _T_224) @[el2_ifu_mem_ctl.scala 283:78] + node _T_226 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:128] + node ic_act_hit_f = and(_T_225, _T_226) @[el2_ifu_mem_ctl.scala 283:126] + node _T_227 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 284:37] + node _T_228 = eq(_T_227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:23] + node _T_229 = or(_T_228, reset_all_tags) @[el2_ifu_mem_ctl.scala 284:41] + node _T_230 = and(_T_229, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 284:59] + node _T_231 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:82] + node _T_232 = and(_T_230, _T_231) @[el2_ifu_mem_ctl.scala 284:80] + node _T_233 = or(_T_232, scnd_miss_req) @[el2_ifu_mem_ctl.scala 284:97] + node _T_234 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:116] + node _T_235 = and(_T_233, _T_234) @[el2_ifu_mem_ctl.scala 284:114] + ic_act_miss_f <= _T_235 @[el2_ifu_mem_ctl.scala 284:17] + node _T_236 = eq(io.ic_rd_hit, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 285:28] + node _T_237 = or(_T_236, reset_all_tags) @[el2_ifu_mem_ctl.scala 285:42] + node _T_238 = and(_T_237, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 285:60] + node _T_239 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 285:94] + node _T_240 = and(_T_238, _T_239) @[el2_ifu_mem_ctl.scala 285:81] + node _T_241 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 286:12] + node _T_242 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 286:63] + node _T_243 = neq(_T_241, _T_242) @[el2_ifu_mem_ctl.scala 286:39] + node _T_244 = and(_T_240, _T_243) @[el2_ifu_mem_ctl.scala 285:111] + node _T_245 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:93] + node _T_246 = and(_T_244, _T_245) @[el2_ifu_mem_ctl.scala 286:91] + node _T_247 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:116] + node _T_248 = and(_T_246, _T_247) @[el2_ifu_mem_ctl.scala 286:114] + node _T_249 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:134] + node _T_250 = and(_T_248, _T_249) @[el2_ifu_mem_ctl.scala 286:132] + ic_miss_under_miss_f <= _T_250 @[el2_ifu_mem_ctl.scala 285:24] + node _T_251 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 287:42] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 287:28] + node _T_253 = or(_T_252, reset_all_tags) @[el2_ifu_mem_ctl.scala 287:46] + node _T_254 = and(_T_253, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 287:64] + node _T_255 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 287:99] + node _T_256 = and(_T_254, _T_255) @[el2_ifu_mem_ctl.scala 287:85] + node _T_257 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 288:13] + node _T_258 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 288:62] + node _T_259 = eq(_T_257, _T_258) @[el2_ifu_mem_ctl.scala 288:39] + node _T_260 = or(_T_259, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 288:91] + node _T_261 = and(_T_256, _T_260) @[el2_ifu_mem_ctl.scala 287:117] + ic_ignore_2nd_miss_f <= _T_261 @[el2_ifu_mem_ctl.scala 287:24] + node _T_262 = or(ic_act_hit_f, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 290:31] + node _T_263 = or(_T_262, ic_iccm_hit_f) @[el2_ifu_mem_ctl.scala 290:46] + node _T_264 = and(ifc_region_acc_fault_final_f, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 290:94] + node _T_265 = or(_T_263, _T_264) @[el2_ifu_mem_ctl.scala 290:62] + io.ic_hit_f <= _T_265 @[el2_ifu_mem_ctl.scala 290:15] + node _T_266 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 291:47] + node _T_267 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 291:98] + node _T_268 = mux(_T_267, uncacheable_miss_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 291:84] + node uncacheable_miss_in = mux(_T_266, uncacheable_miss_scnd_ff, _T_268) @[el2_ifu_mem_ctl.scala 291:32] + node _T_269 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 292:34] + node _T_270 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 292:72] + node _T_271 = mux(_T_270, imb_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 292:58] + node imb_in = mux(_T_269, imb_scnd_ff, _T_271) @[el2_ifu_mem_ctl.scala 292:19] wire ifu_wr_cumulative_err_data : UInt<1> ifu_wr_cumulative_err_data <= UInt<1>("h00") - node _T_272 = bits(imb_ff, 12, 6) @[el2_ifu_mem_ctl.scala 293:38] - node _T_273 = bits(imb_scnd_ff, 12, 6) @[el2_ifu_mem_ctl.scala 293:89] - node _T_274 = eq(_T_272, _T_273) @[el2_ifu_mem_ctl.scala 293:75] - node _T_275 = and(_T_274, scnd_miss_req) @[el2_ifu_mem_ctl.scala 293:127] - node _T_276 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 293:145] - node scnd_miss_index_match = and(_T_275, _T_276) @[el2_ifu_mem_ctl.scala 293:143] + node _T_272 = bits(imb_ff, 12, 6) @[el2_ifu_mem_ctl.scala 294:38] + node _T_273 = bits(imb_scnd_ff, 12, 6) @[el2_ifu_mem_ctl.scala 294:89] + node _T_274 = eq(_T_272, _T_273) @[el2_ifu_mem_ctl.scala 294:75] + node _T_275 = and(_T_274, scnd_miss_req) @[el2_ifu_mem_ctl.scala 294:127] + node _T_276 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 294:145] + node scnd_miss_index_match = and(_T_275, _T_276) @[el2_ifu_mem_ctl.scala 294:143] wire way_status_mb_ff : UInt<1> way_status_mb_ff <= UInt<1>("h00") wire way_status_rep_new : UInt<1> way_status_rep_new <= UInt<1>("h00") - node _T_277 = eq(scnd_miss_index_match, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 296:47] - node _T_278 = and(scnd_miss_req, _T_277) @[el2_ifu_mem_ctl.scala 296:45] - node _T_279 = bits(_T_278, 0, 0) @[el2_ifu_mem_ctl.scala 296:71] - node _T_280 = and(scnd_miss_req, scnd_miss_index_match) @[el2_ifu_mem_ctl.scala 297:26] - node _T_281 = bits(_T_280, 0, 0) @[el2_ifu_mem_ctl.scala 297:52] - node _T_282 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 298:26] - node _T_283 = mux(_T_282, way_status_mb_ff, way_status) @[el2_ifu_mem_ctl.scala 298:12] - node _T_284 = mux(_T_281, way_status_rep_new, _T_283) @[el2_ifu_mem_ctl.scala 297:10] - node way_status_mb_in = mux(_T_279, way_status_mb_scnd_ff, _T_284) @[el2_ifu_mem_ctl.scala 296:29] - wire replace_way_mb_any : UInt<1>[2] @[el2_ifu_mem_ctl.scala 299:32] + node _T_277 = eq(scnd_miss_index_match, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 297:47] + node _T_278 = and(scnd_miss_req, _T_277) @[el2_ifu_mem_ctl.scala 297:45] + node _T_279 = bits(_T_278, 0, 0) @[el2_ifu_mem_ctl.scala 297:71] + node _T_280 = and(scnd_miss_req, scnd_miss_index_match) @[el2_ifu_mem_ctl.scala 298:26] + node _T_281 = bits(_T_280, 0, 0) @[el2_ifu_mem_ctl.scala 298:52] + node _T_282 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 299:26] + node _T_283 = mux(_T_282, way_status_mb_ff, way_status) @[el2_ifu_mem_ctl.scala 299:12] + node _T_284 = mux(_T_281, way_status_rep_new, _T_283) @[el2_ifu_mem_ctl.scala 298:10] + node way_status_mb_in = mux(_T_279, way_status_mb_scnd_ff, _T_284) @[el2_ifu_mem_ctl.scala 297:29] + wire replace_way_mb_any : UInt<1>[2] @[el2_ifu_mem_ctl.scala 300:32] wire tagv_mb_ff : UInt<2> tagv_mb_ff <= UInt<1>("h00") - node _T_285 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 301:38] + node _T_285 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 302:38] node _T_286 = bits(scnd_miss_index_match, 0, 0) @[Bitwise.scala 72:15] node _T_287 = mux(_T_286, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] node _T_288 = cat(replace_way_mb_any[1], replace_way_mb_any[0]) @[Cat.scala 29:58] - node _T_289 = and(_T_287, _T_288) @[el2_ifu_mem_ctl.scala 301:110] - node _T_290 = or(tagv_mb_scnd_ff, _T_289) @[el2_ifu_mem_ctl.scala 301:62] - node _T_291 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 302:20] - node _T_292 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 302:80] + node _T_289 = and(_T_287, _T_288) @[el2_ifu_mem_ctl.scala 302:110] + node _T_290 = or(tagv_mb_scnd_ff, _T_289) @[el2_ifu_mem_ctl.scala 302:62] + node _T_291 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 303:20] + node _T_292 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 303:80] node _T_293 = bits(_T_292, 0, 0) @[Bitwise.scala 72:15] node _T_294 = mux(_T_293, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_295 = and(io.ic_tag_valid, _T_294) @[el2_ifu_mem_ctl.scala 302:56] - node _T_296 = mux(_T_291, tagv_mb_ff, _T_295) @[el2_ifu_mem_ctl.scala 302:6] - node tagv_mb_in = mux(_T_285, _T_290, _T_296) @[el2_ifu_mem_ctl.scala 301:23] + node _T_295 = and(io.ic_tag_valid, _T_294) @[el2_ifu_mem_ctl.scala 303:56] + node _T_296 = mux(_T_291, tagv_mb_ff, _T_295) @[el2_ifu_mem_ctl.scala 303:6] + node tagv_mb_in = mux(_T_285, _T_290, _T_296) @[el2_ifu_mem_ctl.scala 302:23] wire scnd_miss_req_q : UInt<1> scnd_miss_req_q <= UInt<1>("h00") wire reset_ic_ff : UInt<1> reset_ic_ff <= UInt<1>("h00") - node _T_297 = eq(scnd_miss_req_q, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 305:36] - node _T_298 = and(miss_pending, _T_297) @[el2_ifu_mem_ctl.scala 305:34] - node _T_299 = or(reset_all_tags, reset_ic_ff) @[el2_ifu_mem_ctl.scala 305:72] - node reset_ic_in = and(_T_298, _T_299) @[el2_ifu_mem_ctl.scala 305:53] - reg _T_300 : UInt, clock @[el2_ifu_mem_ctl.scala 306:25] - _T_300 <= reset_ic_in @[el2_ifu_mem_ctl.scala 306:25] - reset_ic_ff <= _T_300 @[el2_ifu_mem_ctl.scala 306:15] - reg fetch_uncacheable_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 307:37] - fetch_uncacheable_ff <= io.ifc_fetch_uncacheable_bf @[el2_ifu_mem_ctl.scala 307:37] - reg _T_301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 308:34] - _T_301 <= io.ifc_fetch_addr_bf @[el2_ifu_mem_ctl.scala 308:34] - ifu_fetch_addr_int_f <= _T_301 @[el2_ifu_mem_ctl.scala 308:24] - node vaddr_f = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 309:37] - reg _T_302 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 310:33] - _T_302 <= uncacheable_miss_in @[el2_ifu_mem_ctl.scala 310:33] - uncacheable_miss_ff <= _T_302 @[el2_ifu_mem_ctl.scala 310:23] - reg _T_303 : UInt, clock @[el2_ifu_mem_ctl.scala 311:20] - _T_303 <= imb_in @[el2_ifu_mem_ctl.scala 311:20] - imb_ff <= _T_303 @[el2_ifu_mem_ctl.scala 311:10] + node _T_297 = eq(scnd_miss_req_q, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 306:36] + node _T_298 = and(miss_pending, _T_297) @[el2_ifu_mem_ctl.scala 306:34] + node _T_299 = or(reset_all_tags, reset_ic_ff) @[el2_ifu_mem_ctl.scala 306:72] + node reset_ic_in = and(_T_298, _T_299) @[el2_ifu_mem_ctl.scala 306:53] + reg _T_300 : UInt, clock @[el2_ifu_mem_ctl.scala 307:25] + _T_300 <= reset_ic_in @[el2_ifu_mem_ctl.scala 307:25] + reset_ic_ff <= _T_300 @[el2_ifu_mem_ctl.scala 307:15] + reg fetch_uncacheable_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 308:37] + fetch_uncacheable_ff <= io.ifc_fetch_uncacheable_bf @[el2_ifu_mem_ctl.scala 308:37] + reg _T_301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 309:34] + _T_301 <= io.ifc_fetch_addr_bf @[el2_ifu_mem_ctl.scala 309:34] + ifu_fetch_addr_int_f <= _T_301 @[el2_ifu_mem_ctl.scala 309:24] + node vaddr_f = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 310:37] + reg _T_302 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 311:33] + _T_302 <= uncacheable_miss_in @[el2_ifu_mem_ctl.scala 311:33] + uncacheable_miss_ff <= _T_302 @[el2_ifu_mem_ctl.scala 311:23] + reg _T_303 : UInt, clock @[el2_ifu_mem_ctl.scala 312:20] + _T_303 <= imb_in @[el2_ifu_mem_ctl.scala 312:20] + imb_ff <= _T_303 @[el2_ifu_mem_ctl.scala 312:10] wire miss_addr : UInt<26> miss_addr <= UInt<1>("h00") - node _T_304 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 313:26] - node _T_305 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 313:47] - node _T_306 = bits(scnd_miss_req_q, 0, 0) @[el2_ifu_mem_ctl.scala 314:25] - node _T_307 = bits(imb_scnd_ff, 30, 5) @[el2_ifu_mem_ctl.scala 314:44] - node _T_308 = mux(_T_306, _T_307, miss_addr) @[el2_ifu_mem_ctl.scala 314:8] - node miss_addr_in = mux(_T_304, _T_305, _T_308) @[el2_ifu_mem_ctl.scala 313:25] - reg _T_309 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 315:23] - _T_309 <= miss_addr_in @[el2_ifu_mem_ctl.scala 315:23] - miss_addr <= _T_309 @[el2_ifu_mem_ctl.scala 315:13] - reg _T_310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 316:30] - _T_310 <= way_status_mb_in @[el2_ifu_mem_ctl.scala 316:30] - way_status_mb_ff <= _T_310 @[el2_ifu_mem_ctl.scala 316:20] - reg _T_311 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 317:24] - _T_311 <= tagv_mb_in @[el2_ifu_mem_ctl.scala 317:24] - tagv_mb_ff <= _T_311 @[el2_ifu_mem_ctl.scala 317:14] + node _T_304 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 314:26] + node _T_305 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 314:47] + node _T_306 = bits(scnd_miss_req_q, 0, 0) @[el2_ifu_mem_ctl.scala 315:25] + node _T_307 = bits(imb_scnd_ff, 30, 5) @[el2_ifu_mem_ctl.scala 315:44] + node _T_308 = mux(_T_306, _T_307, miss_addr) @[el2_ifu_mem_ctl.scala 315:8] + node miss_addr_in = mux(_T_304, _T_305, _T_308) @[el2_ifu_mem_ctl.scala 314:25] + reg _T_309 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 316:23] + _T_309 <= miss_addr_in @[el2_ifu_mem_ctl.scala 316:23] + miss_addr <= _T_309 @[el2_ifu_mem_ctl.scala 316:13] + reg _T_310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 317:30] + _T_310 <= way_status_mb_in @[el2_ifu_mem_ctl.scala 317:30] + way_status_mb_ff <= _T_310 @[el2_ifu_mem_ctl.scala 317:20] + reg _T_311 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 318:24] + _T_311 <= tagv_mb_in @[el2_ifu_mem_ctl.scala 318:24] + tagv_mb_ff <= _T_311 @[el2_ifu_mem_ctl.scala 318:14] wire stream_miss_f : UInt<1> stream_miss_f <= UInt<1>("h00") - node _T_312 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 319:68] - node _T_313 = and(_T_312, flush_final_f) @[el2_ifu_mem_ctl.scala 319:87] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 319:55] - node _T_315 = and(io.ifc_fetch_req_bf, _T_314) @[el2_ifu_mem_ctl.scala 319:53] - node _T_316 = eq(stream_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 319:106] - node ifc_fetch_req_qual_bf = and(_T_315, _T_316) @[el2_ifu_mem_ctl.scala 319:104] - reg ifc_fetch_req_f_raw : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 320:36] - ifc_fetch_req_f_raw <= ifc_fetch_req_qual_bf @[el2_ifu_mem_ctl.scala 320:36] - node _T_317 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 321:44] - node _T_318 = and(ifc_fetch_req_f_raw, _T_317) @[el2_ifu_mem_ctl.scala 321:42] - ifc_fetch_req_f <= _T_318 @[el2_ifu_mem_ctl.scala 321:19] - reg _T_319 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 322:31] - _T_319 <= io.ifc_iccm_access_bf @[el2_ifu_mem_ctl.scala 322:31] - ifc_iccm_access_f <= _T_319 @[el2_ifu_mem_ctl.scala 322:21] + node _T_312 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 320:68] + node _T_313 = and(_T_312, flush_final_f) @[el2_ifu_mem_ctl.scala 320:87] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 320:55] + node _T_315 = and(io.ifc_fetch_req_bf, _T_314) @[el2_ifu_mem_ctl.scala 320:53] + node _T_316 = eq(stream_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 320:106] + node ifc_fetch_req_qual_bf = and(_T_315, _T_316) @[el2_ifu_mem_ctl.scala 320:104] + reg ifc_fetch_req_f_raw : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 321:36] + ifc_fetch_req_f_raw <= ifc_fetch_req_qual_bf @[el2_ifu_mem_ctl.scala 321:36] + node _T_317 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 322:44] + node _T_318 = and(ifc_fetch_req_f_raw, _T_317) @[el2_ifu_mem_ctl.scala 322:42] + ifc_fetch_req_f <= _T_318 @[el2_ifu_mem_ctl.scala 322:19] + reg _T_319 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 323:31] + _T_319 <= io.ifc_iccm_access_bf @[el2_ifu_mem_ctl.scala 323:31] + ifc_iccm_access_f <= _T_319 @[el2_ifu_mem_ctl.scala 323:21] wire ifc_region_acc_fault_final_bf : UInt<1> ifc_region_acc_fault_final_bf <= UInt<1>("h00") - reg _T_320 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 324:42] - _T_320 <= ifc_region_acc_fault_final_bf @[el2_ifu_mem_ctl.scala 324:42] - ifc_region_acc_fault_final_f <= _T_320 @[el2_ifu_mem_ctl.scala 324:32] - reg ifc_region_acc_fault_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 325:39] - ifc_region_acc_fault_f <= io.ifc_region_acc_fault_bf @[el2_ifu_mem_ctl.scala 325:39] + reg _T_320 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 325:42] + _T_320 <= ifc_region_acc_fault_final_bf @[el2_ifu_mem_ctl.scala 325:42] + ifc_region_acc_fault_final_f <= _T_320 @[el2_ifu_mem_ctl.scala 325:32] + reg ifc_region_acc_fault_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 326:39] + ifc_region_acc_fault_f <= io.ifc_region_acc_fault_bf @[el2_ifu_mem_ctl.scala 326:39] node ifu_ic_req_addr_f = cat(miss_addr, bus_rd_addr_count) @[Cat.scala 29:58] - node _T_321 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 327:38] - node _T_322 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 327:68] - node _T_323 = or(_T_321, _T_322) @[el2_ifu_mem_ctl.scala 327:55] - node _T_324 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 327:103] - node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 327:84] - node _T_326 = and(_T_323, _T_325) @[el2_ifu_mem_ctl.scala 327:82] - node _T_327 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 327:119] - node _T_328 = or(_T_326, _T_327) @[el2_ifu_mem_ctl.scala 327:117] - io.ifu_ic_mb_empty <= _T_328 @[el2_ifu_mem_ctl.scala 327:22] - node _T_329 = eq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 328:40] - io.ifu_miss_state_idle <= _T_329 @[el2_ifu_mem_ctl.scala 328:26] + node _T_321 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 328:38] + node _T_322 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 328:68] + node _T_323 = or(_T_321, _T_322) @[el2_ifu_mem_ctl.scala 328:55] + node _T_324 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 328:103] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 328:84] + node _T_326 = and(_T_323, _T_325) @[el2_ifu_mem_ctl.scala 328:82] + node _T_327 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 328:119] + node _T_328 = or(_T_326, _T_327) @[el2_ifu_mem_ctl.scala 328:117] + io.ifu_ic_mb_empty <= _T_328 @[el2_ifu_mem_ctl.scala 328:22] + node _T_329 = eq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 329:40] + io.ifu_miss_state_idle <= _T_329 @[el2_ifu_mem_ctl.scala 329:26] wire write_ic_16_bytes : UInt<1> write_ic_16_bytes <= UInt<1>("h00") wire reset_tag_valid_for_miss : UInt<1> reset_tag_valid_for_miss <= UInt<1>("h00") - node _T_330 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 331:35] - node _T_331 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 331:57] - node _T_332 = and(_T_330, _T_331) @[el2_ifu_mem_ctl.scala 331:55] - node sel_mb_addr = or(_T_332, reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 331:79] - node _T_333 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 332:63] - node _T_334 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 332:119] + node _T_330 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 332:35] + node _T_331 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 332:57] + node _T_332 = and(_T_330, _T_331) @[el2_ifu_mem_ctl.scala 332:55] + node sel_mb_addr = or(_T_332, reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 332:79] + node _T_333 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 333:63] + node _T_334 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 333:119] node _T_335 = cat(_T_333, ic_wr_addr_bits_hi_3) @[Cat.scala 29:58] node _T_336 = cat(_T_335, _T_334) @[Cat.scala 29:58] - node _T_337 = eq(sel_mb_addr, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 333:37] + node _T_337 = eq(sel_mb_addr, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 334:37] node _T_338 = mux(sel_mb_addr, _T_336, UInt<1>("h00")) @[Mux.scala 27:72] node _T_339 = mux(_T_337, io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Mux.scala 27:72] node _T_340 = or(_T_338, _T_339) @[Mux.scala 27:72] @@ -591,20 +591,20 @@ circuit el2_ifu_mem_ctl : ifu_ic_rw_int_addr <= _T_340 @[Mux.scala 27:72] wire bus_ifu_wr_en_ff_q : UInt<1> bus_ifu_wr_en_ff_q <= UInt<1>("h00") - node _T_341 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 335:41] - node _T_342 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 335:63] - node _T_343 = and(_T_341, _T_342) @[el2_ifu_mem_ctl.scala 335:61] - node _T_344 = and(_T_343, last_beat) @[el2_ifu_mem_ctl.scala 335:84] - node sel_mb_status_addr = and(_T_344, bus_ifu_wr_en_ff_q) @[el2_ifu_mem_ctl.scala 335:96] - node _T_345 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 336:62] - node _T_346 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 336:116] + node _T_341 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 336:41] + node _T_342 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 336:63] + node _T_343 = and(_T_341, _T_342) @[el2_ifu_mem_ctl.scala 336:61] + node _T_344 = and(_T_343, last_beat) @[el2_ifu_mem_ctl.scala 336:84] + node sel_mb_status_addr = and(_T_344, bus_ifu_wr_en_ff_q) @[el2_ifu_mem_ctl.scala 336:96] + node _T_345 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 337:62] + node _T_346 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 337:116] node _T_347 = cat(_T_345, ic_wr_addr_bits_hi_3) @[Cat.scala 29:58] node _T_348 = cat(_T_347, _T_346) @[Cat.scala 29:58] - node ifu_status_wr_addr = mux(sel_mb_status_addr, _T_348, ifu_fetch_addr_int_f) @[el2_ifu_mem_ctl.scala 336:31] - io.ic_rw_addr <= ifu_ic_rw_int_addr @[el2_ifu_mem_ctl.scala 337:17] - reg _T_349 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 338:51] - _T_349 <= sel_mb_addr @[el2_ifu_mem_ctl.scala 338:51] - sel_mb_addr_ff <= _T_349 @[el2_ifu_mem_ctl.scala 338:18] + node ifu_status_wr_addr = mux(sel_mb_status_addr, _T_348, ifu_fetch_addr_int_f) @[el2_ifu_mem_ctl.scala 337:31] + io.ic_rw_addr <= ifu_ic_rw_int_addr @[el2_ifu_mem_ctl.scala 338:17] + reg _T_349 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 339:51] + _T_349 <= sel_mb_addr @[el2_ifu_mem_ctl.scala 339:51] + sel_mb_addr_ff <= _T_349 @[el2_ifu_mem_ctl.scala 339:18] wire ifu_bus_rdata_ff : UInt<64> ifu_bus_rdata_ff <= UInt<1>("h00") wire ic_miss_buff_half : UInt<64> @@ -1867,24 +1867,24 @@ circuit el2_ifu_mem_ctl : node ic_miss_buff_ecc = cat(_T_1193, _T_1190) @[Cat.scala 29:58] wire ic_wr_16bytes_data : UInt<142> ic_wr_16bytes_data <= UInt<1>("h00") - node _T_1194 = bits(ic_wr_16bytes_data, 70, 0) @[el2_ifu_mem_ctl.scala 344:72] - node _T_1195 = bits(ic_wr_16bytes_data, 141, 71) @[el2_ifu_mem_ctl.scala 344:72] - io.ic_wr_data[0] <= _T_1194 @[el2_ifu_mem_ctl.scala 344:17] - io.ic_wr_data[1] <= _T_1195 @[el2_ifu_mem_ctl.scala 344:17] - io.ic_debug_wr_data <= io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_ifu_mem_ctl.scala 345:23] + node _T_1194 = bits(ic_wr_16bytes_data, 70, 0) @[el2_ifu_mem_ctl.scala 345:72] + node _T_1195 = bits(ic_wr_16bytes_data, 141, 71) @[el2_ifu_mem_ctl.scala 345:72] + io.ic_wr_data[0] <= _T_1194 @[el2_ifu_mem_ctl.scala 345:17] + io.ic_wr_data[1] <= _T_1195 @[el2_ifu_mem_ctl.scala 345:17] + io.ic_debug_wr_data <= io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_ifu_mem_ctl.scala 346:23] wire ic_rd_parity_final_err : UInt<1> ic_rd_parity_final_err <= UInt<1>("h00") - node _T_1196 = orr(io.ic_eccerr) @[el2_ifu_mem_ctl.scala 347:56] - node _T_1197 = and(_T_1196, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 347:83] - node _T_1198 = or(_T_1197, ic_rd_parity_final_err) @[el2_ifu_mem_ctl.scala 347:99] - io.ic_error_start <= _T_1198 @[el2_ifu_mem_ctl.scala 347:21] + node _T_1196 = orr(io.ic_eccerr) @[el2_ifu_mem_ctl.scala 348:56] + node _T_1197 = and(_T_1196, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 348:83] + node _T_1198 = or(_T_1197, ic_rd_parity_final_err) @[el2_ifu_mem_ctl.scala 348:99] + io.ic_error_start <= _T_1198 @[el2_ifu_mem_ctl.scala 348:21] wire ic_debug_tag_val_rd_out : UInt<1> ic_debug_tag_val_rd_out <= UInt<1>("h00") wire ic_debug_ict_array_sel_ff : UInt<1> ic_debug_ict_array_sel_ff <= UInt<1>("h00") - node _T_1199 = bits(ic_debug_ict_array_sel_ff, 0, 0) @[el2_ifu_mem_ctl.scala 350:63] - node _T_1200 = bits(io.ictag_debug_rd_data, 25, 21) @[el2_ifu_mem_ctl.scala 350:121] - node _T_1201 = bits(io.ictag_debug_rd_data, 20, 0) @[el2_ifu_mem_ctl.scala 350:161] + node _T_1199 = bits(ic_debug_ict_array_sel_ff, 0, 0) @[el2_ifu_mem_ctl.scala 351:63] + node _T_1200 = bits(io.ictag_debug_rd_data, 25, 21) @[el2_ifu_mem_ctl.scala 351:121] + node _T_1201 = bits(io.ictag_debug_rd_data, 20, 0) @[el2_ifu_mem_ctl.scala 351:161] node _T_1202 = cat(UInt<3>("h00"), ic_debug_tag_val_rd_out) @[Cat.scala 29:58] node _T_1203 = cat(UInt<1>("h00"), way_status) @[Cat.scala 29:58] node _T_1204 = cat(_T_1203, _T_1202) @[Cat.scala 29:58] @@ -1892,287 +1892,287 @@ circuit el2_ifu_mem_ctl : node _T_1206 = cat(UInt<2>("h00"), _T_1200) @[Cat.scala 29:58] node _T_1207 = cat(_T_1206, _T_1205) @[Cat.scala 29:58] node _T_1208 = cat(_T_1207, _T_1204) @[Cat.scala 29:58] - node ifu_ic_debug_rd_data_in = mux(_T_1199, _T_1208, io.ic_debug_rd_data) @[el2_ifu_mem_ctl.scala 350:36] + node ifu_ic_debug_rd_data_in = mux(_T_1199, _T_1208, io.ic_debug_rd_data) @[el2_ifu_mem_ctl.scala 351:36] reg _T_1209 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ic_debug_rd_en_ff : @[Reg.scala 28:19] _T_1209 <= ifu_ic_debug_rd_data_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.ifu_ic_debug_rd_data <= _T_1209 @[el2_ifu_mem_ctl.scala 353:27] - node _T_1210 = bits(ifu_bus_rdata_ff, 15, 0) @[el2_ifu_mem_ctl.scala 354:74] + io.ifu_ic_debug_rd_data <= _T_1209 @[el2_ifu_mem_ctl.scala 354:27] + node _T_1210 = bits(ifu_bus_rdata_ff, 15, 0) @[el2_ifu_mem_ctl.scala 355:74] node _T_1211 = xorr(_T_1210) @[el2_lib.scala 208:13] - node _T_1212 = bits(ifu_bus_rdata_ff, 31, 16) @[el2_ifu_mem_ctl.scala 354:74] + node _T_1212 = bits(ifu_bus_rdata_ff, 31, 16) @[el2_ifu_mem_ctl.scala 355:74] node _T_1213 = xorr(_T_1212) @[el2_lib.scala 208:13] - node _T_1214 = bits(ifu_bus_rdata_ff, 47, 32) @[el2_ifu_mem_ctl.scala 354:74] + node _T_1214 = bits(ifu_bus_rdata_ff, 47, 32) @[el2_ifu_mem_ctl.scala 355:74] node _T_1215 = xorr(_T_1214) @[el2_lib.scala 208:13] - node _T_1216 = bits(ifu_bus_rdata_ff, 63, 48) @[el2_ifu_mem_ctl.scala 354:74] + node _T_1216 = bits(ifu_bus_rdata_ff, 63, 48) @[el2_ifu_mem_ctl.scala 355:74] node _T_1217 = xorr(_T_1216) @[el2_lib.scala 208:13] node _T_1218 = cat(_T_1217, _T_1215) @[Cat.scala 29:58] node _T_1219 = cat(_T_1218, _T_1213) @[Cat.scala 29:58] node ic_wr_parity = cat(_T_1219, _T_1211) @[Cat.scala 29:58] - node _T_1220 = bits(ic_miss_buff_half, 15, 0) @[el2_ifu_mem_ctl.scala 355:82] + node _T_1220 = bits(ic_miss_buff_half, 15, 0) @[el2_ifu_mem_ctl.scala 356:82] node _T_1221 = xorr(_T_1220) @[el2_lib.scala 208:13] - node _T_1222 = bits(ic_miss_buff_half, 31, 16) @[el2_ifu_mem_ctl.scala 355:82] + node _T_1222 = bits(ic_miss_buff_half, 31, 16) @[el2_ifu_mem_ctl.scala 356:82] node _T_1223 = xorr(_T_1222) @[el2_lib.scala 208:13] - node _T_1224 = bits(ic_miss_buff_half, 47, 32) @[el2_ifu_mem_ctl.scala 355:82] + node _T_1224 = bits(ic_miss_buff_half, 47, 32) @[el2_ifu_mem_ctl.scala 356:82] node _T_1225 = xorr(_T_1224) @[el2_lib.scala 208:13] - node _T_1226 = bits(ic_miss_buff_half, 63, 48) @[el2_ifu_mem_ctl.scala 355:82] + node _T_1226 = bits(ic_miss_buff_half, 63, 48) @[el2_ifu_mem_ctl.scala 356:82] node _T_1227 = xorr(_T_1226) @[el2_lib.scala 208:13] node _T_1228 = cat(_T_1227, _T_1225) @[Cat.scala 29:58] node _T_1229 = cat(_T_1228, _T_1223) @[Cat.scala 29:58] node ic_miss_buff_parity = cat(_T_1229, _T_1221) @[Cat.scala 29:58] - node _T_1230 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 357:43] - node _T_1231 = bits(_T_1230, 0, 0) @[el2_ifu_mem_ctl.scala 357:47] + node _T_1230 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 358:43] + node _T_1231 = bits(_T_1230, 0, 0) @[el2_ifu_mem_ctl.scala 358:47] node _T_1232 = cat(ic_miss_buff_ecc, ic_miss_buff_half) @[Cat.scala 29:58] node _T_1233 = cat(ic_wr_ecc, ifu_bus_rdata_ff) @[Cat.scala 29:58] node _T_1234 = cat(_T_1233, _T_1232) @[Cat.scala 29:58] node _T_1235 = cat(ic_wr_ecc, ifu_bus_rdata_ff) @[Cat.scala 29:58] node _T_1236 = cat(ic_miss_buff_ecc, ic_miss_buff_half) @[Cat.scala 29:58] node _T_1237 = cat(_T_1236, _T_1235) @[Cat.scala 29:58] - node _T_1238 = mux(_T_1231, _T_1234, _T_1237) @[el2_ifu_mem_ctl.scala 357:28] - ic_wr_16bytes_data <= _T_1238 @[el2_ifu_mem_ctl.scala 357:22] + node _T_1238 = mux(_T_1231, _T_1234, _T_1237) @[el2_ifu_mem_ctl.scala 358:28] + ic_wr_16bytes_data <= _T_1238 @[el2_ifu_mem_ctl.scala 358:22] wire bus_ifu_wr_data_error_ff : UInt<1> bus_ifu_wr_data_error_ff <= UInt<1>("h00") wire ifu_wr_data_comb_err_ff : UInt<1> ifu_wr_data_comb_err_ff <= UInt<1>("h00") wire reset_beat_cnt : UInt<1> reset_beat_cnt <= UInt<1>("h00") - node _T_1239 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 364:53] - node _T_1240 = eq(reset_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 364:82] - node ifu_wr_cumulative_err = and(_T_1239, _T_1240) @[el2_ifu_mem_ctl.scala 364:80] - node _T_1241 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 365:55] - ifu_wr_cumulative_err_data <= _T_1241 @[el2_ifu_mem_ctl.scala 365:30] - reg _T_1242 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 366:61] - _T_1242 <= ifu_wr_cumulative_err @[el2_ifu_mem_ctl.scala 366:61] - ifu_wr_data_comb_err_ff <= _T_1242 @[el2_ifu_mem_ctl.scala 366:27] + node _T_1239 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 365:53] + node _T_1240 = eq(reset_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 365:82] + node ifu_wr_cumulative_err = and(_T_1239, _T_1240) @[el2_ifu_mem_ctl.scala 365:80] + node _T_1241 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 366:55] + ifu_wr_cumulative_err_data <= _T_1241 @[el2_ifu_mem_ctl.scala 366:30] + reg _T_1242 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 367:61] + _T_1242 <= ifu_wr_cumulative_err @[el2_ifu_mem_ctl.scala 367:61] + ifu_wr_data_comb_err_ff <= _T_1242 @[el2_ifu_mem_ctl.scala 367:27] wire ic_crit_wd_rdy : UInt<1> ic_crit_wd_rdy <= UInt<1>("h00") wire ifu_byp_data_err_new : UInt<1> ifu_byp_data_err_new <= UInt<1>("h00") - node _T_1243 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 369:51] - node _T_1244 = or(ic_crit_wd_rdy, _T_1243) @[el2_ifu_mem_ctl.scala 369:38] - node _T_1245 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 369:77] - node _T_1246 = or(_T_1244, _T_1245) @[el2_ifu_mem_ctl.scala 369:64] - node _T_1247 = eq(ifu_byp_data_err_new, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 369:98] - node sel_byp_data = and(_T_1246, _T_1247) @[el2_ifu_mem_ctl.scala 369:96] - node _T_1248 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 370:51] - node _T_1249 = or(ic_crit_wd_rdy, _T_1248) @[el2_ifu_mem_ctl.scala 370:38] - node _T_1250 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 370:77] - node _T_1251 = or(_T_1249, _T_1250) @[el2_ifu_mem_ctl.scala 370:64] - node _T_1252 = eq(_T_1251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 370:21] - node _T_1253 = eq(fetch_req_iccm_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 370:98] - node sel_ic_data = and(_T_1252, _T_1253) @[el2_ifu_mem_ctl.scala 370:96] + node _T_1243 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 370:51] + node _T_1244 = or(ic_crit_wd_rdy, _T_1243) @[el2_ifu_mem_ctl.scala 370:38] + node _T_1245 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 370:77] + node _T_1246 = or(_T_1244, _T_1245) @[el2_ifu_mem_ctl.scala 370:64] + node _T_1247 = eq(ifu_byp_data_err_new, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 370:98] + node sel_byp_data = and(_T_1246, _T_1247) @[el2_ifu_mem_ctl.scala 370:96] + node _T_1248 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 371:51] + node _T_1249 = or(ic_crit_wd_rdy, _T_1248) @[el2_ifu_mem_ctl.scala 371:38] + node _T_1250 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 371:77] + node _T_1251 = or(_T_1249, _T_1250) @[el2_ifu_mem_ctl.scala 371:64] + node _T_1252 = eq(_T_1251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 371:21] + node _T_1253 = eq(fetch_req_iccm_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 371:98] + node sel_ic_data = and(_T_1252, _T_1253) @[el2_ifu_mem_ctl.scala 371:96] wire ic_byp_data_only_new : UInt<80> ic_byp_data_only_new <= UInt<1>("h00") - node _T_1254 = or(fetch_req_iccm_f, sel_ic_data) @[el2_ifu_mem_ctl.scala 374:81] - node _T_1255 = or(sel_byp_data, _T_1254) @[el2_ifu_mem_ctl.scala 374:47] - node _T_1256 = bits(_T_1255, 0, 0) @[el2_ifu_mem_ctl.scala 374:140] + node _T_1254 = or(fetch_req_iccm_f, sel_ic_data) @[el2_ifu_mem_ctl.scala 375:81] + node _T_1255 = or(sel_byp_data, _T_1254) @[el2_ifu_mem_ctl.scala 375:47] + node _T_1256 = bits(_T_1255, 0, 0) @[el2_ifu_mem_ctl.scala 375:140] node _T_1257 = bits(fetch_req_iccm_f, 0, 0) @[Bitwise.scala 72:15] node _T_1258 = mux(_T_1257, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_1259 = and(_T_1258, io.iccm_rd_data) @[el2_ifu_mem_ctl.scala 376:64] + node _T_1259 = and(_T_1258, io.iccm_rd_data) @[el2_ifu_mem_ctl.scala 377:64] node _T_1260 = bits(sel_byp_data, 0, 0) @[Bitwise.scala 72:15] node _T_1261 = mux(_T_1260, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_1262 = and(_T_1261, ic_byp_data_only_new) @[el2_ifu_mem_ctl.scala 376:109] - node ic_premux_data = or(_T_1259, _T_1262) @[el2_ifu_mem_ctl.scala 376:83] - node ic_sel_premux_data = or(fetch_req_iccm_f, sel_byp_data) @[el2_ifu_mem_ctl.scala 378:58] - io.ic_premux_data <= ic_premux_data @[el2_ifu_mem_ctl.scala 379:21] - io.ic_sel_premux_data <= ic_sel_premux_data @[el2_ifu_mem_ctl.scala 380:25] - node ifc_bus_acc_fault_f = and(ic_byp_hit_f, ifu_byp_data_err_new) @[el2_ifu_mem_ctl.scala 381:42] - io.ic_data_f <= io.ic_rd_data @[el2_ifu_mem_ctl.scala 382:16] - node _T_1263 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 383:40] - node fetch_req_f_qual = and(io.ic_hit_f, _T_1263) @[el2_ifu_mem_ctl.scala 383:38] + node _T_1262 = and(_T_1261, ic_byp_data_only_new) @[el2_ifu_mem_ctl.scala 377:109] + node ic_premux_data = or(_T_1259, _T_1262) @[el2_ifu_mem_ctl.scala 377:83] + node ic_sel_premux_data = or(fetch_req_iccm_f, sel_byp_data) @[el2_ifu_mem_ctl.scala 379:58] + io.ic_premux_data <= ic_premux_data @[el2_ifu_mem_ctl.scala 380:21] + io.ic_sel_premux_data <= ic_sel_premux_data @[el2_ifu_mem_ctl.scala 381:25] + node ifc_bus_acc_fault_f = and(ic_byp_hit_f, ifu_byp_data_err_new) @[el2_ifu_mem_ctl.scala 382:42] + io.ic_data_f <= io.ic_rd_data @[el2_ifu_mem_ctl.scala 383:16] + node _T_1263 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 384:40] + node fetch_req_f_qual = and(io.ic_hit_f, _T_1263) @[el2_ifu_mem_ctl.scala 384:38] wire ifc_region_acc_fault_memory_f : UInt<1> ifc_region_acc_fault_memory_f <= UInt<1>("h00") - node _T_1264 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 385:57] - node _T_1265 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 385:82] - node _T_1266 = and(_T_1264, _T_1265) @[el2_ifu_mem_ctl.scala 385:80] - io.ic_access_fault_f <= _T_1266 @[el2_ifu_mem_ctl.scala 385:24] - node _T_1267 = bits(io.iccm_rd_ecc_double_err, 0, 0) @[el2_ifu_mem_ctl.scala 386:62] - node _T_1268 = bits(ifc_region_acc_fault_f, 0, 0) @[el2_ifu_mem_ctl.scala 387:32] - node _T_1269 = bits(ifc_region_acc_fault_memory_f, 0, 0) @[el2_ifu_mem_ctl.scala 388:47] - node _T_1270 = mux(_T_1269, UInt<2>("h03"), UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 388:10] - node _T_1271 = mux(_T_1268, UInt<2>("h02"), _T_1270) @[el2_ifu_mem_ctl.scala 387:8] - node _T_1272 = mux(_T_1267, UInt<1>("h01"), _T_1271) @[el2_ifu_mem_ctl.scala 386:35] - io.ic_access_fault_type_f <= _T_1272 @[el2_ifu_mem_ctl.scala 386:29] - node _T_1273 = and(fetch_req_f_qual, io.ifu_bp_inst_mask_f) @[el2_ifu_mem_ctl.scala 389:45] + node _T_1264 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 386:57] + node _T_1265 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 386:82] + node _T_1266 = and(_T_1264, _T_1265) @[el2_ifu_mem_ctl.scala 386:80] + io.ic_access_fault_f <= _T_1266 @[el2_ifu_mem_ctl.scala 386:24] + node _T_1267 = bits(io.iccm_rd_ecc_double_err, 0, 0) @[el2_ifu_mem_ctl.scala 387:62] + node _T_1268 = bits(ifc_region_acc_fault_f, 0, 0) @[el2_ifu_mem_ctl.scala 388:32] + node _T_1269 = bits(ifc_region_acc_fault_memory_f, 0, 0) @[el2_ifu_mem_ctl.scala 389:47] + node _T_1270 = mux(_T_1269, UInt<2>("h03"), UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 389:10] + node _T_1271 = mux(_T_1268, UInt<2>("h02"), _T_1270) @[el2_ifu_mem_ctl.scala 388:8] + node _T_1272 = mux(_T_1267, UInt<1>("h01"), _T_1271) @[el2_ifu_mem_ctl.scala 387:35] + io.ic_access_fault_type_f <= _T_1272 @[el2_ifu_mem_ctl.scala 387:29] + node _T_1273 = and(fetch_req_f_qual, io.ifu_bp_inst_mask_f) @[el2_ifu_mem_ctl.scala 390:45] node _T_1274 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_1275 = eq(vaddr_f, _T_1274) @[el2_ifu_mem_ctl.scala 389:80] - node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 389:71] - node _T_1277 = and(_T_1273, _T_1276) @[el2_ifu_mem_ctl.scala 389:69] - node _T_1278 = neq(err_stop_state, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 389:131] - node _T_1279 = and(_T_1277, _T_1278) @[el2_ifu_mem_ctl.scala 389:114] + node _T_1275 = eq(vaddr_f, _T_1274) @[el2_ifu_mem_ctl.scala 390:80] + node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 390:71] + node _T_1277 = and(_T_1273, _T_1276) @[el2_ifu_mem_ctl.scala 390:69] + node _T_1278 = neq(err_stop_state, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 390:131] + node _T_1279 = and(_T_1277, _T_1278) @[el2_ifu_mem_ctl.scala 390:114] node _T_1280 = cat(_T_1279, fetch_req_f_qual) @[Cat.scala 29:58] - io.ic_fetch_val_f <= _T_1280 @[el2_ifu_mem_ctl.scala 389:21] - node _T_1281 = bits(io.ic_data_f, 1, 0) @[el2_ifu_mem_ctl.scala 390:36] - node two_byte_instr = neq(_T_1281, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 390:42] + io.ic_fetch_val_f <= _T_1280 @[el2_ifu_mem_ctl.scala 390:21] + node _T_1281 = bits(io.ic_data_f, 1, 0) @[el2_ifu_mem_ctl.scala 391:36] + node two_byte_instr = neq(_T_1281, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 391:42] wire ic_miss_buff_data_in : UInt<64> ic_miss_buff_data_in <= UInt<1>("h00") wire ifu_bus_rsp_tag : UInt<3> ifu_bus_rsp_tag <= UInt<1>("h00") wire bus_ifu_wr_en : UInt<1> bus_ifu_wr_en <= UInt<1>("h00") - node _T_1282 = eq(ifu_bus_rsp_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_0 = and(bus_ifu_wr_en, _T_1282) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1283 = eq(ifu_bus_rsp_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_1 = and(bus_ifu_wr_en, _T_1283) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1284 = eq(ifu_bus_rsp_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_2 = and(bus_ifu_wr_en, _T_1284) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1285 = eq(ifu_bus_rsp_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_3 = and(bus_ifu_wr_en, _T_1285) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1286 = eq(ifu_bus_rsp_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_4 = and(bus_ifu_wr_en, _T_1286) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1287 = eq(ifu_bus_rsp_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_5 = and(bus_ifu_wr_en, _T_1287) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1288 = eq(ifu_bus_rsp_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_6 = and(bus_ifu_wr_en, _T_1288) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1289 = eq(ifu_bus_rsp_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_7 = and(bus_ifu_wr_en, _T_1289) @[el2_ifu_mem_ctl.scala 396:73] - wire ic_miss_buff_data : UInt<32>[16] @[el2_ifu_mem_ctl.scala 397:31] - node _T_1290 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1291 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + node _T_1282 = eq(ifu_bus_rsp_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_0 = and(bus_ifu_wr_en, _T_1282) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1283 = eq(ifu_bus_rsp_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_1 = and(bus_ifu_wr_en, _T_1283) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1284 = eq(ifu_bus_rsp_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_2 = and(bus_ifu_wr_en, _T_1284) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1285 = eq(ifu_bus_rsp_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_3 = and(bus_ifu_wr_en, _T_1285) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1286 = eq(ifu_bus_rsp_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_4 = and(bus_ifu_wr_en, _T_1286) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1287 = eq(ifu_bus_rsp_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_5 = and(bus_ifu_wr_en, _T_1287) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1288 = eq(ifu_bus_rsp_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_6 = and(bus_ifu_wr_en, _T_1288) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1289 = eq(ifu_bus_rsp_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_7 = and(bus_ifu_wr_en, _T_1289) @[el2_ifu_mem_ctl.scala 397:73] + wire ic_miss_buff_data : UInt<32>[16] @[el2_ifu_mem_ctl.scala 398:31] + node _T_1290 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1291 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1292 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1291 : @[Reg.scala 28:19] _T_1292 <= _T_1290 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[0] <= _T_1292 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1293 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1294 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[0] <= _T_1292 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1293 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1294 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1295 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1294 : @[Reg.scala 28:19] _T_1295 <= _T_1293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[1] <= _T_1295 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1296 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1297 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[1] <= _T_1295 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1296 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1297 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1298 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1297 : @[Reg.scala 28:19] _T_1298 <= _T_1296 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[2] <= _T_1298 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1299 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1300 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[2] <= _T_1298 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1299 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1300 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1300 : @[Reg.scala 28:19] _T_1301 <= _T_1299 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[3] <= _T_1301 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1302 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1303 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[3] <= _T_1301 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1302 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1303 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1304 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1303 : @[Reg.scala 28:19] _T_1304 <= _T_1302 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[4] <= _T_1304 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1305 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1306 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[4] <= _T_1304 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1305 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1306 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1307 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1306 : @[Reg.scala 28:19] _T_1307 <= _T_1305 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[5] <= _T_1307 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1308 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1309 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[5] <= _T_1307 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1308 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1309 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1309 : @[Reg.scala 28:19] _T_1310 <= _T_1308 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[6] <= _T_1310 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1311 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1312 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[6] <= _T_1310 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1311 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1312 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1313 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1312 : @[Reg.scala 28:19] _T_1313 <= _T_1311 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[7] <= _T_1313 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1314 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1315 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[7] <= _T_1313 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1314 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1315 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1316 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1315 : @[Reg.scala 28:19] _T_1316 <= _T_1314 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[8] <= _T_1316 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1317 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1318 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[8] <= _T_1316 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1317 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1318 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1319 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1318 : @[Reg.scala 28:19] _T_1319 <= _T_1317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[9] <= _T_1319 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1320 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1321 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[9] <= _T_1319 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1320 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1321 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1322 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1321 : @[Reg.scala 28:19] _T_1322 <= _T_1320 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[10] <= _T_1322 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1323 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1324 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[10] <= _T_1322 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1323 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1324 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1325 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1324 : @[Reg.scala 28:19] _T_1325 <= _T_1323 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[11] <= _T_1325 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1326 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1327 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[11] <= _T_1325 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1326 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1327 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1328 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1327 : @[Reg.scala 28:19] _T_1328 <= _T_1326 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[12] <= _T_1328 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1329 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1330 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[12] <= _T_1328 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1329 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1330 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1331 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1330 : @[Reg.scala 28:19] _T_1331 <= _T_1329 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[13] <= _T_1331 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1332 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1333 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[13] <= _T_1331 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1332 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1333 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1334 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1333 : @[Reg.scala 28:19] _T_1334 <= _T_1332 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[14] <= _T_1334 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1335 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1336 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[14] <= _T_1334 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1335 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1336 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1337 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1336 : @[Reg.scala 28:19] _T_1337 <= _T_1335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[15] <= _T_1337 @[el2_ifu_mem_ctl.scala 400:28] + ic_miss_buff_data[15] <= _T_1337 @[el2_ifu_mem_ctl.scala 401:28] wire ic_miss_buff_data_valid : UInt<8> ic_miss_buff_data_valid <= UInt<1>("h00") - node _T_1338 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1339 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1340 = and(_T_1338, _T_1339) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_0 = or(write_fill_data_0, _T_1340) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1341 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1342 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1343 = and(_T_1341, _T_1342) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_1 = or(write_fill_data_1, _T_1343) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1344 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1345 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1346 = and(_T_1344, _T_1345) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_2 = or(write_fill_data_2, _T_1346) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1347 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1348 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1349 = and(_T_1347, _T_1348) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_3 = or(write_fill_data_3, _T_1349) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1350 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1351 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1352 = and(_T_1350, _T_1351) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_4 = or(write_fill_data_4, _T_1352) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1353 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1354 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1355 = and(_T_1353, _T_1354) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_5 = or(write_fill_data_5, _T_1355) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1356 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1357 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1358 = and(_T_1356, _T_1357) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_6 = or(write_fill_data_6, _T_1358) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1359 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1360 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1361 = and(_T_1359, _T_1360) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_7 = or(write_fill_data_7, _T_1361) @[el2_ifu_mem_ctl.scala 402:88] + node _T_1338 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1339 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1340 = and(_T_1338, _T_1339) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_0 = or(write_fill_data_0, _T_1340) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1341 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1342 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1343 = and(_T_1341, _T_1342) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_1 = or(write_fill_data_1, _T_1343) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1344 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1345 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1346 = and(_T_1344, _T_1345) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_2 = or(write_fill_data_2, _T_1346) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1347 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1348 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1349 = and(_T_1347, _T_1348) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_3 = or(write_fill_data_3, _T_1349) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1350 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1351 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1352 = and(_T_1350, _T_1351) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_4 = or(write_fill_data_4, _T_1352) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1353 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1354 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1355 = and(_T_1353, _T_1354) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_5 = or(write_fill_data_5, _T_1355) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1356 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1357 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1358 = and(_T_1356, _T_1357) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_6 = or(write_fill_data_6, _T_1358) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1359 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1360 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1361 = and(_T_1359, _T_1360) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_7 = or(write_fill_data_7, _T_1361) @[el2_ifu_mem_ctl.scala 403:88] node _T_1362 = cat(ic_miss_buff_data_valid_in_7, ic_miss_buff_data_valid_in_6) @[Cat.scala 29:58] node _T_1363 = cat(_T_1362, ic_miss_buff_data_valid_in_5) @[Cat.scala 29:58] node _T_1364 = cat(_T_1363, ic_miss_buff_data_valid_in_4) @[Cat.scala 29:58] @@ -2180,53 +2180,53 @@ circuit el2_ifu_mem_ctl : node _T_1366 = cat(_T_1365, ic_miss_buff_data_valid_in_2) @[Cat.scala 29:58] node _T_1367 = cat(_T_1366, ic_miss_buff_data_valid_in_1) @[Cat.scala 29:58] node _T_1368 = cat(_T_1367, ic_miss_buff_data_valid_in_0) @[Cat.scala 29:58] - reg _T_1369 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 403:60] - _T_1369 <= _T_1368 @[el2_ifu_mem_ctl.scala 403:60] - ic_miss_buff_data_valid <= _T_1369 @[el2_ifu_mem_ctl.scala 403:27] + reg _T_1369 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 404:60] + _T_1369 <= _T_1368 @[el2_ifu_mem_ctl.scala 404:60] + ic_miss_buff_data_valid <= _T_1369 @[el2_ifu_mem_ctl.scala 404:27] wire bus_ifu_wr_data_error : UInt<1> bus_ifu_wr_data_error <= UInt<1>("h00") wire ic_miss_buff_data_error : UInt<8> ic_miss_buff_data_error <= UInt<1>("h00") - node _T_1370 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1371 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1372 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1373 = and(_T_1371, _T_1372) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_0 = mux(_T_1370, bus_ifu_wr_data_error, _T_1373) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1374 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1375 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1376 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1377 = and(_T_1375, _T_1376) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_1 = mux(_T_1374, bus_ifu_wr_data_error, _T_1377) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1378 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1379 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1380 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1381 = and(_T_1379, _T_1380) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_2 = mux(_T_1378, bus_ifu_wr_data_error, _T_1381) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1382 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1383 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1384 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1385 = and(_T_1383, _T_1384) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_3 = mux(_T_1382, bus_ifu_wr_data_error, _T_1385) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1386 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1387 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1388 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1389 = and(_T_1387, _T_1388) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_4 = mux(_T_1386, bus_ifu_wr_data_error, _T_1389) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1390 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1391 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1392 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1393 = and(_T_1391, _T_1392) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_5 = mux(_T_1390, bus_ifu_wr_data_error, _T_1393) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1394 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1395 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1396 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1397 = and(_T_1395, _T_1396) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_6 = mux(_T_1394, bus_ifu_wr_data_error, _T_1397) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1398 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1399 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1400 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1401 = and(_T_1399, _T_1400) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_7 = mux(_T_1398, bus_ifu_wr_data_error, _T_1401) @[el2_ifu_mem_ctl.scala 406:72] + node _T_1370 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1371 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1372 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1373 = and(_T_1371, _T_1372) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_0 = mux(_T_1370, bus_ifu_wr_data_error, _T_1373) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1374 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1375 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1376 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1377 = and(_T_1375, _T_1376) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_1 = mux(_T_1374, bus_ifu_wr_data_error, _T_1377) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1378 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1379 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1380 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1381 = and(_T_1379, _T_1380) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_2 = mux(_T_1378, bus_ifu_wr_data_error, _T_1381) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1382 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1383 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1384 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1385 = and(_T_1383, _T_1384) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_3 = mux(_T_1382, bus_ifu_wr_data_error, _T_1385) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1386 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1387 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1388 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1389 = and(_T_1387, _T_1388) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_4 = mux(_T_1386, bus_ifu_wr_data_error, _T_1389) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1390 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1391 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1392 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1393 = and(_T_1391, _T_1392) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_5 = mux(_T_1390, bus_ifu_wr_data_error, _T_1393) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1394 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1395 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1396 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1397 = and(_T_1395, _T_1396) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_6 = mux(_T_1394, bus_ifu_wr_data_error, _T_1397) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1398 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1399 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1400 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1401 = and(_T_1399, _T_1400) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_7 = mux(_T_1398, bus_ifu_wr_data_error, _T_1401) @[el2_ifu_mem_ctl.scala 407:72] node _T_1402 = cat(ic_miss_buff_data_error_in_7, ic_miss_buff_data_error_in_6) @[Cat.scala 29:58] node _T_1403 = cat(_T_1402, ic_miss_buff_data_error_in_5) @[Cat.scala 29:58] node _T_1404 = cat(_T_1403, ic_miss_buff_data_error_in_4) @[Cat.scala 29:58] @@ -2234,37 +2234,37 @@ circuit el2_ifu_mem_ctl : node _T_1406 = cat(_T_1405, ic_miss_buff_data_error_in_2) @[Cat.scala 29:58] node _T_1407 = cat(_T_1406, ic_miss_buff_data_error_in_1) @[Cat.scala 29:58] node _T_1408 = cat(_T_1407, ic_miss_buff_data_error_in_0) @[Cat.scala 29:58] - reg _T_1409 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 408:60] - _T_1409 <= _T_1408 @[el2_ifu_mem_ctl.scala 408:60] - ic_miss_buff_data_error <= _T_1409 @[el2_ifu_mem_ctl.scala 408:27] - node bypass_index = bits(imb_ff, 4, 0) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1410 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:42] - node _T_1411 = add(_T_1410, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 412:70] - node bypass_index_5_3_inc = tail(_T_1411, 1) @[el2_ifu_mem_ctl.scala 412:70] - node _T_1412 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1414 = bits(_T_1413, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1415 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1416 = eq(_T_1415, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1417 = bits(_T_1416, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1418 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1419 = eq(_T_1418, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1420 = bits(_T_1419, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1421 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1422 = eq(_T_1421, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1423 = bits(_T_1422, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1424 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1425 = eq(_T_1424, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1426 = bits(_T_1425, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1427 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1428 = eq(_T_1427, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1429 = bits(_T_1428, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1430 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1431 = eq(_T_1430, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1432 = bits(_T_1431, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1433 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1434 = eq(_T_1433, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1435 = bits(_T_1434, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] + reg _T_1409 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 409:60] + _T_1409 <= _T_1408 @[el2_ifu_mem_ctl.scala 409:60] + ic_miss_buff_data_error <= _T_1409 @[el2_ifu_mem_ctl.scala 409:27] + node bypass_index = bits(imb_ff, 4, 0) @[el2_ifu_mem_ctl.scala 412:28] + node _T_1410 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:42] + node _T_1411 = add(_T_1410, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 413:70] + node bypass_index_5_3_inc = tail(_T_1411, 1) @[el2_ifu_mem_ctl.scala 413:70] + node _T_1412 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1414 = bits(_T_1413, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1415 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1416 = eq(_T_1415, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1417 = bits(_T_1416, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1418 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1419 = eq(_T_1418, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1420 = bits(_T_1419, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1421 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1422 = eq(_T_1421, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1423 = bits(_T_1422, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1424 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1425 = eq(_T_1424, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1426 = bits(_T_1425, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1427 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1428 = eq(_T_1427, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1429 = bits(_T_1428, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1430 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1431 = eq(_T_1430, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1432 = bits(_T_1431, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1433 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1434 = eq(_T_1433, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1435 = bits(_T_1434, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] node _T_1436 = mux(_T_1414, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1437 = mux(_T_1417, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1438 = mux(_T_1420, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2282,44 +2282,44 @@ circuit el2_ifu_mem_ctl : node _T_1450 = or(_T_1449, _T_1443) @[Mux.scala 27:72] wire bypass_valid_value_check : UInt<1> @[Mux.scala 27:72] bypass_valid_value_check <= _T_1450 @[Mux.scala 27:72] - node _T_1451 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 414:71] - node _T_1452 = eq(_T_1451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:58] - node _T_1453 = and(bypass_valid_value_check, _T_1452) @[el2_ifu_mem_ctl.scala 414:56] - node _T_1454 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 414:90] - node _T_1455 = eq(_T_1454, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:77] - node _T_1456 = and(_T_1453, _T_1455) @[el2_ifu_mem_ctl.scala 414:75] - node _T_1457 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 415:71] - node _T_1458 = eq(_T_1457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 415:58] - node _T_1459 = and(bypass_valid_value_check, _T_1458) @[el2_ifu_mem_ctl.scala 415:56] - node _T_1460 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 415:89] - node _T_1461 = and(_T_1459, _T_1460) @[el2_ifu_mem_ctl.scala 415:75] - node _T_1462 = or(_T_1456, _T_1461) @[el2_ifu_mem_ctl.scala 414:95] - node _T_1463 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 416:70] - node _T_1464 = and(bypass_valid_value_check, _T_1463) @[el2_ifu_mem_ctl.scala 416:56] - node _T_1465 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 416:89] - node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 416:76] - node _T_1467 = and(_T_1464, _T_1466) @[el2_ifu_mem_ctl.scala 416:74] - node _T_1468 = or(_T_1462, _T_1467) @[el2_ifu_mem_ctl.scala 415:94] - node _T_1469 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 417:47] - node _T_1470 = and(bypass_valid_value_check, _T_1469) @[el2_ifu_mem_ctl.scala 417:33] - node _T_1471 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 417:65] - node _T_1472 = and(_T_1470, _T_1471) @[el2_ifu_mem_ctl.scala 417:51] - node _T_1473 = eq(bypass_index_5_3_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1474 = bits(_T_1473, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1475 = eq(bypass_index_5_3_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1476 = bits(_T_1475, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1477 = eq(bypass_index_5_3_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1478 = bits(_T_1477, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1479 = eq(bypass_index_5_3_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1480 = bits(_T_1479, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1481 = eq(bypass_index_5_3_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1482 = bits(_T_1481, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1483 = eq(bypass_index_5_3_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1484 = bits(_T_1483, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1485 = eq(bypass_index_5_3_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1486 = bits(_T_1485, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1487 = eq(bypass_index_5_3_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1488 = bits(_T_1487, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] + node _T_1451 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 415:71] + node _T_1452 = eq(_T_1451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 415:58] + node _T_1453 = and(bypass_valid_value_check, _T_1452) @[el2_ifu_mem_ctl.scala 415:56] + node _T_1454 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 415:90] + node _T_1455 = eq(_T_1454, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 415:77] + node _T_1456 = and(_T_1453, _T_1455) @[el2_ifu_mem_ctl.scala 415:75] + node _T_1457 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 416:71] + node _T_1458 = eq(_T_1457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 416:58] + node _T_1459 = and(bypass_valid_value_check, _T_1458) @[el2_ifu_mem_ctl.scala 416:56] + node _T_1460 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 416:89] + node _T_1461 = and(_T_1459, _T_1460) @[el2_ifu_mem_ctl.scala 416:75] + node _T_1462 = or(_T_1456, _T_1461) @[el2_ifu_mem_ctl.scala 415:95] + node _T_1463 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 417:70] + node _T_1464 = and(bypass_valid_value_check, _T_1463) @[el2_ifu_mem_ctl.scala 417:56] + node _T_1465 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 417:89] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 417:76] + node _T_1467 = and(_T_1464, _T_1466) @[el2_ifu_mem_ctl.scala 417:74] + node _T_1468 = or(_T_1462, _T_1467) @[el2_ifu_mem_ctl.scala 416:94] + node _T_1469 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 418:47] + node _T_1470 = and(bypass_valid_value_check, _T_1469) @[el2_ifu_mem_ctl.scala 418:33] + node _T_1471 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 418:65] + node _T_1472 = and(_T_1470, _T_1471) @[el2_ifu_mem_ctl.scala 418:51] + node _T_1473 = eq(bypass_index_5_3_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1474 = bits(_T_1473, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1475 = eq(bypass_index_5_3_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1476 = bits(_T_1475, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1477 = eq(bypass_index_5_3_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1478 = bits(_T_1477, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1479 = eq(bypass_index_5_3_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1480 = bits(_T_1479, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1481 = eq(bypass_index_5_3_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1482 = bits(_T_1481, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1483 = eq(bypass_index_5_3_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1484 = bits(_T_1483, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1485 = eq(bypass_index_5_3_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1486 = bits(_T_1485, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1487 = eq(bypass_index_5_3_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1488 = bits(_T_1487, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] node _T_1489 = mux(_T_1474, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1490 = mux(_T_1476, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1491 = mux(_T_1478, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2337,79 +2337,79 @@ circuit el2_ifu_mem_ctl : node _T_1503 = or(_T_1502, _T_1496) @[Mux.scala 27:72] wire _T_1504 : UInt<1> @[Mux.scala 27:72] _T_1504 <= _T_1503 @[Mux.scala 27:72] - node _T_1505 = and(_T_1472, _T_1504) @[el2_ifu_mem_ctl.scala 417:69] - node _T_1506 = or(_T_1468, _T_1505) @[el2_ifu_mem_ctl.scala 416:94] - node _T_1507 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:70] + node _T_1505 = and(_T_1472, _T_1504) @[el2_ifu_mem_ctl.scala 418:69] + node _T_1506 = or(_T_1468, _T_1505) @[el2_ifu_mem_ctl.scala 417:94] + node _T_1507 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 419:70] node _T_1508 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_1509 = eq(_T_1507, _T_1508) @[el2_ifu_mem_ctl.scala 418:95] - node _T_1510 = and(bypass_valid_value_check, _T_1509) @[el2_ifu_mem_ctl.scala 418:56] - node bypass_data_ready_in = or(_T_1506, _T_1510) @[el2_ifu_mem_ctl.scala 417:181] + node _T_1509 = eq(_T_1507, _T_1508) @[el2_ifu_mem_ctl.scala 419:95] + node _T_1510 = and(bypass_valid_value_check, _T_1509) @[el2_ifu_mem_ctl.scala 419:56] + node bypass_data_ready_in = or(_T_1506, _T_1510) @[el2_ifu_mem_ctl.scala 418:181] wire ic_crit_wd_rdy_new_ff : UInt<1> ic_crit_wd_rdy_new_ff <= UInt<1>("h00") - node _T_1511 = and(bypass_data_ready_in, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 422:53] - node _T_1512 = and(_T_1511, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 422:73] - node _T_1513 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 422:98] - node _T_1514 = and(_T_1512, _T_1513) @[el2_ifu_mem_ctl.scala 422:96] - node _T_1515 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 422:120] - node _T_1516 = and(_T_1514, _T_1515) @[el2_ifu_mem_ctl.scala 422:118] - node _T_1517 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:75] - node _T_1518 = and(crit_wd_byp_ok_ff, _T_1517) @[el2_ifu_mem_ctl.scala 423:73] - node _T_1519 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:98] - node _T_1520 = and(_T_1518, _T_1519) @[el2_ifu_mem_ctl.scala 423:96] - node _T_1521 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:120] - node _T_1522 = and(_T_1520, _T_1521) @[el2_ifu_mem_ctl.scala 423:118] - node _T_1523 = or(_T_1516, _T_1522) @[el2_ifu_mem_ctl.scala 422:143] - node _T_1524 = and(ic_crit_wd_rdy_new_ff, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 424:54] - node _T_1525 = eq(fetch_req_icache_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:76] - node _T_1526 = and(_T_1524, _T_1525) @[el2_ifu_mem_ctl.scala 424:74] - node _T_1527 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:98] - node _T_1528 = and(_T_1526, _T_1527) @[el2_ifu_mem_ctl.scala 424:96] - node ic_crit_wd_rdy_new_in = or(_T_1523, _T_1528) @[el2_ifu_mem_ctl.scala 423:143] - reg _T_1529 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 425:58] - _T_1529 <= ic_crit_wd_rdy_new_in @[el2_ifu_mem_ctl.scala 425:58] - ic_crit_wd_rdy_new_ff <= _T_1529 @[el2_ifu_mem_ctl.scala 425:25] - node byp_fetch_index = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 426:45] - node _T_1530 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 427:51] + node _T_1511 = and(bypass_data_ready_in, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 423:53] + node _T_1512 = and(_T_1511, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 423:73] + node _T_1513 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:98] + node _T_1514 = and(_T_1512, _T_1513) @[el2_ifu_mem_ctl.scala 423:96] + node _T_1515 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:120] + node _T_1516 = and(_T_1514, _T_1515) @[el2_ifu_mem_ctl.scala 423:118] + node _T_1517 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:75] + node _T_1518 = and(crit_wd_byp_ok_ff, _T_1517) @[el2_ifu_mem_ctl.scala 424:73] + node _T_1519 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:98] + node _T_1520 = and(_T_1518, _T_1519) @[el2_ifu_mem_ctl.scala 424:96] + node _T_1521 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:120] + node _T_1522 = and(_T_1520, _T_1521) @[el2_ifu_mem_ctl.scala 424:118] + node _T_1523 = or(_T_1516, _T_1522) @[el2_ifu_mem_ctl.scala 423:143] + node _T_1524 = and(ic_crit_wd_rdy_new_ff, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 425:54] + node _T_1525 = eq(fetch_req_icache_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 425:76] + node _T_1526 = and(_T_1524, _T_1525) @[el2_ifu_mem_ctl.scala 425:74] + node _T_1527 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 425:98] + node _T_1528 = and(_T_1526, _T_1527) @[el2_ifu_mem_ctl.scala 425:96] + node ic_crit_wd_rdy_new_in = or(_T_1523, _T_1528) @[el2_ifu_mem_ctl.scala 424:143] + reg _T_1529 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 426:58] + _T_1529 <= ic_crit_wd_rdy_new_in @[el2_ifu_mem_ctl.scala 426:58] + ic_crit_wd_rdy_new_ff <= _T_1529 @[el2_ifu_mem_ctl.scala 426:25] + node byp_fetch_index = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 427:45] + node _T_1530 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 428:51] node byp_fetch_index_0 = cat(_T_1530, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_1531 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 428:51] + node _T_1531 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 429:51] node byp_fetch_index_1 = cat(_T_1531, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1532 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 429:49] - node _T_1533 = add(_T_1532, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 429:75] - node byp_fetch_index_inc = tail(_T_1533, 1) @[el2_ifu_mem_ctl.scala 429:75] + node _T_1532 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 430:49] + node _T_1533 = add(_T_1532, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 430:75] + node byp_fetch_index_inc = tail(_T_1533, 1) @[el2_ifu_mem_ctl.scala 430:75] node byp_fetch_index_inc_0 = cat(byp_fetch_index_inc, UInt<1>("h00")) @[Cat.scala 29:58] node byp_fetch_index_inc_1 = cat(byp_fetch_index_inc, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1534 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1536 = bits(_T_1535, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1537 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1538 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1539 = eq(_T_1538, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1540 = bits(_T_1539, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1541 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1542 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1543 = eq(_T_1542, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1544 = bits(_T_1543, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1545 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1546 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1547 = eq(_T_1546, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1548 = bits(_T_1547, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1549 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1550 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1551 = eq(_T_1550, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1552 = bits(_T_1551, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1553 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1554 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1555 = eq(_T_1554, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1556 = bits(_T_1555, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1557 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1558 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1559 = eq(_T_1558, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1560 = bits(_T_1559, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1561 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1562 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1563 = eq(_T_1562, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1564 = bits(_T_1563, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1565 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 432:157] + node _T_1534 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1536 = bits(_T_1535, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1537 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1538 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1539 = eq(_T_1538, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1540 = bits(_T_1539, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1541 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1542 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1543 = eq(_T_1542, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1544 = bits(_T_1543, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1545 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1546 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1547 = eq(_T_1546, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1548 = bits(_T_1547, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1549 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1550 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1551 = eq(_T_1550, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1552 = bits(_T_1551, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1553 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1554 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1555 = eq(_T_1554, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1556 = bits(_T_1555, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1557 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1558 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1559 = eq(_T_1558, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1560 = bits(_T_1559, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1561 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1562 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1563 = eq(_T_1562, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1564 = bits(_T_1563, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1565 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 433:157] node _T_1566 = mux(_T_1536, _T_1537, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1567 = mux(_T_1540, _T_1541, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1568 = mux(_T_1544, _T_1545, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2427,30 +2427,30 @@ circuit el2_ifu_mem_ctl : node _T_1580 = or(_T_1579, _T_1573) @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_error_bypass <= _T_1580 @[Mux.scala 27:72] - node _T_1581 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1582 = bits(_T_1581, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1583 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1584 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1585 = bits(_T_1584, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1586 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1587 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1588 = bits(_T_1587, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1589 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1590 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1591 = bits(_T_1590, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1592 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1593 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1594 = bits(_T_1593, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1595 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1596 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1597 = bits(_T_1596, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1598 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1599 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1600 = bits(_T_1599, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1601 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1602 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1603 = bits(_T_1602, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1604 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 433:143] + node _T_1581 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1582 = bits(_T_1581, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1583 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1584 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1585 = bits(_T_1584, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1586 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1587 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1588 = bits(_T_1587, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1589 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1590 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1591 = bits(_T_1590, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1592 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1593 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1594 = bits(_T_1593, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1595 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1596 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1597 = bits(_T_1596, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1598 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1599 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1600 = bits(_T_1599, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1601 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1602 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1603 = bits(_T_1602, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1604 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 434:143] node _T_1605 = mux(_T_1582, _T_1583, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1606 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1607 = mux(_T_1588, _T_1589, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2468,67 +2468,67 @@ circuit el2_ifu_mem_ctl : node _T_1619 = or(_T_1618, _T_1612) @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass_inc : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_error_bypass_inc <= _T_1619 @[Mux.scala 27:72] - node _T_1620 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 436:28] - node _T_1621 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 436:52] - node _T_1622 = and(_T_1620, _T_1621) @[el2_ifu_mem_ctl.scala 436:31] - when _T_1622 : @[el2_ifu_mem_ctl.scala 436:56] - ifu_byp_data_err_new <= ic_miss_buff_data_error_bypass @[el2_ifu_mem_ctl.scala 437:26] - skip @[el2_ifu_mem_ctl.scala 436:56] - else : @[el2_ifu_mem_ctl.scala 438:5] - node _T_1623 = or(ic_miss_buff_data_error_bypass, ic_miss_buff_data_error_bypass_inc) @[el2_ifu_mem_ctl.scala 438:70] - ifu_byp_data_err_new <= _T_1623 @[el2_ifu_mem_ctl.scala 438:36] - skip @[el2_ifu_mem_ctl.scala 438:5] - node _T_1624 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 440:59] - node _T_1625 = bits(_T_1624, 0, 0) @[el2_ifu_mem_ctl.scala 440:63] - node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 440:38] - node _T_1627 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1628 = bits(_T_1627, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1629 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1630 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1631 = bits(_T_1630, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1632 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1633 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1634 = bits(_T_1633, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1635 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1636 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1637 = bits(_T_1636, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1638 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1639 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1640 = bits(_T_1639, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1641 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1642 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1643 = bits(_T_1642, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1644 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1645 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1646 = bits(_T_1645, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1647 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1648 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1649 = bits(_T_1648, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1650 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1651 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1652 = bits(_T_1651, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1653 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1654 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1655 = bits(_T_1654, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1656 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1657 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1658 = bits(_T_1657, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1659 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1660 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1661 = bits(_T_1660, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1662 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1663 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1664 = bits(_T_1663, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1665 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1666 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1667 = bits(_T_1666, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1668 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1669 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1670 = bits(_T_1669, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1671 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1672 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1673 = bits(_T_1672, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1674 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1620 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 437:28] + node _T_1621 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 437:52] + node _T_1622 = and(_T_1620, _T_1621) @[el2_ifu_mem_ctl.scala 437:31] + when _T_1622 : @[el2_ifu_mem_ctl.scala 437:56] + ifu_byp_data_err_new <= ic_miss_buff_data_error_bypass @[el2_ifu_mem_ctl.scala 438:26] + skip @[el2_ifu_mem_ctl.scala 437:56] + else : @[el2_ifu_mem_ctl.scala 439:5] + node _T_1623 = or(ic_miss_buff_data_error_bypass, ic_miss_buff_data_error_bypass_inc) @[el2_ifu_mem_ctl.scala 439:70] + ifu_byp_data_err_new <= _T_1623 @[el2_ifu_mem_ctl.scala 439:36] + skip @[el2_ifu_mem_ctl.scala 439:5] + node _T_1624 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 441:59] + node _T_1625 = bits(_T_1624, 0, 0) @[el2_ifu_mem_ctl.scala 441:63] + node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:38] + node _T_1627 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1628 = bits(_T_1627, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1629 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1630 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1631 = bits(_T_1630, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1632 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1633 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1634 = bits(_T_1633, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1635 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1636 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1637 = bits(_T_1636, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1638 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1639 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1640 = bits(_T_1639, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1641 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1642 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1643 = bits(_T_1642, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1644 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1645 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1646 = bits(_T_1645, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1647 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1648 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1649 = bits(_T_1648, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1650 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1651 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1652 = bits(_T_1651, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1653 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1654 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1655 = bits(_T_1654, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1656 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1657 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1658 = bits(_T_1657, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1659 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1660 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1661 = bits(_T_1660, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1662 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1663 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1664 = bits(_T_1663, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1665 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1666 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1667 = bits(_T_1666, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1668 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1669 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1670 = bits(_T_1669, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1671 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1672 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1673 = bits(_T_1672, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1674 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] node _T_1675 = mux(_T_1628, _T_1629, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1676 = mux(_T_1631, _T_1632, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1677 = mux(_T_1634, _T_1635, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2562,54 +2562,54 @@ circuit el2_ifu_mem_ctl : node _T_1705 = or(_T_1704, _T_1690) @[Mux.scala 27:72] wire _T_1706 : UInt<16> @[Mux.scala 27:72] _T_1706 <= _T_1705 @[Mux.scala 27:72] - node _T_1707 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1708 = bits(_T_1707, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1709 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1710 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1711 = bits(_T_1710, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1712 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1713 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1714 = bits(_T_1713, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1715 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1716 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1717 = bits(_T_1716, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1718 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1719 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1720 = bits(_T_1719, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1721 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1722 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1723 = bits(_T_1722, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1724 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1725 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1726 = bits(_T_1725, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1727 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1728 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1729 = bits(_T_1728, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1730 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1731 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1732 = bits(_T_1731, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1733 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1734 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1735 = bits(_T_1734, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1736 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1737 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1738 = bits(_T_1737, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1739 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1740 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1741 = bits(_T_1740, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1742 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1743 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1744 = bits(_T_1743, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1745 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1746 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1747 = bits(_T_1746, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1748 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1749 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1750 = bits(_T_1749, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1751 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1752 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1753 = bits(_T_1752, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1754 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] + node _T_1707 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1708 = bits(_T_1707, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1709 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1710 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1711 = bits(_T_1710, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1712 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1713 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1714 = bits(_T_1713, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1715 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1716 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1717 = bits(_T_1716, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1718 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1719 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1720 = bits(_T_1719, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1721 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1722 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1723 = bits(_T_1722, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1724 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1725 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1726 = bits(_T_1725, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1727 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1728 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1729 = bits(_T_1728, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1730 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1731 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1732 = bits(_T_1731, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1733 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1734 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1735 = bits(_T_1734, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1736 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1737 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1738 = bits(_T_1737, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1739 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1740 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1741 = bits(_T_1740, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1742 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1743 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1744 = bits(_T_1743, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1745 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1746 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1747 = bits(_T_1746, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1748 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1749 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1750 = bits(_T_1749, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1751 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1752 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1753 = bits(_T_1752, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1754 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] node _T_1755 = mux(_T_1708, _T_1709, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1756 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1757 = mux(_T_1714, _T_1715, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2643,54 +2643,54 @@ circuit el2_ifu_mem_ctl : node _T_1785 = or(_T_1784, _T_1770) @[Mux.scala 27:72] wire _T_1786 : UInt<32> @[Mux.scala 27:72] _T_1786 <= _T_1785 @[Mux.scala 27:72] - node _T_1787 = eq(byp_fetch_index_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1788 = bits(_T_1787, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1789 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1790 = eq(byp_fetch_index_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1791 = bits(_T_1790, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1792 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1793 = eq(byp_fetch_index_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1794 = bits(_T_1793, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1795 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1796 = eq(byp_fetch_index_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1797 = bits(_T_1796, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1798 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1799 = eq(byp_fetch_index_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1800 = bits(_T_1799, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1801 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1802 = eq(byp_fetch_index_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1803 = bits(_T_1802, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1804 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1805 = eq(byp_fetch_index_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1806 = bits(_T_1805, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1807 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1808 = eq(byp_fetch_index_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1809 = bits(_T_1808, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1810 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1811 = eq(byp_fetch_index_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1812 = bits(_T_1811, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1813 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1814 = eq(byp_fetch_index_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1815 = bits(_T_1814, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1816 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1817 = eq(byp_fetch_index_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1818 = bits(_T_1817, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1819 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1820 = eq(byp_fetch_index_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1821 = bits(_T_1820, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1822 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1823 = eq(byp_fetch_index_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1824 = bits(_T_1823, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1825 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1826 = eq(byp_fetch_index_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1827 = bits(_T_1826, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1828 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1829 = eq(byp_fetch_index_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1830 = bits(_T_1829, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1831 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1832 = eq(byp_fetch_index_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1833 = bits(_T_1832, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1834 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] + node _T_1787 = eq(byp_fetch_index_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1788 = bits(_T_1787, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1789 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1790 = eq(byp_fetch_index_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1791 = bits(_T_1790, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1792 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1793 = eq(byp_fetch_index_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1794 = bits(_T_1793, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1795 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1796 = eq(byp_fetch_index_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1797 = bits(_T_1796, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1798 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1799 = eq(byp_fetch_index_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1800 = bits(_T_1799, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1801 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1802 = eq(byp_fetch_index_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1803 = bits(_T_1802, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1804 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1805 = eq(byp_fetch_index_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1806 = bits(_T_1805, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1807 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1808 = eq(byp_fetch_index_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1809 = bits(_T_1808, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1810 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1811 = eq(byp_fetch_index_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1812 = bits(_T_1811, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1813 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1814 = eq(byp_fetch_index_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1815 = bits(_T_1814, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1816 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1817 = eq(byp_fetch_index_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1818 = bits(_T_1817, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1819 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1820 = eq(byp_fetch_index_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1821 = bits(_T_1820, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1822 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1823 = eq(byp_fetch_index_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1824 = bits(_T_1823, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1825 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1826 = eq(byp_fetch_index_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1827 = bits(_T_1826, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1828 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1829 = eq(byp_fetch_index_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1830 = bits(_T_1829, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1831 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1832 = eq(byp_fetch_index_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1833 = bits(_T_1832, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1834 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] node _T_1835 = mux(_T_1788, _T_1789, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1836 = mux(_T_1791, _T_1792, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1837 = mux(_T_1794, _T_1795, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2726,54 +2726,54 @@ circuit el2_ifu_mem_ctl : _T_1866 <= _T_1865 @[Mux.scala 27:72] node _T_1867 = cat(_T_1706, _T_1786) @[Cat.scala 29:58] node _T_1868 = cat(_T_1867, _T_1866) @[Cat.scala 29:58] - node _T_1869 = eq(byp_fetch_index_inc_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1870 = bits(_T_1869, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1871 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1872 = eq(byp_fetch_index_inc_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1873 = bits(_T_1872, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1874 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1875 = eq(byp_fetch_index_inc_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1876 = bits(_T_1875, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1877 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1878 = eq(byp_fetch_index_inc_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1879 = bits(_T_1878, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1880 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1881 = eq(byp_fetch_index_inc_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1882 = bits(_T_1881, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1883 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1884 = eq(byp_fetch_index_inc_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1885 = bits(_T_1884, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1886 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1887 = eq(byp_fetch_index_inc_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1888 = bits(_T_1887, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1889 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1890 = eq(byp_fetch_index_inc_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1891 = bits(_T_1890, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1892 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1893 = eq(byp_fetch_index_inc_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1894 = bits(_T_1893, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1895 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1896 = eq(byp_fetch_index_inc_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1897 = bits(_T_1896, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1898 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1899 = eq(byp_fetch_index_inc_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1900 = bits(_T_1899, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1901 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1902 = eq(byp_fetch_index_inc_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1903 = bits(_T_1902, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1904 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1905 = eq(byp_fetch_index_inc_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1906 = bits(_T_1905, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1907 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1908 = eq(byp_fetch_index_inc_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1909 = bits(_T_1908, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1910 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1911 = eq(byp_fetch_index_inc_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1912 = bits(_T_1911, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1913 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1914 = eq(byp_fetch_index_inc_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1915 = bits(_T_1914, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1916 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1869 = eq(byp_fetch_index_inc_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1870 = bits(_T_1869, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1871 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1872 = eq(byp_fetch_index_inc_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1873 = bits(_T_1872, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1874 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1875 = eq(byp_fetch_index_inc_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1876 = bits(_T_1875, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1877 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1878 = eq(byp_fetch_index_inc_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1879 = bits(_T_1878, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1880 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1881 = eq(byp_fetch_index_inc_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1882 = bits(_T_1881, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1883 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1884 = eq(byp_fetch_index_inc_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1885 = bits(_T_1884, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1886 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1887 = eq(byp_fetch_index_inc_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1888 = bits(_T_1887, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1889 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1890 = eq(byp_fetch_index_inc_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1891 = bits(_T_1890, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1892 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1893 = eq(byp_fetch_index_inc_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1894 = bits(_T_1893, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1895 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1896 = eq(byp_fetch_index_inc_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1897 = bits(_T_1896, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1898 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1899 = eq(byp_fetch_index_inc_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1900 = bits(_T_1899, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1901 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1902 = eq(byp_fetch_index_inc_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1903 = bits(_T_1902, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1904 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1905 = eq(byp_fetch_index_inc_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1906 = bits(_T_1905, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1907 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1908 = eq(byp_fetch_index_inc_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1909 = bits(_T_1908, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1910 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1911 = eq(byp_fetch_index_inc_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1912 = bits(_T_1911, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1913 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1914 = eq(byp_fetch_index_inc_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1915 = bits(_T_1914, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1916 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] node _T_1917 = mux(_T_1870, _T_1871, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1918 = mux(_T_1873, _T_1874, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1919 = mux(_T_1876, _T_1877, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2807,54 +2807,54 @@ circuit el2_ifu_mem_ctl : node _T_1947 = or(_T_1946, _T_1932) @[Mux.scala 27:72] wire _T_1948 : UInt<16> @[Mux.scala 27:72] _T_1948 <= _T_1947 @[Mux.scala 27:72] - node _T_1949 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1950 = bits(_T_1949, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1951 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1952 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1953 = bits(_T_1952, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1954 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1955 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1956 = bits(_T_1955, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1957 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1958 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1959 = bits(_T_1958, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1960 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1961 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1962 = bits(_T_1961, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1963 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1964 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1965 = bits(_T_1964, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1966 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1967 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1968 = bits(_T_1967, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1969 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1970 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1971 = bits(_T_1970, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1972 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1973 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1974 = bits(_T_1973, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1975 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1976 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1977 = bits(_T_1976, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1978 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1979 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1980 = bits(_T_1979, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1981 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1982 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1983 = bits(_T_1982, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1984 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1985 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1986 = bits(_T_1985, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1987 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1988 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1989 = bits(_T_1988, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1990 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1991 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1992 = bits(_T_1991, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1993 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1994 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1995 = bits(_T_1994, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1996 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] + node _T_1949 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1950 = bits(_T_1949, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1951 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1952 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1953 = bits(_T_1952, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1954 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1955 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1956 = bits(_T_1955, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1957 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1958 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1959 = bits(_T_1958, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1960 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1961 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1962 = bits(_T_1961, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1963 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1964 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1965 = bits(_T_1964, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1966 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1967 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1968 = bits(_T_1967, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1969 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1970 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1971 = bits(_T_1970, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1972 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1973 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1974 = bits(_T_1973, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1975 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1976 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1977 = bits(_T_1976, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1978 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1979 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1980 = bits(_T_1979, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1981 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1982 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1983 = bits(_T_1982, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1984 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1985 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1986 = bits(_T_1985, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1987 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1988 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1989 = bits(_T_1988, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1990 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1991 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1992 = bits(_T_1991, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1993 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1994 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1995 = bits(_T_1994, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1996 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] node _T_1997 = mux(_T_1950, _T_1951, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1998 = mux(_T_1953, _T_1954, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1999 = mux(_T_1956, _T_1957, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2888,54 +2888,54 @@ circuit el2_ifu_mem_ctl : node _T_2027 = or(_T_2026, _T_2012) @[Mux.scala 27:72] wire _T_2028 : UInt<32> @[Mux.scala 27:72] _T_2028 <= _T_2027 @[Mux.scala 27:72] - node _T_2029 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2030 = bits(_T_2029, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2031 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2032 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2033 = bits(_T_2032, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2034 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2035 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2036 = bits(_T_2035, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2037 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2038 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2039 = bits(_T_2038, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2040 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2041 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2042 = bits(_T_2041, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2043 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2044 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2045 = bits(_T_2044, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2046 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2047 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2048 = bits(_T_2047, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2049 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2050 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2051 = bits(_T_2050, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2052 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2053 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2054 = bits(_T_2053, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2055 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2056 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2057 = bits(_T_2056, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2058 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2059 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2060 = bits(_T_2059, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2061 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2062 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2063 = bits(_T_2062, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2064 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2065 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2066 = bits(_T_2065, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2067 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2068 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2069 = bits(_T_2068, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2070 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2071 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2072 = bits(_T_2071, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2073 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2074 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2075 = bits(_T_2074, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2076 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] + node _T_2029 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2030 = bits(_T_2029, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2031 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2032 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2033 = bits(_T_2032, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2034 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2035 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2036 = bits(_T_2035, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2037 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2038 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2039 = bits(_T_2038, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2040 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2041 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2042 = bits(_T_2041, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2043 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2044 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2045 = bits(_T_2044, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2046 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2047 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2048 = bits(_T_2047, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2049 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2050 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2051 = bits(_T_2050, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2052 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2053 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2054 = bits(_T_2053, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2055 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2056 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2057 = bits(_T_2056, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2058 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2059 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2060 = bits(_T_2059, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2061 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2062 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2063 = bits(_T_2062, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2064 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2065 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2066 = bits(_T_2065, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2067 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2068 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2069 = bits(_T_2068, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2070 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2071 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2072 = bits(_T_2071, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2073 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2074 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2075 = bits(_T_2074, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2076 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] node _T_2077 = mux(_T_2030, _T_2031, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2078 = mux(_T_2033, _T_2034, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2079 = mux(_T_2036, _T_2037, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2971,49 +2971,49 @@ circuit el2_ifu_mem_ctl : _T_2108 <= _T_2107 @[Mux.scala 27:72] node _T_2109 = cat(_T_1948, _T_2028) @[Cat.scala 29:58] node _T_2110 = cat(_T_2109, _T_2108) @[Cat.scala 29:58] - node ic_byp_data_only_pre_new = mux(_T_1626, _T_1868, _T_2110) @[el2_ifu_mem_ctl.scala 440:37] - node _T_2111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 444:52] - node _T_2112 = bits(_T_2111, 0, 0) @[el2_ifu_mem_ctl.scala 444:62] - node _T_2113 = eq(_T_2112, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 444:31] - node _T_2114 = bits(ic_byp_data_only_pre_new, 79, 16) @[el2_ifu_mem_ctl.scala 444:128] + node ic_byp_data_only_pre_new = mux(_T_1626, _T_1868, _T_2110) @[el2_ifu_mem_ctl.scala 441:37] + node _T_2111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 445:52] + node _T_2112 = bits(_T_2111, 0, 0) @[el2_ifu_mem_ctl.scala 445:62] + node _T_2113 = eq(_T_2112, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 445:31] + node _T_2114 = bits(ic_byp_data_only_pre_new, 79, 16) @[el2_ifu_mem_ctl.scala 445:128] node _T_2115 = cat(UInt<16>("h00"), _T_2114) @[Cat.scala 29:58] - node _T_2116 = mux(_T_2113, ic_byp_data_only_pre_new, _T_2115) @[el2_ifu_mem_ctl.scala 444:30] - ic_byp_data_only_new <= _T_2116 @[el2_ifu_mem_ctl.scala 444:24] - node _T_2117 = bits(imb_ff, 5, 5) @[el2_ifu_mem_ctl.scala 446:27] - node _T_2118 = bits(ifu_fetch_addr_int_f, 5, 5) @[el2_ifu_mem_ctl.scala 446:75] - node miss_wrap_f = neq(_T_2117, _T_2118) @[el2_ifu_mem_ctl.scala 446:51] - node _T_2119 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2120 = eq(_T_2119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2121 = bits(_T_2120, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2122 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2123 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2124 = eq(_T_2123, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2125 = bits(_T_2124, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2126 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2127 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2128 = eq(_T_2127, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2129 = bits(_T_2128, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2130 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2131 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2132 = eq(_T_2131, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2133 = bits(_T_2132, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2134 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2135 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2136 = eq(_T_2135, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2137 = bits(_T_2136, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2138 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2139 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2140 = eq(_T_2139, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2141 = bits(_T_2140, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2142 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2143 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2144 = eq(_T_2143, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2145 = bits(_T_2144, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2146 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2147 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2148 = eq(_T_2147, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2149 = bits(_T_2148, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2150 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 447:166] + node _T_2116 = mux(_T_2113, ic_byp_data_only_pre_new, _T_2115) @[el2_ifu_mem_ctl.scala 445:30] + ic_byp_data_only_new <= _T_2116 @[el2_ifu_mem_ctl.scala 445:24] + node _T_2117 = bits(imb_ff, 5, 5) @[el2_ifu_mem_ctl.scala 447:27] + node _T_2118 = bits(ifu_fetch_addr_int_f, 5, 5) @[el2_ifu_mem_ctl.scala 447:75] + node miss_wrap_f = neq(_T_2117, _T_2118) @[el2_ifu_mem_ctl.scala 447:51] + node _T_2119 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2120 = eq(_T_2119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2121 = bits(_T_2120, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2122 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2123 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2124 = eq(_T_2123, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2125 = bits(_T_2124, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2126 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2127 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2128 = eq(_T_2127, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2129 = bits(_T_2128, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2130 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2131 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2132 = eq(_T_2131, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2133 = bits(_T_2132, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2134 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2135 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2136 = eq(_T_2135, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2137 = bits(_T_2136, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2138 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2139 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2140 = eq(_T_2139, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2141 = bits(_T_2140, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2142 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2143 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2144 = eq(_T_2143, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2145 = bits(_T_2144, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2146 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2147 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2148 = eq(_T_2147, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2149 = bits(_T_2148, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2150 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 448:166] node _T_2151 = mux(_T_2121, _T_2122, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2152 = mux(_T_2125, _T_2126, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2153 = mux(_T_2129, _T_2130, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3031,30 +3031,30 @@ circuit el2_ifu_mem_ctl : node _T_2165 = or(_T_2164, _T_2158) @[Mux.scala 27:72] wire ic_miss_buff_data_valid_bypass_index : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_valid_bypass_index <= _T_2165 @[Mux.scala 27:72] - node _T_2166 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2167 = bits(_T_2166, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2168 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2169 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2170 = bits(_T_2169, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2171 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2172 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2173 = bits(_T_2172, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2174 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2175 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2176 = bits(_T_2175, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2177 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2178 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2179 = bits(_T_2178, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2180 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2181 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2182 = bits(_T_2181, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2183 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2184 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2185 = bits(_T_2184, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2186 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2187 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2188 = bits(_T_2187, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2189 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 448:149] + node _T_2166 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2167 = bits(_T_2166, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2168 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2169 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2170 = bits(_T_2169, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2171 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2172 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2173 = bits(_T_2172, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2174 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2175 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2176 = bits(_T_2175, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2177 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2178 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2179 = bits(_T_2178, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2180 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2181 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2182 = bits(_T_2181, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2183 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2184 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2185 = bits(_T_2184, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2186 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2187 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2188 = bits(_T_2187, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2189 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 449:149] node _T_2190 = mux(_T_2167, _T_2168, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2191 = mux(_T_2170, _T_2171, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2192 = mux(_T_2173, _T_2174, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3072,86 +3072,86 @@ circuit el2_ifu_mem_ctl : node _T_2204 = or(_T_2203, _T_2197) @[Mux.scala 27:72] wire ic_miss_buff_data_valid_inc_bypass_index : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_valid_inc_bypass_index <= _T_2204 @[Mux.scala 27:72] - node _T_2205 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 449:85] - node _T_2206 = eq(_T_2205, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:69] - node _T_2207 = and(ic_miss_buff_data_valid_bypass_index, _T_2206) @[el2_ifu_mem_ctl.scala 449:67] - node _T_2208 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 449:107] - node _T_2209 = eq(_T_2208, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:91] - node _T_2210 = and(_T_2207, _T_2209) @[el2_ifu_mem_ctl.scala 449:89] - node _T_2211 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 450:61] - node _T_2212 = eq(_T_2211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 450:45] - node _T_2213 = and(ic_miss_buff_data_valid_bypass_index, _T_2212) @[el2_ifu_mem_ctl.scala 450:43] - node _T_2214 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 450:83] - node _T_2215 = and(_T_2213, _T_2214) @[el2_ifu_mem_ctl.scala 450:65] - node _T_2216 = or(_T_2210, _T_2215) @[el2_ifu_mem_ctl.scala 449:112] - node _T_2217 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 451:61] - node _T_2218 = and(ic_miss_buff_data_valid_bypass_index, _T_2217) @[el2_ifu_mem_ctl.scala 451:43] - node _T_2219 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 451:83] - node _T_2220 = eq(_T_2219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 451:67] - node _T_2221 = and(_T_2218, _T_2220) @[el2_ifu_mem_ctl.scala 451:65] - node _T_2222 = or(_T_2216, _T_2221) @[el2_ifu_mem_ctl.scala 450:88] - node _T_2223 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 452:61] - node _T_2224 = and(ic_miss_buff_data_valid_bypass_index, _T_2223) @[el2_ifu_mem_ctl.scala 452:43] - node _T_2225 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 452:83] - node _T_2226 = and(_T_2224, _T_2225) @[el2_ifu_mem_ctl.scala 452:65] - node _T_2227 = and(_T_2226, ic_miss_buff_data_valid_inc_bypass_index) @[el2_ifu_mem_ctl.scala 452:87] - node _T_2228 = or(_T_2222, _T_2227) @[el2_ifu_mem_ctl.scala 451:88] - node _T_2229 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 453:61] + node _T_2205 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 450:85] + node _T_2206 = eq(_T_2205, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 450:69] + node _T_2207 = and(ic_miss_buff_data_valid_bypass_index, _T_2206) @[el2_ifu_mem_ctl.scala 450:67] + node _T_2208 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 450:107] + node _T_2209 = eq(_T_2208, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 450:91] + node _T_2210 = and(_T_2207, _T_2209) @[el2_ifu_mem_ctl.scala 450:89] + node _T_2211 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 451:61] + node _T_2212 = eq(_T_2211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 451:45] + node _T_2213 = and(ic_miss_buff_data_valid_bypass_index, _T_2212) @[el2_ifu_mem_ctl.scala 451:43] + node _T_2214 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 451:83] + node _T_2215 = and(_T_2213, _T_2214) @[el2_ifu_mem_ctl.scala 451:65] + node _T_2216 = or(_T_2210, _T_2215) @[el2_ifu_mem_ctl.scala 450:112] + node _T_2217 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 452:61] + node _T_2218 = and(ic_miss_buff_data_valid_bypass_index, _T_2217) @[el2_ifu_mem_ctl.scala 452:43] + node _T_2219 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 452:83] + node _T_2220 = eq(_T_2219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 452:67] + node _T_2221 = and(_T_2218, _T_2220) @[el2_ifu_mem_ctl.scala 452:65] + node _T_2222 = or(_T_2216, _T_2221) @[el2_ifu_mem_ctl.scala 451:88] + node _T_2223 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 453:61] + node _T_2224 = and(ic_miss_buff_data_valid_bypass_index, _T_2223) @[el2_ifu_mem_ctl.scala 453:43] + node _T_2225 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 453:83] + node _T_2226 = and(_T_2224, _T_2225) @[el2_ifu_mem_ctl.scala 453:65] + node _T_2227 = and(_T_2226, ic_miss_buff_data_valid_inc_bypass_index) @[el2_ifu_mem_ctl.scala 453:87] + node _T_2228 = or(_T_2222, _T_2227) @[el2_ifu_mem_ctl.scala 452:88] + node _T_2229 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:61] node _T_2230 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2231 = eq(_T_2229, _T_2230) @[el2_ifu_mem_ctl.scala 453:87] - node _T_2232 = and(ic_miss_buff_data_valid_bypass_index, _T_2231) @[el2_ifu_mem_ctl.scala 453:43] - node miss_buff_hit_unq_f = or(_T_2228, _T_2232) @[el2_ifu_mem_ctl.scala 452:131] - node _T_2233 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 455:30] - node _T_2234 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 455:68] - node _T_2235 = and(miss_buff_hit_unq_f, _T_2234) @[el2_ifu_mem_ctl.scala 455:66] - node _T_2236 = and(_T_2233, _T_2235) @[el2_ifu_mem_ctl.scala 455:43] - stream_hit_f <= _T_2236 @[el2_ifu_mem_ctl.scala 455:16] - node _T_2237 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 456:31] - node _T_2238 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 456:70] - node _T_2239 = and(miss_buff_hit_unq_f, _T_2238) @[el2_ifu_mem_ctl.scala 456:68] - node _T_2240 = eq(_T_2239, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 456:46] - node _T_2241 = and(_T_2237, _T_2240) @[el2_ifu_mem_ctl.scala 456:44] - node _T_2242 = and(_T_2241, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 456:84] - stream_miss_f <= _T_2242 @[el2_ifu_mem_ctl.scala 456:17] - node _T_2243 = bits(byp_fetch_index, 4, 1) @[el2_ifu_mem_ctl.scala 457:35] + node _T_2231 = eq(_T_2229, _T_2230) @[el2_ifu_mem_ctl.scala 454:87] + node _T_2232 = and(ic_miss_buff_data_valid_bypass_index, _T_2231) @[el2_ifu_mem_ctl.scala 454:43] + node miss_buff_hit_unq_f = or(_T_2228, _T_2232) @[el2_ifu_mem_ctl.scala 453:131] + node _T_2233 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 456:30] + node _T_2234 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 456:68] + node _T_2235 = and(miss_buff_hit_unq_f, _T_2234) @[el2_ifu_mem_ctl.scala 456:66] + node _T_2236 = and(_T_2233, _T_2235) @[el2_ifu_mem_ctl.scala 456:43] + stream_hit_f <= _T_2236 @[el2_ifu_mem_ctl.scala 456:16] + node _T_2237 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 457:31] + node _T_2238 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 457:70] + node _T_2239 = and(miss_buff_hit_unq_f, _T_2238) @[el2_ifu_mem_ctl.scala 457:68] + node _T_2240 = eq(_T_2239, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 457:46] + node _T_2241 = and(_T_2237, _T_2240) @[el2_ifu_mem_ctl.scala 457:44] + node _T_2242 = and(_T_2241, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 457:84] + stream_miss_f <= _T_2242 @[el2_ifu_mem_ctl.scala 457:17] + node _T_2243 = bits(byp_fetch_index, 4, 1) @[el2_ifu_mem_ctl.scala 458:35] node _T_2244 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2245 = eq(_T_2243, _T_2244) @[el2_ifu_mem_ctl.scala 457:60] - node _T_2246 = and(_T_2245, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 457:94] - node _T_2247 = and(_T_2246, stream_hit_f) @[el2_ifu_mem_ctl.scala 457:112] - stream_eol_f <= _T_2247 @[el2_ifu_mem_ctl.scala 457:16] - node _T_2248 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 458:55] - node _T_2249 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 458:87] - node _T_2250 = or(_T_2248, _T_2249) @[el2_ifu_mem_ctl.scala 458:74] - node _T_2251 = and(miss_buff_hit_unq_f, _T_2250) @[el2_ifu_mem_ctl.scala 458:41] - crit_byp_hit_f <= _T_2251 @[el2_ifu_mem_ctl.scala 458:18] - node _T_2252 = bits(ifu_bus_rid_ff, 2, 1) @[el2_ifu_mem_ctl.scala 461:37] - node _T_2253 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 461:70] - node _T_2254 = eq(_T_2253, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 461:55] + node _T_2245 = eq(_T_2243, _T_2244) @[el2_ifu_mem_ctl.scala 458:60] + node _T_2246 = and(_T_2245, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 458:94] + node _T_2247 = and(_T_2246, stream_hit_f) @[el2_ifu_mem_ctl.scala 458:112] + stream_eol_f <= _T_2247 @[el2_ifu_mem_ctl.scala 458:16] + node _T_2248 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 459:55] + node _T_2249 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 459:87] + node _T_2250 = or(_T_2248, _T_2249) @[el2_ifu_mem_ctl.scala 459:74] + node _T_2251 = and(miss_buff_hit_unq_f, _T_2250) @[el2_ifu_mem_ctl.scala 459:41] + crit_byp_hit_f <= _T_2251 @[el2_ifu_mem_ctl.scala 459:18] + node _T_2252 = bits(ifu_bus_rid_ff, 2, 1) @[el2_ifu_mem_ctl.scala 462:37] + node _T_2253 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 462:70] + node _T_2254 = eq(_T_2253, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 462:55] node other_tag = cat(_T_2252, _T_2254) @[Cat.scala 29:58] - node _T_2255 = eq(other_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2256 = bits(_T_2255, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2257 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2258 = eq(other_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2259 = bits(_T_2258, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2260 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2261 = eq(other_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2262 = bits(_T_2261, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2263 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2264 = eq(other_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2265 = bits(_T_2264, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2266 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2267 = eq(other_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2268 = bits(_T_2267, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2269 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2270 = eq(other_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2271 = bits(_T_2270, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2272 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2273 = eq(other_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2274 = bits(_T_2273, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2275 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2276 = eq(other_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2277 = bits(_T_2276, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2278 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 462:120] + node _T_2255 = eq(other_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2256 = bits(_T_2255, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2257 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2258 = eq(other_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2259 = bits(_T_2258, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2260 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2261 = eq(other_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2262 = bits(_T_2261, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2263 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2264 = eq(other_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2265 = bits(_T_2264, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2266 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2267 = eq(other_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2268 = bits(_T_2267, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2269 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2270 = eq(other_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2271 = bits(_T_2270, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2272 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2273 = eq(other_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2274 = bits(_T_2273, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2275 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2276 = eq(other_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2277 = bits(_T_2276, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2278 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 463:120] node _T_2279 = mux(_T_2256, _T_2257, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2280 = mux(_T_2259, _T_2260, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2281 = mux(_T_2262, _T_2263, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3169,56 +3169,56 @@ circuit el2_ifu_mem_ctl : node _T_2293 = or(_T_2292, _T_2286) @[Mux.scala 27:72] wire second_half_available : UInt<1> @[Mux.scala 27:72] second_half_available <= _T_2293 @[Mux.scala 27:72] - node _T_2294 = and(second_half_available, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 463:46] - write_ic_16_bytes <= _T_2294 @[el2_ifu_mem_ctl.scala 463:21] + node _T_2294 = and(second_half_available, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 464:46] + write_ic_16_bytes <= _T_2294 @[el2_ifu_mem_ctl.scala 464:21] node _T_2295 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2296 = eq(_T_2295, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2297 = bits(_T_2296, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2296 = eq(_T_2295, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2297 = bits(_T_2296, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2298 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2299 = eq(_T_2298, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2300 = bits(_T_2299, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2299 = eq(_T_2298, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2300 = bits(_T_2299, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2301 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2302 = eq(_T_2301, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2303 = bits(_T_2302, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2302 = eq(_T_2301, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2303 = bits(_T_2302, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2304 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2305 = eq(_T_2304, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2306 = bits(_T_2305, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2305 = eq(_T_2304, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2306 = bits(_T_2305, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2307 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2308 = eq(_T_2307, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2309 = bits(_T_2308, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2308 = eq(_T_2307, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2309 = bits(_T_2308, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2310 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2311 = eq(_T_2310, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2312 = bits(_T_2311, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2311 = eq(_T_2310, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2312 = bits(_T_2311, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2313 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2314 = eq(_T_2313, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2315 = bits(_T_2314, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2314 = eq(_T_2313, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2315 = bits(_T_2314, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2316 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2317 = eq(_T_2316, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2318 = bits(_T_2317, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2317 = eq(_T_2316, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2318 = bits(_T_2317, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2319 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2320 = eq(_T_2319, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2321 = bits(_T_2320, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2320 = eq(_T_2319, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2321 = bits(_T_2320, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2322 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2323 = eq(_T_2322, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2324 = bits(_T_2323, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2323 = eq(_T_2322, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2324 = bits(_T_2323, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2325 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2326 = eq(_T_2325, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2327 = bits(_T_2326, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2326 = eq(_T_2325, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2327 = bits(_T_2326, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2328 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2329 = eq(_T_2328, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2330 = bits(_T_2329, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2329 = eq(_T_2328, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2330 = bits(_T_2329, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2331 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2332 = eq(_T_2331, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2333 = bits(_T_2332, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2332 = eq(_T_2331, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2333 = bits(_T_2332, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2334 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2335 = eq(_T_2334, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2336 = bits(_T_2335, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2335 = eq(_T_2334, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2336 = bits(_T_2335, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2337 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2338 = eq(_T_2337, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2339 = bits(_T_2338, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2338 = eq(_T_2337, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2339 = bits(_T_2338, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2340 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2341 = eq(_T_2340, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2342 = bits(_T_2341, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2341 = eq(_T_2340, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2342 = bits(_T_2341, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2343 = mux(_T_2297, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2344 = mux(_T_2300, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2345 = mux(_T_2303, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3253,53 +3253,53 @@ circuit el2_ifu_mem_ctl : wire _T_2374 : UInt<32> @[Mux.scala 27:72] _T_2374 <= _T_2373 @[Mux.scala 27:72] node _T_2375 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2376 = eq(_T_2375, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2377 = bits(_T_2376, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2376 = eq(_T_2375, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2377 = bits(_T_2376, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2378 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2379 = eq(_T_2378, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2380 = bits(_T_2379, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2379 = eq(_T_2378, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2380 = bits(_T_2379, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2381 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2382 = eq(_T_2381, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2383 = bits(_T_2382, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2382 = eq(_T_2381, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2383 = bits(_T_2382, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2384 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2385 = eq(_T_2384, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2386 = bits(_T_2385, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2385 = eq(_T_2384, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2386 = bits(_T_2385, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2387 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2388 = eq(_T_2387, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2389 = bits(_T_2388, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2388 = eq(_T_2387, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2389 = bits(_T_2388, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2390 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2391 = eq(_T_2390, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2392 = bits(_T_2391, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2391 = eq(_T_2390, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2392 = bits(_T_2391, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2393 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2394 = eq(_T_2393, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2395 = bits(_T_2394, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2394 = eq(_T_2393, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2395 = bits(_T_2394, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2396 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2397 = eq(_T_2396, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2398 = bits(_T_2397, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2397 = eq(_T_2396, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2398 = bits(_T_2397, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2399 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2400 = eq(_T_2399, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2401 = bits(_T_2400, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2400 = eq(_T_2399, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2401 = bits(_T_2400, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2402 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2403 = eq(_T_2402, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2404 = bits(_T_2403, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2403 = eq(_T_2402, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2404 = bits(_T_2403, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2405 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2406 = eq(_T_2405, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2407 = bits(_T_2406, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2406 = eq(_T_2405, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2407 = bits(_T_2406, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2408 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2409 = eq(_T_2408, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2410 = bits(_T_2409, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2409 = eq(_T_2408, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2410 = bits(_T_2409, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2411 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2412 = eq(_T_2411, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2413 = bits(_T_2412, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2412 = eq(_T_2411, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2413 = bits(_T_2412, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2414 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2415 = eq(_T_2414, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2416 = bits(_T_2415, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2415 = eq(_T_2414, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2416 = bits(_T_2415, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2417 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2418 = eq(_T_2417, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2419 = bits(_T_2418, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2418 = eq(_T_2417, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2419 = bits(_T_2418, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2420 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2421 = eq(_T_2420, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2422 = bits(_T_2421, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2421 = eq(_T_2420, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2422 = bits(_T_2421, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2423 = mux(_T_2377, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2424 = mux(_T_2380, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2425 = mux(_T_2383, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3334,12 +3334,12 @@ circuit el2_ifu_mem_ctl : wire _T_2454 : UInt<32> @[Mux.scala 27:72] _T_2454 <= _T_2453 @[Mux.scala 27:72] node _T_2455 = cat(_T_2374, _T_2454) @[Cat.scala 29:58] - ic_miss_buff_half <= _T_2455 @[el2_ifu_mem_ctl.scala 464:21] - node _T_2456 = and(io.ic_tag_perr, sel_ic_data) @[el2_ifu_mem_ctl.scala 469:44] - node _T_2457 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 469:91] - node _T_2458 = eq(_T_2457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 469:60] - node _T_2459 = and(_T_2456, _T_2458) @[el2_ifu_mem_ctl.scala 469:58] - ic_rd_parity_final_err <= _T_2459 @[el2_ifu_mem_ctl.scala 469:26] + ic_miss_buff_half <= _T_2455 @[el2_ifu_mem_ctl.scala 465:21] + node _T_2456 = and(io.ic_tag_perr, sel_ic_data) @[el2_ifu_mem_ctl.scala 470:44] + node _T_2457 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 470:91] + node _T_2458 = eq(_T_2457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 470:60] + node _T_2459 = and(_T_2456, _T_2458) @[el2_ifu_mem_ctl.scala 470:58] + ic_rd_parity_final_err <= _T_2459 @[el2_ifu_mem_ctl.scala 470:26] wire ifu_ic_rw_int_addr_ff : UInt<7> ifu_ic_rw_int_addr_ff <= UInt<1>("h00") wire perr_sb_write_status : UInt<1> @@ -3352,16 +3352,16 @@ circuit el2_ifu_mem_ctl : perr_sel_invalidate <= UInt<1>("h00") node _T_2460 = bits(perr_sel_invalidate, 0, 0) @[Bitwise.scala 72:15] node perr_err_inv_way = mux(_T_2460, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_2461 = eq(perr_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 476:34] - iccm_correct_ecc <= _T_2461 @[el2_ifu_mem_ctl.scala 476:20] - node dma_sb_err_state = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 477:37] - wire dma_sb_err_state_ff : UInt<1> @[el2_ifu_mem_ctl.scala 478:33] - node _T_2462 = eq(dma_sb_err_state_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 479:49] - node _T_2463 = and(iccm_correct_ecc, _T_2462) @[el2_ifu_mem_ctl.scala 479:47] - io.iccm_buf_correct_ecc <= _T_2463 @[el2_ifu_mem_ctl.scala 479:27] - reg _T_2464 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 480:58] - _T_2464 <= dma_sb_err_state @[el2_ifu_mem_ctl.scala 480:58] - dma_sb_err_state_ff <= _T_2464 @[el2_ifu_mem_ctl.scala 480:23] + node _T_2461 = eq(perr_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 477:34] + iccm_correct_ecc <= _T_2461 @[el2_ifu_mem_ctl.scala 477:20] + node dma_sb_err_state = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 478:37] + wire dma_sb_err_state_ff : UInt<1> @[el2_ifu_mem_ctl.scala 479:33] + node _T_2462 = eq(dma_sb_err_state_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 480:49] + node _T_2463 = and(iccm_correct_ecc, _T_2462) @[el2_ifu_mem_ctl.scala 480:47] + io.iccm_buf_correct_ecc <= _T_2463 @[el2_ifu_mem_ctl.scala 480:27] + reg _T_2464 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 481:58] + _T_2464 <= dma_sb_err_state @[el2_ifu_mem_ctl.scala 481:58] + dma_sb_err_state_ff <= _T_2464 @[el2_ifu_mem_ctl.scala 481:23] wire perr_nxtstate : UInt<3> perr_nxtstate <= UInt<1>("h00") wire perr_state_en : UInt<1> @@ -3370,165 +3370,165 @@ circuit el2_ifu_mem_ctl : iccm_error_start <= UInt<1>("h00") node _T_2465 = eq(UInt<3>("h00"), perr_state) @[Conditional.scala 37:30] when _T_2465 : @[Conditional.scala 40:58] - node _T_2466 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 488:89] - node _T_2467 = and(io.ic_error_start, _T_2466) @[el2_ifu_mem_ctl.scala 488:87] - node _T_2468 = bits(_T_2467, 0, 0) @[el2_ifu_mem_ctl.scala 488:110] - node _T_2469 = mux(_T_2468, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 488:67] - node _T_2470 = mux(io.iccm_dma_sb_error, UInt<3>("h04"), _T_2469) @[el2_ifu_mem_ctl.scala 488:27] - perr_nxtstate <= _T_2470 @[el2_ifu_mem_ctl.scala 488:21] - node _T_2471 = or(iccm_error_start, io.ic_error_start) @[el2_ifu_mem_ctl.scala 489:44] - node _T_2472 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 489:67] - node _T_2473 = and(_T_2471, _T_2472) @[el2_ifu_mem_ctl.scala 489:65] - node _T_2474 = or(_T_2473, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 489:88] - node _T_2475 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 489:114] - node _T_2476 = and(_T_2474, _T_2475) @[el2_ifu_mem_ctl.scala 489:112] - perr_state_en <= _T_2476 @[el2_ifu_mem_ctl.scala 489:21] - perr_sb_write_status <= perr_state_en @[el2_ifu_mem_ctl.scala 490:28] + node _T_2466 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 489:89] + node _T_2467 = and(io.ic_error_start, _T_2466) @[el2_ifu_mem_ctl.scala 489:87] + node _T_2468 = bits(_T_2467, 0, 0) @[el2_ifu_mem_ctl.scala 489:110] + node _T_2469 = mux(_T_2468, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 489:67] + node _T_2470 = mux(io.iccm_dma_sb_error, UInt<3>("h04"), _T_2469) @[el2_ifu_mem_ctl.scala 489:27] + perr_nxtstate <= _T_2470 @[el2_ifu_mem_ctl.scala 489:21] + node _T_2471 = or(iccm_error_start, io.ic_error_start) @[el2_ifu_mem_ctl.scala 490:44] + node _T_2472 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 490:67] + node _T_2473 = and(_T_2471, _T_2472) @[el2_ifu_mem_ctl.scala 490:65] + node _T_2474 = or(_T_2473, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 490:88] + node _T_2475 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 490:114] + node _T_2476 = and(_T_2474, _T_2475) @[el2_ifu_mem_ctl.scala 490:112] + perr_state_en <= _T_2476 @[el2_ifu_mem_ctl.scala 490:21] + perr_sb_write_status <= perr_state_en @[el2_ifu_mem_ctl.scala 491:28] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_2477 = eq(UInt<3>("h01"), perr_state) @[Conditional.scala 37:30] when _T_2477 : @[Conditional.scala 39:67] - perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 493:21] - node _T_2478 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 494:50] - perr_state_en <= _T_2478 @[el2_ifu_mem_ctl.scala 494:21] - node _T_2479 = and(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 495:56] - perr_sel_invalidate <= _T_2479 @[el2_ifu_mem_ctl.scala 495:27] + perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 494:21] + node _T_2478 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 495:50] + perr_state_en <= _T_2478 @[el2_ifu_mem_ctl.scala 495:21] + node _T_2479 = and(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 496:56] + perr_sel_invalidate <= _T_2479 @[el2_ifu_mem_ctl.scala 496:27] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2480 = eq(UInt<3>("h02"), perr_state) @[Conditional.scala 37:30] when _T_2480 : @[Conditional.scala 39:67] - node _T_2481 = and(io.dec_tlu_flush_err_wb, io.dec_tlu_flush_lower_wb) @[el2_ifu_mem_ctl.scala 498:54] - node _T_2482 = or(_T_2481, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 498:84] - node _T_2483 = bits(_T_2482, 0, 0) @[el2_ifu_mem_ctl.scala 498:115] - node _T_2484 = mux(_T_2483, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 498:27] - perr_nxtstate <= _T_2484 @[el2_ifu_mem_ctl.scala 498:21] - node _T_2485 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 499:50] - perr_state_en <= _T_2485 @[el2_ifu_mem_ctl.scala 499:21] + node _T_2481 = and(io.dec_tlu_flush_err_wb, io.dec_tlu_flush_lower_wb) @[el2_ifu_mem_ctl.scala 499:54] + node _T_2482 = or(_T_2481, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 499:84] + node _T_2483 = bits(_T_2482, 0, 0) @[el2_ifu_mem_ctl.scala 499:115] + node _T_2484 = mux(_T_2483, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 499:27] + perr_nxtstate <= _T_2484 @[el2_ifu_mem_ctl.scala 499:21] + node _T_2485 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 500:50] + perr_state_en <= _T_2485 @[el2_ifu_mem_ctl.scala 500:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2486 = eq(UInt<3>("h04"), perr_state) @[Conditional.scala 37:30] when _T_2486 : @[Conditional.scala 39:67] - node _T_2487 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 502:27] - perr_nxtstate <= _T_2487 @[el2_ifu_mem_ctl.scala 502:21] - perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 503:21] + node _T_2487 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 503:27] + perr_nxtstate <= _T_2487 @[el2_ifu_mem_ctl.scala 503:21] + perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 504:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2488 = eq(UInt<3>("h03"), perr_state) @[Conditional.scala 37:30] when _T_2488 : @[Conditional.scala 39:67] - perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 506:21] - perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 507:21] + perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 507:21] + perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 508:21] skip @[Conditional.scala 39:67] reg _T_2489 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when perr_state_en : @[Reg.scala 28:19] _T_2489 <= perr_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - perr_state <= _T_2489 @[el2_ifu_mem_ctl.scala 510:14] + perr_state <= _T_2489 @[el2_ifu_mem_ctl.scala 511:14] wire err_stop_nxtstate : UInt<2> err_stop_nxtstate <= UInt<1>("h00") wire err_stop_state_en : UInt<1> err_stop_state_en <= UInt<1>("h00") - io.iccm_correction_state <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 514:28] + io.iccm_correction_state <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 515:28] node _T_2490 = eq(UInt<2>("h00"), err_stop_state) @[Conditional.scala 37:30] when _T_2490 : @[Conditional.scala 40:58] - err_stop_nxtstate <= UInt<2>("h01") @[el2_ifu_mem_ctl.scala 518:25] - node _T_2491 = eq(perr_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 519:66] - node _T_2492 = and(io.dec_tlu_flush_err_wb, _T_2491) @[el2_ifu_mem_ctl.scala 519:52] - node _T_2493 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 519:83] - node _T_2494 = and(_T_2492, _T_2493) @[el2_ifu_mem_ctl.scala 519:81] - err_stop_state_en <= _T_2494 @[el2_ifu_mem_ctl.scala 519:25] + err_stop_nxtstate <= UInt<2>("h01") @[el2_ifu_mem_ctl.scala 519:25] + node _T_2491 = eq(perr_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 520:66] + node _T_2492 = and(io.dec_tlu_flush_err_wb, _T_2491) @[el2_ifu_mem_ctl.scala 520:52] + node _T_2493 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 520:83] + node _T_2494 = and(_T_2492, _T_2493) @[el2_ifu_mem_ctl.scala 520:81] + err_stop_state_en <= _T_2494 @[el2_ifu_mem_ctl.scala 520:25] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_2495 = eq(UInt<2>("h01"), err_stop_state) @[Conditional.scala 37:30] when _T_2495 : @[Conditional.scala 39:67] - node _T_2496 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 522:59] - node _T_2497 = or(_T_2496, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 522:86] - node _T_2498 = bits(_T_2497, 0, 0) @[el2_ifu_mem_ctl.scala 522:117] - node _T_2499 = eq(io.ifu_fetch_val, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 523:31] - node _T_2500 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 523:56] - node _T_2501 = and(_T_2500, two_byte_instr) @[el2_ifu_mem_ctl.scala 523:59] - node _T_2502 = or(_T_2499, _T_2501) @[el2_ifu_mem_ctl.scala 523:38] - node _T_2503 = bits(_T_2502, 0, 0) @[el2_ifu_mem_ctl.scala 523:83] - node _T_2504 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 524:31] - node _T_2505 = bits(_T_2504, 0, 0) @[el2_ifu_mem_ctl.scala 524:41] - node _T_2506 = mux(_T_2505, UInt<2>("h02"), UInt<2>("h01")) @[el2_ifu_mem_ctl.scala 524:14] - node _T_2507 = mux(_T_2503, UInt<2>("h03"), _T_2506) @[el2_ifu_mem_ctl.scala 523:12] - node _T_2508 = mux(_T_2498, UInt<2>("h00"), _T_2507) @[el2_ifu_mem_ctl.scala 522:31] - err_stop_nxtstate <= _T_2508 @[el2_ifu_mem_ctl.scala 522:25] - node _T_2509 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 525:54] - node _T_2510 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 525:99] - node _T_2511 = or(_T_2509, _T_2510) @[el2_ifu_mem_ctl.scala 525:81] - node _T_2512 = or(_T_2511, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 525:103] - node _T_2513 = or(_T_2512, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 525:126] - err_stop_state_en <= _T_2513 @[el2_ifu_mem_ctl.scala 525:25] - node _T_2514 = bits(io.ifu_fetch_val, 1, 0) @[el2_ifu_mem_ctl.scala 526:43] - node _T_2515 = eq(_T_2514, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 526:48] - node _T_2516 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 526:75] - node _T_2517 = and(_T_2516, two_byte_instr) @[el2_ifu_mem_ctl.scala 526:79] - node _T_2518 = or(_T_2515, _T_2517) @[el2_ifu_mem_ctl.scala 526:56] - node _T_2519 = or(io.exu_flush_final, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 526:122] - node _T_2520 = eq(_T_2519, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 526:101] - node _T_2521 = and(_T_2518, _T_2520) @[el2_ifu_mem_ctl.scala 526:99] - err_stop_fetch <= _T_2521 @[el2_ifu_mem_ctl.scala 526:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 527:32] + node _T_2496 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 523:59] + node _T_2497 = or(_T_2496, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 523:86] + node _T_2498 = bits(_T_2497, 0, 0) @[el2_ifu_mem_ctl.scala 523:117] + node _T_2499 = eq(io.ifu_fetch_val, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 524:31] + node _T_2500 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 524:56] + node _T_2501 = and(_T_2500, two_byte_instr) @[el2_ifu_mem_ctl.scala 524:59] + node _T_2502 = or(_T_2499, _T_2501) @[el2_ifu_mem_ctl.scala 524:38] + node _T_2503 = bits(_T_2502, 0, 0) @[el2_ifu_mem_ctl.scala 524:83] + node _T_2504 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 525:31] + node _T_2505 = bits(_T_2504, 0, 0) @[el2_ifu_mem_ctl.scala 525:41] + node _T_2506 = mux(_T_2505, UInt<2>("h02"), UInt<2>("h01")) @[el2_ifu_mem_ctl.scala 525:14] + node _T_2507 = mux(_T_2503, UInt<2>("h03"), _T_2506) @[el2_ifu_mem_ctl.scala 524:12] + node _T_2508 = mux(_T_2498, UInt<2>("h00"), _T_2507) @[el2_ifu_mem_ctl.scala 523:31] + err_stop_nxtstate <= _T_2508 @[el2_ifu_mem_ctl.scala 523:25] + node _T_2509 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 526:54] + node _T_2510 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 526:99] + node _T_2511 = or(_T_2509, _T_2510) @[el2_ifu_mem_ctl.scala 526:81] + node _T_2512 = or(_T_2511, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 526:103] + node _T_2513 = or(_T_2512, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 526:126] + err_stop_state_en <= _T_2513 @[el2_ifu_mem_ctl.scala 526:25] + node _T_2514 = bits(io.ifu_fetch_val, 1, 0) @[el2_ifu_mem_ctl.scala 527:43] + node _T_2515 = eq(_T_2514, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 527:48] + node _T_2516 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 527:75] + node _T_2517 = and(_T_2516, two_byte_instr) @[el2_ifu_mem_ctl.scala 527:79] + node _T_2518 = or(_T_2515, _T_2517) @[el2_ifu_mem_ctl.scala 527:56] + node _T_2519 = or(io.exu_flush_final, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 527:122] + node _T_2520 = eq(_T_2519, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 527:101] + node _T_2521 = and(_T_2518, _T_2520) @[el2_ifu_mem_ctl.scala 527:99] + err_stop_fetch <= _T_2521 @[el2_ifu_mem_ctl.scala 527:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 528:32] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2522 = eq(UInt<2>("h02"), err_stop_state) @[Conditional.scala 37:30] when _T_2522 : @[Conditional.scala 39:67] - node _T_2523 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 530:59] - node _T_2524 = or(_T_2523, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 530:86] - node _T_2525 = bits(_T_2524, 0, 0) @[el2_ifu_mem_ctl.scala 530:111] - node _T_2526 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 531:46] - node _T_2527 = bits(_T_2526, 0, 0) @[el2_ifu_mem_ctl.scala 531:50] - node _T_2528 = mux(_T_2527, UInt<2>("h03"), UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 531:29] - node _T_2529 = mux(_T_2525, UInt<2>("h00"), _T_2528) @[el2_ifu_mem_ctl.scala 530:31] - err_stop_nxtstate <= _T_2529 @[el2_ifu_mem_ctl.scala 530:25] - node _T_2530 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 532:54] - node _T_2531 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 532:99] - node _T_2532 = or(_T_2530, _T_2531) @[el2_ifu_mem_ctl.scala 532:81] - node _T_2533 = or(_T_2532, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 532:103] - err_stop_state_en <= _T_2533 @[el2_ifu_mem_ctl.scala 532:25] - node _T_2534 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 533:41] - node _T_2535 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 533:47] - node _T_2536 = and(_T_2534, _T_2535) @[el2_ifu_mem_ctl.scala 533:45] - node _T_2537 = eq(io.dec_tlu_i0_commit_cmt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 533:69] - node _T_2538 = and(_T_2536, _T_2537) @[el2_ifu_mem_ctl.scala 533:67] - err_stop_fetch <= _T_2538 @[el2_ifu_mem_ctl.scala 533:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 534:32] + node _T_2523 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 531:59] + node _T_2524 = or(_T_2523, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 531:86] + node _T_2525 = bits(_T_2524, 0, 0) @[el2_ifu_mem_ctl.scala 531:111] + node _T_2526 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 532:46] + node _T_2527 = bits(_T_2526, 0, 0) @[el2_ifu_mem_ctl.scala 532:50] + node _T_2528 = mux(_T_2527, UInt<2>("h03"), UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 532:29] + node _T_2529 = mux(_T_2525, UInt<2>("h00"), _T_2528) @[el2_ifu_mem_ctl.scala 531:31] + err_stop_nxtstate <= _T_2529 @[el2_ifu_mem_ctl.scala 531:25] + node _T_2530 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 533:54] + node _T_2531 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 533:99] + node _T_2532 = or(_T_2530, _T_2531) @[el2_ifu_mem_ctl.scala 533:81] + node _T_2533 = or(_T_2532, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 533:103] + err_stop_state_en <= _T_2533 @[el2_ifu_mem_ctl.scala 533:25] + node _T_2534 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 534:41] + node _T_2535 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 534:47] + node _T_2536 = and(_T_2534, _T_2535) @[el2_ifu_mem_ctl.scala 534:45] + node _T_2537 = eq(io.dec_tlu_i0_commit_cmt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 534:69] + node _T_2538 = and(_T_2536, _T_2537) @[el2_ifu_mem_ctl.scala 534:67] + err_stop_fetch <= _T_2538 @[el2_ifu_mem_ctl.scala 534:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 535:32] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2539 = eq(UInt<2>("h03"), err_stop_state) @[Conditional.scala 37:30] when _T_2539 : @[Conditional.scala 39:67] - node _T_2540 = eq(io.dec_tlu_flush_err_wb, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 537:62] - node _T_2541 = and(io.dec_tlu_flush_lower_wb, _T_2540) @[el2_ifu_mem_ctl.scala 537:60] - node _T_2542 = or(_T_2541, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 537:88] - node _T_2543 = or(_T_2542, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 537:115] - node _T_2544 = bits(_T_2543, 0, 0) @[el2_ifu_mem_ctl.scala 537:140] - node _T_2545 = bits(io.dec_tlu_flush_err_wb, 0, 0) @[el2_ifu_mem_ctl.scala 538:60] - node _T_2546 = mux(_T_2545, UInt<2>("h01"), UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 538:29] - node _T_2547 = mux(_T_2544, UInt<2>("h00"), _T_2546) @[el2_ifu_mem_ctl.scala 537:31] - err_stop_nxtstate <= _T_2547 @[el2_ifu_mem_ctl.scala 537:25] - node _T_2548 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 539:54] - node _T_2549 = or(_T_2548, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 539:81] - err_stop_state_en <= _T_2549 @[el2_ifu_mem_ctl.scala 539:25] - err_stop_fetch <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 540:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 541:32] + node _T_2540 = eq(io.dec_tlu_flush_err_wb, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 538:62] + node _T_2541 = and(io.dec_tlu_flush_lower_wb, _T_2540) @[el2_ifu_mem_ctl.scala 538:60] + node _T_2542 = or(_T_2541, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 538:88] + node _T_2543 = or(_T_2542, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 538:115] + node _T_2544 = bits(_T_2543, 0, 0) @[el2_ifu_mem_ctl.scala 538:140] + node _T_2545 = bits(io.dec_tlu_flush_err_wb, 0, 0) @[el2_ifu_mem_ctl.scala 539:60] + node _T_2546 = mux(_T_2545, UInt<2>("h01"), UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 539:29] + node _T_2547 = mux(_T_2544, UInt<2>("h00"), _T_2546) @[el2_ifu_mem_ctl.scala 538:31] + err_stop_nxtstate <= _T_2547 @[el2_ifu_mem_ctl.scala 538:25] + node _T_2548 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 540:54] + node _T_2549 = or(_T_2548, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 540:81] + err_stop_state_en <= _T_2549 @[el2_ifu_mem_ctl.scala 540:25] + err_stop_fetch <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 541:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 542:32] skip @[Conditional.scala 39:67] reg _T_2550 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when err_stop_state_en : @[Reg.scala 28:19] _T_2550 <= err_stop_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - err_stop_state <= _T_2550 @[el2_ifu_mem_ctl.scala 544:18] - bus_ifu_bus_clk_en <= io.ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 545:22] - reg bus_ifu_bus_clk_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 546:61] - bus_ifu_bus_clk_en_ff <= bus_ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 546:61] - reg _T_2551 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 547:52] - _T_2551 <= scnd_miss_req_in @[el2_ifu_mem_ctl.scala 547:52] - scnd_miss_req_q <= _T_2551 @[el2_ifu_mem_ctl.scala 547:19] - reg scnd_miss_req_ff2 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 548:57] - scnd_miss_req_ff2 <= scnd_miss_req @[el2_ifu_mem_ctl.scala 548:57] - node _T_2552 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 549:39] - node _T_2553 = and(scnd_miss_req_q, _T_2552) @[el2_ifu_mem_ctl.scala 549:36] - scnd_miss_req <= _T_2553 @[el2_ifu_mem_ctl.scala 549:17] + err_stop_state <= _T_2550 @[el2_ifu_mem_ctl.scala 545:18] + bus_ifu_bus_clk_en <= io.ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 546:22] + reg bus_ifu_bus_clk_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 547:61] + bus_ifu_bus_clk_en_ff <= bus_ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 547:61] + reg _T_2551 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 548:52] + _T_2551 <= scnd_miss_req_in @[el2_ifu_mem_ctl.scala 548:52] + scnd_miss_req_q <= _T_2551 @[el2_ifu_mem_ctl.scala 548:19] + reg scnd_miss_req_ff2 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 549:57] + scnd_miss_req_ff2 <= scnd_miss_req @[el2_ifu_mem_ctl.scala 549:57] + node _T_2552 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 550:39] + node _T_2553 = and(scnd_miss_req_q, _T_2552) @[el2_ifu_mem_ctl.scala 550:36] + scnd_miss_req <= _T_2553 @[el2_ifu_mem_ctl.scala 550:17] wire bus_cmd_req_hold : UInt<1> bus_cmd_req_hold <= UInt<1>("h00") wire ifu_bus_cmd_valid : UInt<1> @@ -3537,49 +3537,49 @@ circuit el2_ifu_mem_ctl : bus_cmd_beat_count <= UInt<1>("h00") wire ifu_bus_cmd_ready : UInt<1> ifu_bus_cmd_ready <= UInt<1>("h00") - node _T_2554 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 554:45] - node _T_2555 = or(_T_2554, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 554:64] - node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 554:87] - node _T_2557 = and(_T_2555, _T_2556) @[el2_ifu_mem_ctl.scala 554:85] + node _T_2554 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 555:45] + node _T_2555 = or(_T_2554, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 555:64] + node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 555:87] + node _T_2557 = and(_T_2555, _T_2556) @[el2_ifu_mem_ctl.scala 555:85] node _T_2558 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2559 = eq(bus_cmd_beat_count, _T_2558) @[el2_ifu_mem_ctl.scala 554:133] - node _T_2560 = and(_T_2559, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 554:164] - node _T_2561 = and(_T_2560, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 554:184] - node _T_2562 = and(_T_2561, miss_pending) @[el2_ifu_mem_ctl.scala 554:204] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 554:112] - node ifc_bus_ic_req_ff_in = and(_T_2557, _T_2563) @[el2_ifu_mem_ctl.scala 554:110] - node _T_2564 = or(bus_ifu_bus_clk_en, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 555:80] + node _T_2559 = eq(bus_cmd_beat_count, _T_2558) @[el2_ifu_mem_ctl.scala 555:133] + node _T_2560 = and(_T_2559, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 555:164] + node _T_2561 = and(_T_2560, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 555:184] + node _T_2562 = and(_T_2561, miss_pending) @[el2_ifu_mem_ctl.scala 555:204] + node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 555:112] + node ifc_bus_ic_req_ff_in = and(_T_2557, _T_2563) @[el2_ifu_mem_ctl.scala 555:110] + node _T_2564 = or(bus_ifu_bus_clk_en, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 556:80] reg _T_2565 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2564 : @[Reg.scala 28:19] _T_2565 <= ifc_bus_ic_req_ff_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_cmd_valid <= _T_2565 @[el2_ifu_mem_ctl.scala 555:21] + ifu_bus_cmd_valid <= _T_2565 @[el2_ifu_mem_ctl.scala 556:21] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_2566 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 557:39] - node _T_2567 = eq(bus_cmd_sent, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 557:61] - node _T_2568 = and(_T_2566, _T_2567) @[el2_ifu_mem_ctl.scala 557:59] - node _T_2569 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 557:77] - node bus_cmd_req_in = and(_T_2568, _T_2569) @[el2_ifu_mem_ctl.scala 557:75] - reg _T_2570 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 558:49] - _T_2570 <= bus_cmd_req_in @[el2_ifu_mem_ctl.scala 558:49] - bus_cmd_sent <= _T_2570 @[el2_ifu_mem_ctl.scala 558:16] - io.ifu_axi_arvalid <= ifu_bus_cmd_valid @[el2_ifu_mem_ctl.scala 560:22] + node _T_2566 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 558:39] + node _T_2567 = eq(bus_cmd_sent, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 558:61] + node _T_2568 = and(_T_2566, _T_2567) @[el2_ifu_mem_ctl.scala 558:59] + node _T_2569 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 558:77] + node bus_cmd_req_in = and(_T_2568, _T_2569) @[el2_ifu_mem_ctl.scala 558:75] + reg _T_2570 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 559:49] + _T_2570 <= bus_cmd_req_in @[el2_ifu_mem_ctl.scala 559:49] + bus_cmd_sent <= _T_2570 @[el2_ifu_mem_ctl.scala 559:16] + io.ifu_axi_arvalid <= ifu_bus_cmd_valid @[el2_ifu_mem_ctl.scala 561:22] node _T_2571 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] node _T_2572 = mux(_T_2571, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2573 = and(bus_rd_addr_count, _T_2572) @[el2_ifu_mem_ctl.scala 561:40] - io.ifu_axi_arid <= _T_2573 @[el2_ifu_mem_ctl.scala 561:19] + node _T_2573 = and(bus_rd_addr_count, _T_2572) @[el2_ifu_mem_ctl.scala 562:40] + io.ifu_axi_arid <= _T_2573 @[el2_ifu_mem_ctl.scala 562:19] node _T_2574 = cat(ifu_ic_req_addr_f, UInt<3>("h00")) @[Cat.scala 29:58] node _T_2575 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] node _T_2576 = mux(_T_2575, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_2577 = and(_T_2574, _T_2576) @[el2_ifu_mem_ctl.scala 562:57] - io.ifu_axi_araddr <= _T_2577 @[el2_ifu_mem_ctl.scala 562:21] - io.ifu_axi_arsize <= UInt<3>("h03") @[el2_ifu_mem_ctl.scala 563:21] - io.ifu_axi_arcache <= UInt<4>("h0f") @[el2_ifu_mem_ctl.scala 564:22] - node _T_2578 = bits(ifu_ic_req_addr_f, 28, 25) @[el2_ifu_mem_ctl.scala 565:43] - io.ifu_axi_arregion <= _T_2578 @[el2_ifu_mem_ctl.scala 565:23] - io.ifu_axi_arburst <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 566:22] - io.ifu_axi_rready <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 567:21] + node _T_2577 = and(_T_2574, _T_2576) @[el2_ifu_mem_ctl.scala 563:57] + io.ifu_axi_araddr <= _T_2577 @[el2_ifu_mem_ctl.scala 563:21] + io.ifu_axi_arsize <= UInt<3>("h03") @[el2_ifu_mem_ctl.scala 564:21] + io.ifu_axi_arcache <= UInt<4>("h0f") @[el2_ifu_mem_ctl.scala 565:22] + node _T_2578 = bits(ifu_ic_req_addr_f, 28, 25) @[el2_ifu_mem_ctl.scala 566:43] + io.ifu_axi_arregion <= _T_2578 @[el2_ifu_mem_ctl.scala 566:23] + io.ifu_axi_arburst <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 567:22] + io.ifu_axi_rready <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 568:21] reg ifu_bus_arready_unq_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when bus_ifu_bus_clk_en : @[Reg.scala 28:19] ifu_bus_arready_unq_ff <= io.ifu_axi_arready @[Reg.scala 28:23] @@ -3600,42 +3600,42 @@ circuit el2_ifu_mem_ctl : when bus_ifu_bus_clk_en : @[Reg.scala 28:19] _T_2579 <= io.ifu_axi_rdata @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_rdata_ff <= _T_2579 @[el2_ifu_mem_ctl.scala 577:20] + ifu_bus_rdata_ff <= _T_2579 @[el2_ifu_mem_ctl.scala 578:20] reg _T_2580 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when bus_ifu_bus_clk_en : @[Reg.scala 28:19] _T_2580 <= io.ifu_axi_rid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_rid_ff <= _T_2580 @[el2_ifu_mem_ctl.scala 578:18] - ifu_bus_cmd_ready <= io.ifu_axi_arready @[el2_ifu_mem_ctl.scala 579:21] - ifu_bus_rsp_valid <= io.ifu_axi_rvalid @[el2_ifu_mem_ctl.scala 580:21] - ifu_bus_rsp_ready <= io.ifu_axi_rready @[el2_ifu_mem_ctl.scala 581:21] - ifu_bus_rsp_tag <= io.ifu_axi_rid @[el2_ifu_mem_ctl.scala 582:19] - ic_miss_buff_data_in <= io.ifu_axi_rdata @[el2_ifu_mem_ctl.scala 583:21] - node ifu_bus_rvalid = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 585:42] - node ifu_bus_arready = and(io.ifu_axi_arready, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 586:45] - node ifu_bus_arready_ff = and(ifu_bus_arready_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 587:51] - node ifu_bus_rvalid_ff = and(ifu_bus_rvalid_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 588:49] - node _T_2581 = and(io.ifu_axi_arvalid, ifu_bus_arready) @[el2_ifu_mem_ctl.scala 589:35] - node _T_2582 = and(_T_2581, miss_pending) @[el2_ifu_mem_ctl.scala 589:53] - node _T_2583 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 589:70] - node _T_2584 = and(_T_2582, _T_2583) @[el2_ifu_mem_ctl.scala 589:68] - bus_cmd_sent <= _T_2584 @[el2_ifu_mem_ctl.scala 589:16] + ifu_bus_rid_ff <= _T_2580 @[el2_ifu_mem_ctl.scala 579:18] + ifu_bus_cmd_ready <= io.ifu_axi_arready @[el2_ifu_mem_ctl.scala 580:21] + ifu_bus_rsp_valid <= io.ifu_axi_rvalid @[el2_ifu_mem_ctl.scala 581:21] + ifu_bus_rsp_ready <= io.ifu_axi_rready @[el2_ifu_mem_ctl.scala 582:21] + ifu_bus_rsp_tag <= io.ifu_axi_rid @[el2_ifu_mem_ctl.scala 583:19] + ic_miss_buff_data_in <= io.ifu_axi_rdata @[el2_ifu_mem_ctl.scala 584:21] + node ifu_bus_rvalid = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 586:42] + node ifu_bus_arready = and(io.ifu_axi_arready, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 587:45] + node ifu_bus_arready_ff = and(ifu_bus_arready_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 588:51] + node ifu_bus_rvalid_ff = and(ifu_bus_rvalid_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 589:49] + node _T_2581 = and(io.ifu_axi_arvalid, ifu_bus_arready) @[el2_ifu_mem_ctl.scala 590:35] + node _T_2582 = and(_T_2581, miss_pending) @[el2_ifu_mem_ctl.scala 590:53] + node _T_2583 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 590:70] + node _T_2584 = and(_T_2582, _T_2583) @[el2_ifu_mem_ctl.scala 590:68] + bus_cmd_sent <= _T_2584 @[el2_ifu_mem_ctl.scala 590:16] wire bus_last_data_beat : UInt<1> bus_last_data_beat <= UInt<1>("h00") - node _T_2585 = eq(bus_last_data_beat, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 591:50] - node _T_2586 = and(bus_ifu_wr_en_ff, _T_2585) @[el2_ifu_mem_ctl.scala 591:48] - node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 591:72] - node bus_inc_data_beat_cnt = and(_T_2586, _T_2587) @[el2_ifu_mem_ctl.scala 591:70] - node _T_2588 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 592:68] - node _T_2589 = or(ic_act_miss_f, _T_2588) @[el2_ifu_mem_ctl.scala 592:48] - node bus_reset_data_beat_cnt = or(_T_2589, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 592:91] - node _T_2590 = eq(bus_inc_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 593:32] - node _T_2591 = eq(bus_reset_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 593:57] - node bus_hold_data_beat_cnt = and(_T_2590, _T_2591) @[el2_ifu_mem_ctl.scala 593:55] + node _T_2585 = eq(bus_last_data_beat, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 592:50] + node _T_2586 = and(bus_ifu_wr_en_ff, _T_2585) @[el2_ifu_mem_ctl.scala 592:48] + node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 592:72] + node bus_inc_data_beat_cnt = and(_T_2586, _T_2587) @[el2_ifu_mem_ctl.scala 592:70] + node _T_2588 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 593:68] + node _T_2589 = or(ic_act_miss_f, _T_2588) @[el2_ifu_mem_ctl.scala 593:48] + node bus_reset_data_beat_cnt = or(_T_2589, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 593:91] + node _T_2590 = eq(bus_inc_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 594:32] + node _T_2591 = eq(bus_reset_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 594:57] + node bus_hold_data_beat_cnt = and(_T_2590, _T_2591) @[el2_ifu_mem_ctl.scala 594:55] wire bus_data_beat_count : UInt<3> bus_data_beat_count <= UInt<1>("h00") - node _T_2592 = add(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 595:115] - node _T_2593 = tail(_T_2592, 1) @[el2_ifu_mem_ctl.scala 595:115] + node _T_2592 = add(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 596:115] + node _T_2593 = tail(_T_2592, 1) @[el2_ifu_mem_ctl.scala 596:115] node _T_2594 = mux(bus_reset_data_beat_cnt, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2595 = mux(bus_inc_data_beat_cnt, _T_2593, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2596 = mux(bus_hold_data_beat_cnt, bus_data_beat_count, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3643,52 +3643,52 @@ circuit el2_ifu_mem_ctl : node _T_2598 = or(_T_2597, _T_2596) @[Mux.scala 27:72] wire _T_2599 : UInt<3> @[Mux.scala 27:72] _T_2599 <= _T_2598 @[Mux.scala 27:72] - bus_new_data_beat_count <= _T_2599 @[el2_ifu_mem_ctl.scala 595:27] - reg _T_2600 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 596:56] - _T_2600 <= bus_new_data_beat_count @[el2_ifu_mem_ctl.scala 596:56] - bus_data_beat_count <= _T_2600 @[el2_ifu_mem_ctl.scala 596:23] - node _T_2601 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 597:49] - node _T_2602 = eq(scnd_miss_req, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 597:73] - node _T_2603 = and(_T_2601, _T_2602) @[el2_ifu_mem_ctl.scala 597:71] - node _T_2604 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 597:116] - node _T_2605 = and(last_data_recieved_ff, _T_2604) @[el2_ifu_mem_ctl.scala 597:114] - node last_data_recieved_in = or(_T_2603, _T_2605) @[el2_ifu_mem_ctl.scala 597:89] - reg _T_2606 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 598:58] - _T_2606 <= last_data_recieved_in @[el2_ifu_mem_ctl.scala 598:58] - last_data_recieved_ff <= _T_2606 @[el2_ifu_mem_ctl.scala 598:25] - node _T_2607 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 600:35] - node _T_2608 = bits(imb_ff, 4, 2) @[el2_ifu_mem_ctl.scala 600:56] - node _T_2609 = bits(imb_scnd_ff, 4, 2) @[el2_ifu_mem_ctl.scala 601:39] - node _T_2610 = add(bus_rd_addr_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 602:45] - node _T_2611 = tail(_T_2610, 1) @[el2_ifu_mem_ctl.scala 602:45] - node _T_2612 = mux(bus_cmd_sent, _T_2611, bus_rd_addr_count) @[el2_ifu_mem_ctl.scala 602:12] - node _T_2613 = mux(scnd_miss_req_q, _T_2609, _T_2612) @[el2_ifu_mem_ctl.scala 601:10] - node bus_new_rd_addr_count = mux(_T_2607, _T_2608, _T_2613) @[el2_ifu_mem_ctl.scala 600:34] - node _T_2614 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 603:81] - node _T_2615 = or(_T_2614, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 603:97] + bus_new_data_beat_count <= _T_2599 @[el2_ifu_mem_ctl.scala 596:27] + reg _T_2600 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 597:56] + _T_2600 <= bus_new_data_beat_count @[el2_ifu_mem_ctl.scala 597:56] + bus_data_beat_count <= _T_2600 @[el2_ifu_mem_ctl.scala 597:23] + node _T_2601 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 598:49] + node _T_2602 = eq(scnd_miss_req, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 598:73] + node _T_2603 = and(_T_2601, _T_2602) @[el2_ifu_mem_ctl.scala 598:71] + node _T_2604 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 598:116] + node _T_2605 = and(last_data_recieved_ff, _T_2604) @[el2_ifu_mem_ctl.scala 598:114] + node last_data_recieved_in = or(_T_2603, _T_2605) @[el2_ifu_mem_ctl.scala 598:89] + reg _T_2606 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 599:58] + _T_2606 <= last_data_recieved_in @[el2_ifu_mem_ctl.scala 599:58] + last_data_recieved_ff <= _T_2606 @[el2_ifu_mem_ctl.scala 599:25] + node _T_2607 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 601:35] + node _T_2608 = bits(imb_ff, 4, 2) @[el2_ifu_mem_ctl.scala 601:56] + node _T_2609 = bits(imb_scnd_ff, 4, 2) @[el2_ifu_mem_ctl.scala 602:39] + node _T_2610 = add(bus_rd_addr_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 603:45] + node _T_2611 = tail(_T_2610, 1) @[el2_ifu_mem_ctl.scala 603:45] + node _T_2612 = mux(bus_cmd_sent, _T_2611, bus_rd_addr_count) @[el2_ifu_mem_ctl.scala 603:12] + node _T_2613 = mux(scnd_miss_req_q, _T_2609, _T_2612) @[el2_ifu_mem_ctl.scala 602:10] + node bus_new_rd_addr_count = mux(_T_2607, _T_2608, _T_2613) @[el2_ifu_mem_ctl.scala 601:34] + node _T_2614 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 604:81] + node _T_2615 = or(_T_2614, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 604:97] reg _T_2616 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2615 : @[Reg.scala 28:19] _T_2616 <= bus_new_rd_addr_count @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bus_rd_addr_count <= _T_2616 @[el2_ifu_mem_ctl.scala 603:21] - node _T_2617 = and(ifu_bus_cmd_valid, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 605:48] - node _T_2618 = and(_T_2617, miss_pending) @[el2_ifu_mem_ctl.scala 605:68] - node _T_2619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 605:85] - node bus_inc_cmd_beat_cnt = and(_T_2618, _T_2619) @[el2_ifu_mem_ctl.scala 605:83] - node _T_2620 = eq(uncacheable_miss_in, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 606:51] - node _T_2621 = and(ic_act_miss_f, _T_2620) @[el2_ifu_mem_ctl.scala 606:49] - node bus_reset_cmd_beat_cnt_0 = or(_T_2621, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 606:73] - node bus_reset_cmd_beat_cnt_secondlast = and(ic_act_miss_f, uncacheable_miss_in) @[el2_ifu_mem_ctl.scala 607:57] - node _T_2622 = eq(bus_inc_cmd_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 608:31] - node _T_2623 = or(ic_act_miss_f, scnd_miss_req) @[el2_ifu_mem_ctl.scala 608:71] - node _T_2624 = or(_T_2623, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 608:87] - node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 608:55] - node bus_hold_cmd_beat_cnt = and(_T_2622, _T_2625) @[el2_ifu_mem_ctl.scala 608:53] - node _T_2626 = or(bus_inc_cmd_beat_cnt, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 609:46] - node bus_cmd_beat_en = or(_T_2626, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 609:62] - node _T_2627 = bits(bus_reset_cmd_beat_cnt_secondlast, 0, 0) @[el2_ifu_mem_ctl.scala 610:107] - node _T_2628 = add(bus_cmd_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 611:46] - node _T_2629 = tail(_T_2628, 1) @[el2_ifu_mem_ctl.scala 611:46] + bus_rd_addr_count <= _T_2616 @[el2_ifu_mem_ctl.scala 604:21] + node _T_2617 = and(ifu_bus_cmd_valid, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 606:48] + node _T_2618 = and(_T_2617, miss_pending) @[el2_ifu_mem_ctl.scala 606:68] + node _T_2619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 606:85] + node bus_inc_cmd_beat_cnt = and(_T_2618, _T_2619) @[el2_ifu_mem_ctl.scala 606:83] + node _T_2620 = eq(uncacheable_miss_in, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 607:51] + node _T_2621 = and(ic_act_miss_f, _T_2620) @[el2_ifu_mem_ctl.scala 607:49] + node bus_reset_cmd_beat_cnt_0 = or(_T_2621, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 607:73] + node bus_reset_cmd_beat_cnt_secondlast = and(ic_act_miss_f, uncacheable_miss_in) @[el2_ifu_mem_ctl.scala 608:57] + node _T_2622 = eq(bus_inc_cmd_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 609:31] + node _T_2623 = or(ic_act_miss_f, scnd_miss_req) @[el2_ifu_mem_ctl.scala 609:71] + node _T_2624 = or(_T_2623, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 609:87] + node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 609:55] + node bus_hold_cmd_beat_cnt = and(_T_2622, _T_2625) @[el2_ifu_mem_ctl.scala 609:53] + node _T_2626 = or(bus_inc_cmd_beat_cnt, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 610:46] + node bus_cmd_beat_en = or(_T_2626, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 610:62] + node _T_2627 = bits(bus_reset_cmd_beat_cnt_secondlast, 0, 0) @[el2_ifu_mem_ctl.scala 611:107] + node _T_2628 = add(bus_cmd_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 612:46] + node _T_2629 = tail(_T_2628, 1) @[el2_ifu_mem_ctl.scala 612:46] node _T_2630 = mux(bus_reset_cmd_beat_cnt_0, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2631 = mux(_T_2627, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2632 = mux(bus_inc_cmd_beat_cnt, _T_2629, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3698,91 +3698,91 @@ circuit el2_ifu_mem_ctl : node _T_2636 = or(_T_2635, _T_2633) @[Mux.scala 27:72] wire bus_new_cmd_beat_count : UInt<3> @[Mux.scala 27:72] bus_new_cmd_beat_count <= _T_2636 @[Mux.scala 27:72] - node _T_2637 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 612:84] - node _T_2638 = or(_T_2637, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 612:100] - node _T_2639 = and(_T_2638, bus_cmd_beat_en) @[el2_ifu_mem_ctl.scala 612:125] + node _T_2637 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 613:84] + node _T_2638 = or(_T_2637, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 613:100] + node _T_2639 = and(_T_2638, bus_cmd_beat_en) @[el2_ifu_mem_ctl.scala 613:125] reg _T_2640 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2639 : @[Reg.scala 28:19] _T_2640 <= bus_new_cmd_beat_count @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bus_cmd_beat_count <= _T_2640 @[el2_ifu_mem_ctl.scala 612:22] - node _T_2641 = eq(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 613:69] - node _T_2642 = andr(bus_data_beat_count) @[el2_ifu_mem_ctl.scala 613:101] - node _T_2643 = mux(uncacheable_miss_ff, _T_2641, _T_2642) @[el2_ifu_mem_ctl.scala 613:28] - bus_last_data_beat <= _T_2643 @[el2_ifu_mem_ctl.scala 613:22] - node _T_2644 = and(ifu_bus_rvalid, miss_pending) @[el2_ifu_mem_ctl.scala 614:35] - bus_ifu_wr_en <= _T_2644 @[el2_ifu_mem_ctl.scala 614:17] - node _T_2645 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 615:41] - bus_ifu_wr_en_ff <= _T_2645 @[el2_ifu_mem_ctl.scala 615:20] - node _T_2646 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 616:44] - node _T_2647 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 616:61] - node _T_2648 = and(_T_2646, _T_2647) @[el2_ifu_mem_ctl.scala 616:59] - node _T_2649 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 616:103] - node _T_2650 = eq(_T_2649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 616:84] - node _T_2651 = and(_T_2648, _T_2650) @[el2_ifu_mem_ctl.scala 616:82] - node _T_2652 = and(_T_2651, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 616:108] - bus_ifu_wr_en_ff_q <= _T_2652 @[el2_ifu_mem_ctl.scala 616:22] - node _T_2653 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 617:51] - node _T_2654 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 617:68] - node bus_ifu_wr_en_ff_wo_err = and(_T_2653, _T_2654) @[el2_ifu_mem_ctl.scala 617:66] - reg ic_act_miss_f_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 618:61] - ic_act_miss_f_delayed <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 618:61] - node _T_2655 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 619:66] - node _T_2656 = and(ic_act_miss_f_delayed, _T_2655) @[el2_ifu_mem_ctl.scala 619:53] - node _T_2657 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 619:86] - node _T_2658 = and(_T_2656, _T_2657) @[el2_ifu_mem_ctl.scala 619:84] - reset_tag_valid_for_miss <= _T_2658 @[el2_ifu_mem_ctl.scala 619:28] - node _T_2659 = orr(io.ifu_axi_rresp) @[el2_ifu_mem_ctl.scala 620:47] - node _T_2660 = and(_T_2659, ifu_bus_rvalid) @[el2_ifu_mem_ctl.scala 620:50] - node _T_2661 = and(_T_2660, miss_pending) @[el2_ifu_mem_ctl.scala 620:68] - bus_ifu_wr_data_error <= _T_2661 @[el2_ifu_mem_ctl.scala 620:25] - node _T_2662 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 621:48] - node _T_2663 = and(_T_2662, ifu_bus_rvalid_ff) @[el2_ifu_mem_ctl.scala 621:52] - node _T_2664 = and(_T_2663, miss_pending) @[el2_ifu_mem_ctl.scala 621:73] - bus_ifu_wr_data_error_ff <= _T_2664 @[el2_ifu_mem_ctl.scala 621:28] + bus_cmd_beat_count <= _T_2640 @[el2_ifu_mem_ctl.scala 613:22] + node _T_2641 = eq(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 614:69] + node _T_2642 = andr(bus_data_beat_count) @[el2_ifu_mem_ctl.scala 614:101] + node _T_2643 = mux(uncacheable_miss_ff, _T_2641, _T_2642) @[el2_ifu_mem_ctl.scala 614:28] + bus_last_data_beat <= _T_2643 @[el2_ifu_mem_ctl.scala 614:22] + node _T_2644 = and(ifu_bus_rvalid, miss_pending) @[el2_ifu_mem_ctl.scala 615:35] + bus_ifu_wr_en <= _T_2644 @[el2_ifu_mem_ctl.scala 615:17] + node _T_2645 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 616:41] + bus_ifu_wr_en_ff <= _T_2645 @[el2_ifu_mem_ctl.scala 616:20] + node _T_2646 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 617:44] + node _T_2647 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 617:61] + node _T_2648 = and(_T_2646, _T_2647) @[el2_ifu_mem_ctl.scala 617:59] + node _T_2649 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 617:103] + node _T_2650 = eq(_T_2649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 617:84] + node _T_2651 = and(_T_2648, _T_2650) @[el2_ifu_mem_ctl.scala 617:82] + node _T_2652 = and(_T_2651, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 617:108] + bus_ifu_wr_en_ff_q <= _T_2652 @[el2_ifu_mem_ctl.scala 617:22] + node _T_2653 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 618:51] + node _T_2654 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 618:68] + node bus_ifu_wr_en_ff_wo_err = and(_T_2653, _T_2654) @[el2_ifu_mem_ctl.scala 618:66] + reg ic_act_miss_f_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 619:61] + ic_act_miss_f_delayed <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 619:61] + node _T_2655 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 620:66] + node _T_2656 = and(ic_act_miss_f_delayed, _T_2655) @[el2_ifu_mem_ctl.scala 620:53] + node _T_2657 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 620:86] + node _T_2658 = and(_T_2656, _T_2657) @[el2_ifu_mem_ctl.scala 620:84] + reset_tag_valid_for_miss <= _T_2658 @[el2_ifu_mem_ctl.scala 620:28] + node _T_2659 = orr(io.ifu_axi_rresp) @[el2_ifu_mem_ctl.scala 621:47] + node _T_2660 = and(_T_2659, ifu_bus_rvalid) @[el2_ifu_mem_ctl.scala 621:50] + node _T_2661 = and(_T_2660, miss_pending) @[el2_ifu_mem_ctl.scala 621:68] + bus_ifu_wr_data_error <= _T_2661 @[el2_ifu_mem_ctl.scala 621:25] + node _T_2662 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 622:48] + node _T_2663 = and(_T_2662, ifu_bus_rvalid_ff) @[el2_ifu_mem_ctl.scala 622:52] + node _T_2664 = and(_T_2663, miss_pending) @[el2_ifu_mem_ctl.scala 622:73] + bus_ifu_wr_data_error_ff <= _T_2664 @[el2_ifu_mem_ctl.scala 622:28] wire ifc_dma_access_ok_d : UInt<1> ifc_dma_access_ok_d <= UInt<1>("h00") - reg ifc_dma_access_ok_prev : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 623:62] - ifc_dma_access_ok_prev <= ifc_dma_access_ok_d @[el2_ifu_mem_ctl.scala 623:62] - node _T_2665 = or(ic_crit_wd_rdy_new_in, ic_crit_wd_rdy_new_ff) @[el2_ifu_mem_ctl.scala 624:43] - ic_crit_wd_rdy <= _T_2665 @[el2_ifu_mem_ctl.scala 624:18] - node _T_2666 = and(bus_last_data_beat, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 625:35] - last_beat <= _T_2666 @[el2_ifu_mem_ctl.scala 625:13] - reset_beat_cnt <= bus_reset_data_beat_cnt @[el2_ifu_mem_ctl.scala 626:18] - node _T_2667 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 628:50] - node _T_2668 = and(io.ifc_dma_access_ok, _T_2667) @[el2_ifu_mem_ctl.scala 628:47] - node _T_2669 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 628:70] - node _T_2670 = and(_T_2668, _T_2669) @[el2_ifu_mem_ctl.scala 628:68] - ifc_dma_access_ok_d <= _T_2670 @[el2_ifu_mem_ctl.scala 628:23] - node _T_2671 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 629:54] - node _T_2672 = and(io.ifc_dma_access_ok, _T_2671) @[el2_ifu_mem_ctl.scala 629:51] - node _T_2673 = and(_T_2672, ifc_dma_access_ok_prev) @[el2_ifu_mem_ctl.scala 629:72] - node _T_2674 = eq(perr_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 629:111] - node _T_2675 = and(_T_2673, _T_2674) @[el2_ifu_mem_ctl.scala 629:97] - node _T_2676 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 629:129] - node ifc_dma_access_q_ok = and(_T_2675, _T_2676) @[el2_ifu_mem_ctl.scala 629:127] - io.iccm_ready <= ifc_dma_access_q_ok @[el2_ifu_mem_ctl.scala 630:17] - reg _T_2677 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 631:51] - _T_2677 <= io.dma_iccm_req @[el2_ifu_mem_ctl.scala 631:51] - dma_iccm_req_f <= _T_2677 @[el2_ifu_mem_ctl.scala 631:18] - node _T_2678 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 632:40] - node _T_2679 = and(_T_2678, io.dma_mem_write) @[el2_ifu_mem_ctl.scala 632:58] - node _T_2680 = or(_T_2679, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 632:79] - io.iccm_wren <= _T_2680 @[el2_ifu_mem_ctl.scala 632:16] - node _T_2681 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 633:40] - node _T_2682 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 633:60] - node _T_2683 = and(_T_2681, _T_2682) @[el2_ifu_mem_ctl.scala 633:58] - node _T_2684 = and(io.ifc_iccm_access_bf, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 633:104] - node _T_2685 = or(_T_2683, _T_2684) @[el2_ifu_mem_ctl.scala 633:79] - io.iccm_rden <= _T_2685 @[el2_ifu_mem_ctl.scala 633:16] - node _T_2686 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 634:43] - node _T_2687 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 634:63] - node iccm_dma_rden = and(_T_2686, _T_2687) @[el2_ifu_mem_ctl.scala 634:61] + reg ifc_dma_access_ok_prev : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 624:62] + ifc_dma_access_ok_prev <= ifc_dma_access_ok_d @[el2_ifu_mem_ctl.scala 624:62] + node _T_2665 = or(ic_crit_wd_rdy_new_in, ic_crit_wd_rdy_new_ff) @[el2_ifu_mem_ctl.scala 625:43] + ic_crit_wd_rdy <= _T_2665 @[el2_ifu_mem_ctl.scala 625:18] + node _T_2666 = and(bus_last_data_beat, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 626:35] + last_beat <= _T_2666 @[el2_ifu_mem_ctl.scala 626:13] + reset_beat_cnt <= bus_reset_data_beat_cnt @[el2_ifu_mem_ctl.scala 627:18] + node _T_2667 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 629:50] + node _T_2668 = and(io.ifc_dma_access_ok, _T_2667) @[el2_ifu_mem_ctl.scala 629:47] + node _T_2669 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 629:70] + node _T_2670 = and(_T_2668, _T_2669) @[el2_ifu_mem_ctl.scala 629:68] + ifc_dma_access_ok_d <= _T_2670 @[el2_ifu_mem_ctl.scala 629:23] + node _T_2671 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 630:54] + node _T_2672 = and(io.ifc_dma_access_ok, _T_2671) @[el2_ifu_mem_ctl.scala 630:51] + node _T_2673 = and(_T_2672, ifc_dma_access_ok_prev) @[el2_ifu_mem_ctl.scala 630:72] + node _T_2674 = eq(perr_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 630:111] + node _T_2675 = and(_T_2673, _T_2674) @[el2_ifu_mem_ctl.scala 630:97] + node _T_2676 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 630:129] + node ifc_dma_access_q_ok = and(_T_2675, _T_2676) @[el2_ifu_mem_ctl.scala 630:127] + io.iccm_ready <= ifc_dma_access_q_ok @[el2_ifu_mem_ctl.scala 631:17] + reg _T_2677 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 632:51] + _T_2677 <= io.dma_iccm_req @[el2_ifu_mem_ctl.scala 632:51] + dma_iccm_req_f <= _T_2677 @[el2_ifu_mem_ctl.scala 632:18] + node _T_2678 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 633:40] + node _T_2679 = and(_T_2678, io.dma_mem_write) @[el2_ifu_mem_ctl.scala 633:58] + node _T_2680 = or(_T_2679, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 633:79] + io.iccm_wren <= _T_2680 @[el2_ifu_mem_ctl.scala 633:16] + node _T_2681 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 634:40] + node _T_2682 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 634:60] + node _T_2683 = and(_T_2681, _T_2682) @[el2_ifu_mem_ctl.scala 634:58] + node _T_2684 = and(io.ifc_iccm_access_bf, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 634:104] + node _T_2685 = or(_T_2683, _T_2684) @[el2_ifu_mem_ctl.scala 634:79] + io.iccm_rden <= _T_2685 @[el2_ifu_mem_ctl.scala 634:16] + node _T_2686 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 635:43] + node _T_2687 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 635:63] + node iccm_dma_rden = and(_T_2686, _T_2687) @[el2_ifu_mem_ctl.scala 635:61] node _T_2688 = bits(io.dma_iccm_req, 0, 0) @[Bitwise.scala 72:15] node _T_2689 = mux(_T_2688, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2690 = and(_T_2689, io.dma_mem_sz) @[el2_ifu_mem_ctl.scala 635:47] - io.iccm_wr_size <= _T_2690 @[el2_ifu_mem_ctl.scala 635:19] - node _T_2691 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 636:54] + node _T_2690 = and(_T_2689, io.dma_mem_sz) @[el2_ifu_mem_ctl.scala 636:47] + io.iccm_wr_size <= _T_2690 @[el2_ifu_mem_ctl.scala 636:19] + node _T_2691 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 637:54] wire _T_2692 : UInt<1>[18] @[el2_lib.scala 250:18] wire _T_2693 : UInt<1>[18] @[el2_lib.scala 251:18] wire _T_2694 : UInt<1>[18] @[el2_lib.scala 252:18] @@ -4068,7 +4068,7 @@ circuit el2_ifu_mem_ctl : node _T_2884 = xorr(_T_2882) @[el2_lib.scala 269:23] node _T_2885 = xor(_T_2883, _T_2884) @[el2_lib.scala 269:18] node _T_2886 = cat(_T_2885, _T_2882) @[Cat.scala 29:58] - node _T_2887 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 636:93] + node _T_2887 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 637:93] wire _T_2888 : UInt<1>[18] @[el2_lib.scala 250:18] wire _T_2889 : UInt<1>[18] @[el2_lib.scala 251:18] wire _T_2890 : UInt<1>[18] @[el2_lib.scala 252:18] @@ -4357,87 +4357,87 @@ circuit el2_ifu_mem_ctl : node dma_mem_ecc = cat(_T_2886, _T_3082) @[Cat.scala 29:58] wire iccm_ecc_corr_data_ff : UInt<39> iccm_ecc_corr_data_ff <= UInt<1>("h00") - node _T_3083 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 638:67] - node _T_3084 = eq(_T_3083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 638:45] - node _T_3085 = and(iccm_correct_ecc, _T_3084) @[el2_ifu_mem_ctl.scala 638:43] + node _T_3083 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 639:67] + node _T_3084 = eq(_T_3083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 639:45] + node _T_3085 = and(iccm_correct_ecc, _T_3084) @[el2_ifu_mem_ctl.scala 639:43] node _T_3086 = cat(iccm_ecc_corr_data_ff, iccm_ecc_corr_data_ff) @[Cat.scala 29:58] - node _T_3087 = bits(dma_mem_ecc, 13, 7) @[el2_ifu_mem_ctl.scala 639:20] - node _T_3088 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 639:43] - node _T_3089 = bits(dma_mem_ecc, 6, 0) @[el2_ifu_mem_ctl.scala 639:63] - node _T_3090 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 639:86] + node _T_3087 = bits(dma_mem_ecc, 13, 7) @[el2_ifu_mem_ctl.scala 640:20] + node _T_3088 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 640:43] + node _T_3089 = bits(dma_mem_ecc, 6, 0) @[el2_ifu_mem_ctl.scala 640:63] + node _T_3090 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 640:86] node _T_3091 = cat(_T_3089, _T_3090) @[Cat.scala 29:58] node _T_3092 = cat(_T_3087, _T_3088) @[Cat.scala 29:58] node _T_3093 = cat(_T_3092, _T_3091) @[Cat.scala 29:58] - node _T_3094 = mux(_T_3085, _T_3086, _T_3093) @[el2_ifu_mem_ctl.scala 638:25] - io.iccm_wr_data <= _T_3094 @[el2_ifu_mem_ctl.scala 638:19] - wire iccm_corrected_data : UInt<32>[2] @[el2_ifu_mem_ctl.scala 640:33] - iccm_corrected_data[0] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 641:26] - iccm_corrected_data[1] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 642:26] + node _T_3094 = mux(_T_3085, _T_3086, _T_3093) @[el2_ifu_mem_ctl.scala 639:25] + io.iccm_wr_data <= _T_3094 @[el2_ifu_mem_ctl.scala 639:19] + wire iccm_corrected_data : UInt<32>[2] @[el2_ifu_mem_ctl.scala 641:33] + iccm_corrected_data[0] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 642:26] + iccm_corrected_data[1] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 643:26] wire dma_mem_addr_ff : UInt<2> dma_mem_addr_ff <= UInt<1>("h00") - node _T_3095 = bits(dma_mem_addr_ff, 0, 0) @[el2_ifu_mem_ctl.scala 644:51] - node _T_3096 = bits(_T_3095, 0, 0) @[el2_ifu_mem_ctl.scala 644:55] - node iccm_dma_rdata_1_muxed = mux(_T_3096, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 644:35] + node _T_3095 = bits(dma_mem_addr_ff, 0, 0) @[el2_ifu_mem_ctl.scala 645:51] + node _T_3096 = bits(_T_3095, 0, 0) @[el2_ifu_mem_ctl.scala 645:55] + node iccm_dma_rdata_1_muxed = mux(_T_3096, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 645:35] wire iccm_double_ecc_error : UInt<2> iccm_double_ecc_error <= UInt<1>("h00") - node iccm_dma_ecc_error_in = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 646:53] + node iccm_dma_ecc_error_in = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 647:53] node _T_3097 = cat(io.dma_mem_addr, io.dma_mem_addr) @[Cat.scala 29:58] node _T_3098 = cat(iccm_dma_rdata_1_muxed, iccm_corrected_data[0]) @[Cat.scala 29:58] - node iccm_dma_rdata_in = mux(iccm_dma_ecc_error_in, _T_3097, _T_3098) @[el2_ifu_mem_ctl.scala 647:30] - reg dma_mem_tag_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 648:54] - dma_mem_tag_ff <= io.dma_mem_tag @[el2_ifu_mem_ctl.scala 648:54] - reg iccm_dma_rtag : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 649:69] - iccm_dma_rtag <= dma_mem_tag_ff @[el2_ifu_mem_ctl.scala 649:69] - io.iccm_dma_rtag <= iccm_dma_rtag @[el2_ifu_mem_ctl.scala 650:20] - node _T_3099 = bits(io.dma_mem_addr, 3, 2) @[el2_ifu_mem_ctl.scala 652:69] - reg _T_3100 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 652:53] - _T_3100 <= _T_3099 @[el2_ifu_mem_ctl.scala 652:53] - dma_mem_addr_ff <= _T_3100 @[el2_ifu_mem_ctl.scala 652:19] - reg iccm_dma_rvalid_in : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 653:59] - iccm_dma_rvalid_in <= iccm_dma_rden @[el2_ifu_mem_ctl.scala 653:59] - reg iccm_dma_rvalid : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 654:71] - iccm_dma_rvalid <= iccm_dma_rvalid_in @[el2_ifu_mem_ctl.scala 654:71] - io.iccm_dma_rvalid <= iccm_dma_rvalid @[el2_ifu_mem_ctl.scala 655:22] - reg iccm_dma_ecc_error : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 656:74] - iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 656:74] - io.iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 657:25] - reg iccm_dma_rdata : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 658:70] - iccm_dma_rdata <= iccm_dma_rdata_in @[el2_ifu_mem_ctl.scala 658:70] - io.iccm_dma_rdata <= iccm_dma_rdata @[el2_ifu_mem_ctl.scala 659:21] + node iccm_dma_rdata_in = mux(iccm_dma_ecc_error_in, _T_3097, _T_3098) @[el2_ifu_mem_ctl.scala 648:30] + reg dma_mem_tag_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 649:54] + dma_mem_tag_ff <= io.dma_mem_tag @[el2_ifu_mem_ctl.scala 649:54] + reg iccm_dma_rtag : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 650:69] + iccm_dma_rtag <= dma_mem_tag_ff @[el2_ifu_mem_ctl.scala 650:69] + io.iccm_dma_rtag <= iccm_dma_rtag @[el2_ifu_mem_ctl.scala 651:20] + node _T_3099 = bits(io.dma_mem_addr, 3, 2) @[el2_ifu_mem_ctl.scala 653:69] + reg _T_3100 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 653:53] + _T_3100 <= _T_3099 @[el2_ifu_mem_ctl.scala 653:53] + dma_mem_addr_ff <= _T_3100 @[el2_ifu_mem_ctl.scala 653:19] + reg iccm_dma_rvalid_in : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 654:59] + iccm_dma_rvalid_in <= iccm_dma_rden @[el2_ifu_mem_ctl.scala 654:59] + reg iccm_dma_rvalid : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 655:71] + iccm_dma_rvalid <= iccm_dma_rvalid_in @[el2_ifu_mem_ctl.scala 655:71] + io.iccm_dma_rvalid <= iccm_dma_rvalid @[el2_ifu_mem_ctl.scala 656:22] + reg iccm_dma_ecc_error : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 657:74] + iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 657:74] + io.iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 658:25] + reg iccm_dma_rdata : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 659:70] + iccm_dma_rdata <= iccm_dma_rdata_in @[el2_ifu_mem_ctl.scala 659:70] + io.iccm_dma_rdata <= iccm_dma_rdata @[el2_ifu_mem_ctl.scala 660:21] wire iccm_ecc_corr_index_ff : UInt<14> iccm_ecc_corr_index_ff <= UInt<1>("h00") - node _T_3101 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 661:46] - node _T_3102 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 661:67] - node _T_3103 = and(_T_3101, _T_3102) @[el2_ifu_mem_ctl.scala 661:65] - node _T_3104 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 662:31] - node _T_3105 = eq(_T_3104, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 662:9] - node _T_3106 = and(_T_3105, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 662:50] + node _T_3101 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 662:46] + node _T_3102 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 662:67] + node _T_3103 = and(_T_3101, _T_3102) @[el2_ifu_mem_ctl.scala 662:65] + node _T_3104 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 663:31] + node _T_3105 = eq(_T_3104, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 663:9] + node _T_3106 = and(_T_3105, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 663:50] node _T_3107 = cat(iccm_ecc_corr_index_ff, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_3108 = bits(io.ifc_fetch_addr_bf, 15, 0) @[el2_ifu_mem_ctl.scala 662:124] - node _T_3109 = mux(_T_3106, _T_3107, _T_3108) @[el2_ifu_mem_ctl.scala 662:8] - node _T_3110 = mux(_T_3103, io.dma_mem_addr, _T_3109) @[el2_ifu_mem_ctl.scala 661:25] - io.iccm_rw_addr <= _T_3110 @[el2_ifu_mem_ctl.scala 661:19] + node _T_3108 = bits(io.ifc_fetch_addr_bf, 15, 0) @[el2_ifu_mem_ctl.scala 663:124] + node _T_3109 = mux(_T_3106, _T_3107, _T_3108) @[el2_ifu_mem_ctl.scala 663:8] + node _T_3110 = mux(_T_3103, io.dma_mem_addr, _T_3109) @[el2_ifu_mem_ctl.scala 662:25] + io.iccm_rw_addr <= _T_3110 @[el2_ifu_mem_ctl.scala 662:19] node ic_fetch_val_int_f = cat(UInt<2>("h00"), io.ic_fetch_val_f) @[Cat.scala 29:58] - node _T_3111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 664:76] - node ic_fetch_val_shift_right = dshl(ic_fetch_val_int_f, _T_3111) @[el2_ifu_mem_ctl.scala 664:53] - node _T_3112 = bits(ic_fetch_val_shift_right, 1, 0) @[el2_ifu_mem_ctl.scala 667:75] - node _T_3113 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 667:93] - node _T_3114 = and(_T_3112, _T_3113) @[el2_ifu_mem_ctl.scala 667:91] - node _T_3115 = and(_T_3114, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 667:113] - node _T_3116 = or(_T_3115, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 667:130] - node _T_3117 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 667:154] - node _T_3118 = and(_T_3116, _T_3117) @[el2_ifu_mem_ctl.scala 667:152] - node _T_3119 = bits(ic_fetch_val_shift_right, 3, 2) @[el2_ifu_mem_ctl.scala 667:75] - node _T_3120 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 667:93] - node _T_3121 = and(_T_3119, _T_3120) @[el2_ifu_mem_ctl.scala 667:91] - node _T_3122 = and(_T_3121, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 667:113] - node _T_3123 = or(_T_3122, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 667:130] - node _T_3124 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 667:154] - node _T_3125 = and(_T_3123, _T_3124) @[el2_ifu_mem_ctl.scala 667:152] + node _T_3111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 665:76] + node ic_fetch_val_shift_right = dshl(ic_fetch_val_int_f, _T_3111) @[el2_ifu_mem_ctl.scala 665:53] + node _T_3112 = bits(ic_fetch_val_shift_right, 1, 0) @[el2_ifu_mem_ctl.scala 668:75] + node _T_3113 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 668:93] + node _T_3114 = and(_T_3112, _T_3113) @[el2_ifu_mem_ctl.scala 668:91] + node _T_3115 = and(_T_3114, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 668:113] + node _T_3116 = or(_T_3115, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 668:130] + node _T_3117 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 668:154] + node _T_3118 = and(_T_3116, _T_3117) @[el2_ifu_mem_ctl.scala 668:152] + node _T_3119 = bits(ic_fetch_val_shift_right, 3, 2) @[el2_ifu_mem_ctl.scala 668:75] + node _T_3120 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 668:93] + node _T_3121 = and(_T_3119, _T_3120) @[el2_ifu_mem_ctl.scala 668:91] + node _T_3122 = and(_T_3121, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 668:113] + node _T_3123 = or(_T_3122, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 668:130] + node _T_3124 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 668:154] + node _T_3125 = and(_T_3123, _T_3124) @[el2_ifu_mem_ctl.scala 668:152] node iccm_ecc_word_enable = cat(_T_3125, _T_3118) @[Cat.scala 29:58] - node _T_3126 = bits(iccm_ecc_word_enable, 0, 0) @[el2_ifu_mem_ctl.scala 668:73] - node _T_3127 = bits(io.iccm_rd_data_ecc, 31, 0) @[el2_ifu_mem_ctl.scala 668:93] - node _T_3128 = bits(io.iccm_rd_data_ecc, 38, 32) @[el2_ifu_mem_ctl.scala 668:128] + node _T_3126 = bits(iccm_ecc_word_enable, 0, 0) @[el2_ifu_mem_ctl.scala 669:73] + node _T_3127 = bits(io.iccm_rd_data_ecc, 31, 0) @[el2_ifu_mem_ctl.scala 669:93] + node _T_3128 = bits(io.iccm_rd_data_ecc, 38, 32) @[el2_ifu_mem_ctl.scala 669:128] wire _T_3129 : UInt<1>[18] @[el2_lib.scala 281:18] wire _T_3130 : UInt<1>[18] @[el2_lib.scala 282:18] wire _T_3131 : UInt<1>[18] @[el2_lib.scala 283:18] @@ -4949,9 +4949,9 @@ circuit el2_ifu_mem_ctl : node _T_3508 = cat(_T_3500, _T_3501) @[Cat.scala 29:58] node _T_3509 = cat(_T_3508, _T_3502) @[Cat.scala 29:58] node _T_3510 = cat(_T_3509, _T_3507) @[Cat.scala 29:58] - node _T_3511 = bits(iccm_ecc_word_enable, 1, 1) @[el2_ifu_mem_ctl.scala 668:73] - node _T_3512 = bits(io.iccm_rd_data_ecc, 70, 39) @[el2_ifu_mem_ctl.scala 668:93] - node _T_3513 = bits(io.iccm_rd_data_ecc, 77, 71) @[el2_ifu_mem_ctl.scala 668:128] + node _T_3511 = bits(iccm_ecc_word_enable, 1, 1) @[el2_ifu_mem_ctl.scala 669:73] + node _T_3512 = bits(io.iccm_rd_data_ecc, 70, 39) @[el2_ifu_mem_ctl.scala 669:93] + node _T_3513 = bits(io.iccm_rd_data_ecc, 77, 71) @[el2_ifu_mem_ctl.scala 669:128] wire _T_3514 : UInt<1>[18] @[el2_lib.scala 281:18] wire _T_3515 : UInt<1>[18] @[el2_lib.scala 282:18] wire _T_3516 : UInt<1>[18] @[el2_lib.scala 283:18] @@ -5463,1730 +5463,1730 @@ circuit el2_ifu_mem_ctl : node _T_3893 = cat(_T_3885, _T_3886) @[Cat.scala 29:58] node _T_3894 = cat(_T_3893, _T_3887) @[Cat.scala 29:58] node _T_3895 = cat(_T_3894, _T_3892) @[Cat.scala 29:58] - wire iccm_corrected_ecc : UInt<7>[2] @[el2_ifu_mem_ctl.scala 669:32] - wire _T_3896 : UInt<7>[2] @[el2_ifu_mem_ctl.scala 670:32] - _T_3896[0] <= _T_3510 @[el2_ifu_mem_ctl.scala 670:32] - _T_3896[1] <= _T_3895 @[el2_ifu_mem_ctl.scala 670:32] - iccm_corrected_ecc[0] <= _T_3896[0] @[el2_ifu_mem_ctl.scala 670:22] - iccm_corrected_ecc[1] <= _T_3896[1] @[el2_ifu_mem_ctl.scala 670:22] - wire _T_3897 : UInt<32>[2] @[el2_ifu_mem_ctl.scala 671:33] - _T_3897[0] <= _T_3496 @[el2_ifu_mem_ctl.scala 671:33] - _T_3897[1] <= _T_3881 @[el2_ifu_mem_ctl.scala 671:33] - iccm_corrected_data[0] <= _T_3897[0] @[el2_ifu_mem_ctl.scala 671:23] - iccm_corrected_data[1] <= _T_3897[1] @[el2_ifu_mem_ctl.scala 671:23] + wire iccm_corrected_ecc : UInt<7>[2] @[el2_ifu_mem_ctl.scala 670:32] + wire _T_3896 : UInt<7>[2] @[el2_ifu_mem_ctl.scala 671:32] + _T_3896[0] <= _T_3510 @[el2_ifu_mem_ctl.scala 671:32] + _T_3896[1] <= _T_3895 @[el2_ifu_mem_ctl.scala 671:32] + iccm_corrected_ecc[0] <= _T_3896[0] @[el2_ifu_mem_ctl.scala 671:22] + iccm_corrected_ecc[1] <= _T_3896[1] @[el2_ifu_mem_ctl.scala 671:22] + wire _T_3897 : UInt<32>[2] @[el2_ifu_mem_ctl.scala 672:33] + _T_3897[0] <= _T_3496 @[el2_ifu_mem_ctl.scala 672:33] + _T_3897[1] <= _T_3881 @[el2_ifu_mem_ctl.scala 672:33] + iccm_corrected_data[0] <= _T_3897[0] @[el2_ifu_mem_ctl.scala 672:23] + iccm_corrected_data[1] <= _T_3897[1] @[el2_ifu_mem_ctl.scala 672:23] node _T_3898 = cat(_T_3341, _T_3726) @[Cat.scala 29:58] - iccm_single_ecc_error <= _T_3898 @[el2_ifu_mem_ctl.scala 672:25] + iccm_single_ecc_error <= _T_3898 @[el2_ifu_mem_ctl.scala 673:25] node _T_3899 = cat(_T_3346, _T_3731) @[Cat.scala 29:58] - iccm_double_ecc_error <= _T_3899 @[el2_ifu_mem_ctl.scala 673:25] - node _T_3900 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 674:54] - node _T_3901 = and(_T_3900, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 674:58] - node _T_3902 = and(_T_3901, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 674:78] - io.iccm_rd_ecc_single_err <= _T_3902 @[el2_ifu_mem_ctl.scala 674:29] - node _T_3903 = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 675:54] - node _T_3904 = and(_T_3903, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 675:58] - io.iccm_rd_ecc_double_err <= _T_3904 @[el2_ifu_mem_ctl.scala 675:29] - node _T_3905 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 676:60] - node _T_3906 = bits(_T_3905, 0, 0) @[el2_ifu_mem_ctl.scala 676:64] - node iccm_corrected_data_f_mux = mux(_T_3906, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 676:38] - node _T_3907 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 677:59] - node _T_3908 = bits(_T_3907, 0, 0) @[el2_ifu_mem_ctl.scala 677:63] - node iccm_corrected_ecc_f_mux = mux(_T_3908, iccm_corrected_ecc[0], iccm_corrected_ecc[1]) @[el2_ifu_mem_ctl.scala 677:37] + iccm_double_ecc_error <= _T_3899 @[el2_ifu_mem_ctl.scala 674:25] + node _T_3900 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 675:54] + node _T_3901 = and(_T_3900, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 675:58] + node _T_3902 = and(_T_3901, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 675:78] + io.iccm_rd_ecc_single_err <= _T_3902 @[el2_ifu_mem_ctl.scala 675:29] + node _T_3903 = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 676:54] + node _T_3904 = and(_T_3903, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 676:58] + io.iccm_rd_ecc_double_err <= _T_3904 @[el2_ifu_mem_ctl.scala 676:29] + node _T_3905 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 677:60] + node _T_3906 = bits(_T_3905, 0, 0) @[el2_ifu_mem_ctl.scala 677:64] + node iccm_corrected_data_f_mux = mux(_T_3906, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 677:38] + node _T_3907 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 678:59] + node _T_3908 = bits(_T_3907, 0, 0) @[el2_ifu_mem_ctl.scala 678:63] + node iccm_corrected_ecc_f_mux = mux(_T_3908, iccm_corrected_ecc[0], iccm_corrected_ecc[1]) @[el2_ifu_mem_ctl.scala 678:37] wire iccm_rd_ecc_single_err_ff : UInt<1> iccm_rd_ecc_single_err_ff <= UInt<1>("h00") - node _T_3909 = eq(iccm_rd_ecc_single_err_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 679:76] - node _T_3910 = and(io.iccm_rd_ecc_single_err, _T_3909) @[el2_ifu_mem_ctl.scala 679:74] - node _T_3911 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 679:106] - node _T_3912 = and(_T_3910, _T_3911) @[el2_ifu_mem_ctl.scala 679:104] - node iccm_ecc_write_status = or(_T_3912, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 679:127] - node _T_3913 = or(io.iccm_rd_ecc_single_err, iccm_rd_ecc_single_err_ff) @[el2_ifu_mem_ctl.scala 680:67] - node _T_3914 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 680:98] - node iccm_rd_ecc_single_err_hold_in = and(_T_3913, _T_3914) @[el2_ifu_mem_ctl.scala 680:96] - iccm_error_start <= io.iccm_rd_ecc_single_err @[el2_ifu_mem_ctl.scala 681:20] + node _T_3909 = eq(iccm_rd_ecc_single_err_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 680:76] + node _T_3910 = and(io.iccm_rd_ecc_single_err, _T_3909) @[el2_ifu_mem_ctl.scala 680:74] + node _T_3911 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 680:106] + node _T_3912 = and(_T_3910, _T_3911) @[el2_ifu_mem_ctl.scala 680:104] + node iccm_ecc_write_status = or(_T_3912, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 680:127] + node _T_3913 = or(io.iccm_rd_ecc_single_err, iccm_rd_ecc_single_err_ff) @[el2_ifu_mem_ctl.scala 681:67] + node _T_3914 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 681:98] + node iccm_rd_ecc_single_err_hold_in = and(_T_3913, _T_3914) @[el2_ifu_mem_ctl.scala 681:96] + iccm_error_start <= io.iccm_rd_ecc_single_err @[el2_ifu_mem_ctl.scala 682:20] wire iccm_rw_addr_f : UInt<14> iccm_rw_addr_f <= UInt<1>("h00") - node _T_3915 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 683:57] - node _T_3916 = bits(_T_3915, 0, 0) @[el2_ifu_mem_ctl.scala 683:67] - node _T_3917 = add(iccm_rw_addr_f, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 683:102] - node _T_3918 = tail(_T_3917, 1) @[el2_ifu_mem_ctl.scala 683:102] - node iccm_ecc_corr_index_in = mux(_T_3916, iccm_rw_addr_f, _T_3918) @[el2_ifu_mem_ctl.scala 683:35] - node _T_3919 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_mem_ctl.scala 684:67] - reg _T_3920 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 684:51] - _T_3920 <= _T_3919 @[el2_ifu_mem_ctl.scala 684:51] - iccm_rw_addr_f <= _T_3920 @[el2_ifu_mem_ctl.scala 684:18] - reg _T_3921 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 685:62] - _T_3921 <= iccm_rd_ecc_single_err_hold_in @[el2_ifu_mem_ctl.scala 685:62] - iccm_rd_ecc_single_err_ff <= _T_3921 @[el2_ifu_mem_ctl.scala 685:29] + node _T_3915 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 684:57] + node _T_3916 = bits(_T_3915, 0, 0) @[el2_ifu_mem_ctl.scala 684:67] + node _T_3917 = add(iccm_rw_addr_f, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 684:102] + node _T_3918 = tail(_T_3917, 1) @[el2_ifu_mem_ctl.scala 684:102] + node iccm_ecc_corr_index_in = mux(_T_3916, iccm_rw_addr_f, _T_3918) @[el2_ifu_mem_ctl.scala 684:35] + node _T_3919 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_mem_ctl.scala 685:67] + reg _T_3920 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 685:51] + _T_3920 <= _T_3919 @[el2_ifu_mem_ctl.scala 685:51] + iccm_rw_addr_f <= _T_3920 @[el2_ifu_mem_ctl.scala 685:18] + reg _T_3921 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 686:62] + _T_3921 <= iccm_rd_ecc_single_err_hold_in @[el2_ifu_mem_ctl.scala 686:62] + iccm_rd_ecc_single_err_ff <= _T_3921 @[el2_ifu_mem_ctl.scala 686:29] node _T_3922 = cat(iccm_corrected_ecc_f_mux, iccm_corrected_data_f_mux) @[Cat.scala 29:58] - node _T_3923 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 686:152] + node _T_3923 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 687:152] reg _T_3924 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3923 : @[Reg.scala 28:19] _T_3924 <= _T_3922 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - iccm_ecc_corr_data_ff <= _T_3924 @[el2_ifu_mem_ctl.scala 686:25] - node _T_3925 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 687:119] + iccm_ecc_corr_data_ff <= _T_3924 @[el2_ifu_mem_ctl.scala 687:25] + node _T_3925 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 688:119] reg _T_3926 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3925 : @[Reg.scala 28:19] _T_3926 <= iccm_ecc_corr_index_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - iccm_ecc_corr_index_ff <= _T_3926 @[el2_ifu_mem_ctl.scala 687:26] - node _T_3927 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 688:41] - node _T_3928 = and(io.ifc_fetch_req_bf, _T_3927) @[el2_ifu_mem_ctl.scala 688:39] - node _T_3929 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 688:72] - node _T_3930 = and(_T_3928, _T_3929) @[el2_ifu_mem_ctl.scala 688:70] - node _T_3931 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 689:19] - node _T_3932 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 689:34] - node _T_3933 = and(_T_3931, _T_3932) @[el2_ifu_mem_ctl.scala 689:32] - node _T_3934 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 690:19] - node _T_3935 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 690:39] - node _T_3936 = and(_T_3934, _T_3935) @[el2_ifu_mem_ctl.scala 690:37] - node _T_3937 = or(_T_3933, _T_3936) @[el2_ifu_mem_ctl.scala 689:88] - node _T_3938 = eq(miss_state, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 691:19] - node _T_3939 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 691:43] - node _T_3940 = and(_T_3938, _T_3939) @[el2_ifu_mem_ctl.scala 691:41] - node _T_3941 = or(_T_3937, _T_3940) @[el2_ifu_mem_ctl.scala 690:88] - node _T_3942 = eq(miss_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 692:19] - node _T_3943 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 692:37] - node _T_3944 = and(_T_3942, _T_3943) @[el2_ifu_mem_ctl.scala 692:35] - node _T_3945 = or(_T_3941, _T_3944) @[el2_ifu_mem_ctl.scala 691:88] - node _T_3946 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 693:19] - node _T_3947 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 693:40] - node _T_3948 = and(_T_3946, _T_3947) @[el2_ifu_mem_ctl.scala 693:38] - node _T_3949 = or(_T_3945, _T_3948) @[el2_ifu_mem_ctl.scala 692:88] - node _T_3950 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 694:19] - node _T_3951 = and(_T_3950, miss_state_en) @[el2_ifu_mem_ctl.scala 694:37] - node _T_3952 = eq(miss_nxtstate, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 694:71] - node _T_3953 = and(_T_3951, _T_3952) @[el2_ifu_mem_ctl.scala 694:54] - node _T_3954 = or(_T_3949, _T_3953) @[el2_ifu_mem_ctl.scala 693:57] - node _T_3955 = eq(_T_3954, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 689:5] - node _T_3956 = and(_T_3930, _T_3955) @[el2_ifu_mem_ctl.scala 688:96] - node _T_3957 = and(io.ifc_fetch_req_bf, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 695:28] - node _T_3958 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 695:52] - node _T_3959 = and(_T_3957, _T_3958) @[el2_ifu_mem_ctl.scala 695:50] - node _T_3960 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 695:83] - node _T_3961 = and(_T_3959, _T_3960) @[el2_ifu_mem_ctl.scala 695:81] - node _T_3962 = or(_T_3956, _T_3961) @[el2_ifu_mem_ctl.scala 694:93] - io.ic_rd_en <= _T_3962 @[el2_ifu_mem_ctl.scala 688:15] + iccm_ecc_corr_index_ff <= _T_3926 @[el2_ifu_mem_ctl.scala 688:26] + node _T_3927 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 689:41] + node _T_3928 = and(io.ifc_fetch_req_bf, _T_3927) @[el2_ifu_mem_ctl.scala 689:39] + node _T_3929 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 689:72] + node _T_3930 = and(_T_3928, _T_3929) @[el2_ifu_mem_ctl.scala 689:70] + node _T_3931 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 690:19] + node _T_3932 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 690:34] + node _T_3933 = and(_T_3931, _T_3932) @[el2_ifu_mem_ctl.scala 690:32] + node _T_3934 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 691:19] + node _T_3935 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 691:39] + node _T_3936 = and(_T_3934, _T_3935) @[el2_ifu_mem_ctl.scala 691:37] + node _T_3937 = or(_T_3933, _T_3936) @[el2_ifu_mem_ctl.scala 690:88] + node _T_3938 = eq(miss_state, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 692:19] + node _T_3939 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 692:43] + node _T_3940 = and(_T_3938, _T_3939) @[el2_ifu_mem_ctl.scala 692:41] + node _T_3941 = or(_T_3937, _T_3940) @[el2_ifu_mem_ctl.scala 691:88] + node _T_3942 = eq(miss_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 693:19] + node _T_3943 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 693:37] + node _T_3944 = and(_T_3942, _T_3943) @[el2_ifu_mem_ctl.scala 693:35] + node _T_3945 = or(_T_3941, _T_3944) @[el2_ifu_mem_ctl.scala 692:88] + node _T_3946 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 694:19] + node _T_3947 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 694:40] + node _T_3948 = and(_T_3946, _T_3947) @[el2_ifu_mem_ctl.scala 694:38] + node _T_3949 = or(_T_3945, _T_3948) @[el2_ifu_mem_ctl.scala 693:88] + node _T_3950 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 695:19] + node _T_3951 = and(_T_3950, miss_state_en) @[el2_ifu_mem_ctl.scala 695:37] + node _T_3952 = eq(miss_nxtstate, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 695:71] + node _T_3953 = and(_T_3951, _T_3952) @[el2_ifu_mem_ctl.scala 695:54] + node _T_3954 = or(_T_3949, _T_3953) @[el2_ifu_mem_ctl.scala 694:57] + node _T_3955 = eq(_T_3954, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 690:5] + node _T_3956 = and(_T_3930, _T_3955) @[el2_ifu_mem_ctl.scala 689:96] + node _T_3957 = and(io.ifc_fetch_req_bf, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 696:28] + node _T_3958 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 696:52] + node _T_3959 = and(_T_3957, _T_3958) @[el2_ifu_mem_ctl.scala 696:50] + node _T_3960 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 696:83] + node _T_3961 = and(_T_3959, _T_3960) @[el2_ifu_mem_ctl.scala 696:81] + node _T_3962 = or(_T_3956, _T_3961) @[el2_ifu_mem_ctl.scala 695:93] + io.ic_rd_en <= _T_3962 @[el2_ifu_mem_ctl.scala 689:15] wire bus_ic_wr_en : UInt<2> bus_ic_wr_en <= UInt<1>("h00") node _T_3963 = bits(write_ic_16_bytes, 0, 0) @[Bitwise.scala 72:15] node _T_3964 = mux(_T_3963, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_3965 = and(bus_ic_wr_en, _T_3964) @[el2_ifu_mem_ctl.scala 697:31] - io.ic_wr_en <= _T_3965 @[el2_ifu_mem_ctl.scala 697:15] - node _T_3966 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 698:59] - node _T_3967 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 698:91] - node _T_3968 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 698:127] - node _T_3969 = or(_T_3968, stream_eol_f) @[el2_ifu_mem_ctl.scala 698:151] - node _T_3970 = eq(_T_3969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:106] - node _T_3971 = and(_T_3967, _T_3970) @[el2_ifu_mem_ctl.scala 698:104] - node _T_3972 = or(_T_3966, _T_3971) @[el2_ifu_mem_ctl.scala 698:77] - node _T_3973 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 698:191] - node _T_3974 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:205] - node _T_3975 = and(_T_3973, _T_3974) @[el2_ifu_mem_ctl.scala 698:203] - node _T_3976 = eq(_T_3975, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:172] - node _T_3977 = and(_T_3972, _T_3976) @[el2_ifu_mem_ctl.scala 698:170] - node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:44] - node _T_3979 = and(write_ic_16_bytes, _T_3978) @[el2_ifu_mem_ctl.scala 698:42] - io.ic_write_stall <= _T_3979 @[el2_ifu_mem_ctl.scala 698:21] - reg _T_3980 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 699:53] - _T_3980 <= io.dec_tlu_fence_i_wb @[el2_ifu_mem_ctl.scala 699:53] - reset_all_tags <= _T_3980 @[el2_ifu_mem_ctl.scala 699:18] - node _T_3981 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:20] - node _T_3982 = or(reset_ic_in, reset_ic_ff) @[el2_ifu_mem_ctl.scala 701:64] - node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:50] - node _T_3984 = and(_T_3981, _T_3983) @[el2_ifu_mem_ctl.scala 701:48] - node _T_3985 = eq(reset_tag_valid_for_miss, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:81] - node ic_valid = and(_T_3984, _T_3985) @[el2_ifu_mem_ctl.scala 701:79] - node _T_3986 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 702:61] - node _T_3987 = and(_T_3986, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 702:82] - node _T_3988 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 702:123] - node _T_3989 = bits(ifu_status_wr_addr, 11, 5) @[el2_ifu_mem_ctl.scala 703:25] - node ifu_status_wr_addr_w_debug = mux(_T_3987, _T_3988, _T_3989) @[el2_ifu_mem_ctl.scala 702:41] - reg ifu_status_wr_addr_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 705:14] - ifu_status_wr_addr_ff <= ifu_status_wr_addr_w_debug @[el2_ifu_mem_ctl.scala 705:14] + node _T_3965 = and(bus_ic_wr_en, _T_3964) @[el2_ifu_mem_ctl.scala 698:31] + io.ic_wr_en <= _T_3965 @[el2_ifu_mem_ctl.scala 698:15] + node _T_3966 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 699:59] + node _T_3967 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 699:91] + node _T_3968 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 699:127] + node _T_3969 = or(_T_3968, stream_eol_f) @[el2_ifu_mem_ctl.scala 699:151] + node _T_3970 = eq(_T_3969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:106] + node _T_3971 = and(_T_3967, _T_3970) @[el2_ifu_mem_ctl.scala 699:104] + node _T_3972 = or(_T_3966, _T_3971) @[el2_ifu_mem_ctl.scala 699:77] + node _T_3973 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 699:191] + node _T_3974 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:205] + node _T_3975 = and(_T_3973, _T_3974) @[el2_ifu_mem_ctl.scala 699:203] + node _T_3976 = eq(_T_3975, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:172] + node _T_3977 = and(_T_3972, _T_3976) @[el2_ifu_mem_ctl.scala 699:170] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:44] + node _T_3979 = and(write_ic_16_bytes, _T_3978) @[el2_ifu_mem_ctl.scala 699:42] + io.ic_write_stall <= _T_3979 @[el2_ifu_mem_ctl.scala 699:21] + reg _T_3980 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 700:53] + _T_3980 <= io.dec_tlu_fence_i_wb @[el2_ifu_mem_ctl.scala 700:53] + reset_all_tags <= _T_3980 @[el2_ifu_mem_ctl.scala 700:18] + node _T_3981 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:20] + node _T_3982 = or(reset_ic_in, reset_ic_ff) @[el2_ifu_mem_ctl.scala 702:64] + node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:50] + node _T_3984 = and(_T_3981, _T_3983) @[el2_ifu_mem_ctl.scala 702:48] + node _T_3985 = eq(reset_tag_valid_for_miss, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:81] + node ic_valid = and(_T_3984, _T_3985) @[el2_ifu_mem_ctl.scala 702:79] + node _T_3986 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 703:61] + node _T_3987 = and(_T_3986, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 703:82] + node _T_3988 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 703:123] + node _T_3989 = bits(ifu_status_wr_addr, 11, 5) @[el2_ifu_mem_ctl.scala 704:25] + node ifu_status_wr_addr_w_debug = mux(_T_3987, _T_3988, _T_3989) @[el2_ifu_mem_ctl.scala 703:41] + reg ifu_status_wr_addr_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 706:14] + ifu_status_wr_addr_ff <= ifu_status_wr_addr_w_debug @[el2_ifu_mem_ctl.scala 706:14] wire way_status_wr_en : UInt<1> way_status_wr_en <= UInt<1>("h00") - node _T_3990 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 708:74] - node way_status_wr_en_w_debug = or(way_status_wr_en, _T_3990) @[el2_ifu_mem_ctl.scala 708:53] - reg way_status_wr_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 710:14] - way_status_wr_en_ff <= way_status_wr_en_w_debug @[el2_ifu_mem_ctl.scala 710:14] + node _T_3990 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 709:74] + node way_status_wr_en_w_debug = or(way_status_wr_en, _T_3990) @[el2_ifu_mem_ctl.scala 709:53] + reg way_status_wr_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 711:14] + way_status_wr_en_ff <= way_status_wr_en_w_debug @[el2_ifu_mem_ctl.scala 711:14] wire way_status_new : UInt<1> way_status_new <= UInt<1>("h00") - node _T_3991 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 713:56] - node _T_3992 = bits(io.ic_debug_wr_data, 4, 4) @[el2_ifu_mem_ctl.scala 714:59] - node _T_3993 = bits(io.ic_debug_wr_data, 6, 4) @[el2_ifu_mem_ctl.scala 714:83] - node _T_3994 = mux(UInt<1>("h01"), _T_3992, _T_3993) @[el2_ifu_mem_ctl.scala 714:10] - node way_status_new_w_debug = mux(_T_3991, _T_3994, way_status_new) @[el2_ifu_mem_ctl.scala 713:37] - reg way_status_new_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 716:14] - way_status_new_ff <= way_status_new_w_debug @[el2_ifu_mem_ctl.scala 716:14] - node _T_3995 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_0 = eq(_T_3995, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_3996 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_1 = eq(_T_3996, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_3997 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_2 = eq(_T_3997, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_3998 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_3 = eq(_T_3998, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_3999 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_4 = eq(_T_3999, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4000 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_5 = eq(_T_4000, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4001 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_6 = eq(_T_4001, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4002 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_7 = eq(_T_4002, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4003 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_8 = eq(_T_4003, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4004 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_9 = eq(_T_4004, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4005 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_10 = eq(_T_4005, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4006 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_11 = eq(_T_4006, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4007 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_12 = eq(_T_4007, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4008 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_13 = eq(_T_4008, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4009 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_14 = eq(_T_4009, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4010 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_15 = eq(_T_4010, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_out : UInt<1>[128] @[el2_ifu_mem_ctl.scala 720:30] - node _T_4011 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4012 = and(_T_4011, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4013 = and(_T_4012, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + node _T_3991 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 714:56] + node _T_3992 = bits(io.ic_debug_wr_data, 4, 4) @[el2_ifu_mem_ctl.scala 715:59] + node _T_3993 = bits(io.ic_debug_wr_data, 6, 4) @[el2_ifu_mem_ctl.scala 715:83] + node _T_3994 = mux(UInt<1>("h01"), _T_3992, _T_3993) @[el2_ifu_mem_ctl.scala 715:10] + node way_status_new_w_debug = mux(_T_3991, _T_3994, way_status_new) @[el2_ifu_mem_ctl.scala 714:37] + reg way_status_new_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 717:14] + way_status_new_ff <= way_status_new_w_debug @[el2_ifu_mem_ctl.scala 717:14] + node _T_3995 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_0 = eq(_T_3995, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3996 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_1 = eq(_T_3996, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3997 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_2 = eq(_T_3997, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3998 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_3 = eq(_T_3998, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3999 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_4 = eq(_T_3999, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4000 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_5 = eq(_T_4000, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4001 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_6 = eq(_T_4001, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4002 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_7 = eq(_T_4002, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4003 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_8 = eq(_T_4003, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4004 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_9 = eq(_T_4004, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4005 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_10 = eq(_T_4005, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4006 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_11 = eq(_T_4006, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4007 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_12 = eq(_T_4007, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4008 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_13 = eq(_T_4008, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4009 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_14 = eq(_T_4009, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4010 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_15 = eq(_T_4010, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_out : UInt<1>[128] @[el2_ifu_mem_ctl.scala 721:30] + node _T_4011 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4012 = and(_T_4011, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4013 = and(_T_4012, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4014 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4013 : @[Reg.scala 28:19] _T_4014 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[0] <= _T_4014 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4015 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4016 = and(_T_4015, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4017 = and(_T_4016, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[0] <= _T_4014 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4015 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4016 = and(_T_4015, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4017 = and(_T_4016, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4018 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4017 : @[Reg.scala 28:19] _T_4018 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[1] <= _T_4018 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4019 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4020 = and(_T_4019, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4021 = and(_T_4020, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[1] <= _T_4018 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4019 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4020 = and(_T_4019, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4021 = and(_T_4020, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4022 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4021 : @[Reg.scala 28:19] _T_4022 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[2] <= _T_4022 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4023 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4024 = and(_T_4023, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4025 = and(_T_4024, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[2] <= _T_4022 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4023 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4024 = and(_T_4023, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4025 = and(_T_4024, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4026 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4025 : @[Reg.scala 28:19] _T_4026 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[3] <= _T_4026 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4027 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4028 = and(_T_4027, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4029 = and(_T_4028, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[3] <= _T_4026 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4027 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4028 = and(_T_4027, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4029 = and(_T_4028, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4030 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4029 : @[Reg.scala 28:19] _T_4030 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[4] <= _T_4030 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4031 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4032 = and(_T_4031, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4033 = and(_T_4032, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[4] <= _T_4030 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4031 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4032 = and(_T_4031, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4033 = and(_T_4032, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4034 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4033 : @[Reg.scala 28:19] _T_4034 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[5] <= _T_4034 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4035 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4036 = and(_T_4035, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4037 = and(_T_4036, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[5] <= _T_4034 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4035 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4036 = and(_T_4035, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4037 = and(_T_4036, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4038 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4037 : @[Reg.scala 28:19] _T_4038 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[6] <= _T_4038 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4039 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4040 = and(_T_4039, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4041 = and(_T_4040, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[6] <= _T_4038 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4039 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4040 = and(_T_4039, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4041 = and(_T_4040, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4042 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4041 : @[Reg.scala 28:19] _T_4042 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[7] <= _T_4042 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4043 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4044 = and(_T_4043, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4045 = and(_T_4044, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[7] <= _T_4042 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4043 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4044 = and(_T_4043, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4045 = and(_T_4044, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4046 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4045 : @[Reg.scala 28:19] _T_4046 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[8] <= _T_4046 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4047 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4048 = and(_T_4047, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4049 = and(_T_4048, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[8] <= _T_4046 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4047 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4048 = and(_T_4047, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4049 = and(_T_4048, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4050 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4049 : @[Reg.scala 28:19] _T_4050 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[9] <= _T_4050 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4051 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4052 = and(_T_4051, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4053 = and(_T_4052, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[9] <= _T_4050 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4051 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4052 = and(_T_4051, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4053 = and(_T_4052, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4054 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4053 : @[Reg.scala 28:19] _T_4054 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[10] <= _T_4054 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4055 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4056 = and(_T_4055, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4057 = and(_T_4056, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[10] <= _T_4054 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4055 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4056 = and(_T_4055, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4057 = and(_T_4056, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4058 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4057 : @[Reg.scala 28:19] _T_4058 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[11] <= _T_4058 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4059 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4060 = and(_T_4059, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4061 = and(_T_4060, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[11] <= _T_4058 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4059 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4060 = and(_T_4059, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4061 = and(_T_4060, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4062 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4061 : @[Reg.scala 28:19] _T_4062 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[12] <= _T_4062 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4063 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4064 = and(_T_4063, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4065 = and(_T_4064, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[12] <= _T_4062 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4063 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4064 = and(_T_4063, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4065 = and(_T_4064, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4066 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4065 : @[Reg.scala 28:19] _T_4066 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[13] <= _T_4066 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4067 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4068 = and(_T_4067, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4069 = and(_T_4068, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[13] <= _T_4066 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4067 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4068 = and(_T_4067, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4069 = and(_T_4068, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4070 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4069 : @[Reg.scala 28:19] _T_4070 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[14] <= _T_4070 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4071 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4072 = and(_T_4071, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4073 = and(_T_4072, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[14] <= _T_4070 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4071 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4072 = and(_T_4071, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4073 = and(_T_4072, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4074 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4073 : @[Reg.scala 28:19] _T_4074 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[15] <= _T_4074 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4075 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4076 = and(_T_4075, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4077 = and(_T_4076, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[15] <= _T_4074 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4075 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4076 = and(_T_4075, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4077 = and(_T_4076, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4078 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4077 : @[Reg.scala 28:19] _T_4078 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[16] <= _T_4078 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4079 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4080 = and(_T_4079, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4081 = and(_T_4080, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[16] <= _T_4078 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4079 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4080 = and(_T_4079, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4081 = and(_T_4080, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4082 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4081 : @[Reg.scala 28:19] _T_4082 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[17] <= _T_4082 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4083 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4084 = and(_T_4083, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4085 = and(_T_4084, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[17] <= _T_4082 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4083 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4084 = and(_T_4083, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4085 = and(_T_4084, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4086 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4085 : @[Reg.scala 28:19] _T_4086 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[18] <= _T_4086 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4087 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4088 = and(_T_4087, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4089 = and(_T_4088, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[18] <= _T_4086 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4087 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4088 = and(_T_4087, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4089 = and(_T_4088, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4090 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4089 : @[Reg.scala 28:19] _T_4090 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[19] <= _T_4090 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4091 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4092 = and(_T_4091, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4093 = and(_T_4092, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[19] <= _T_4090 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4091 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4092 = and(_T_4091, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4093 = and(_T_4092, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4094 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4093 : @[Reg.scala 28:19] _T_4094 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[20] <= _T_4094 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4095 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4096 = and(_T_4095, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4097 = and(_T_4096, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[20] <= _T_4094 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4095 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4096 = and(_T_4095, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4097 = and(_T_4096, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4098 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4097 : @[Reg.scala 28:19] _T_4098 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[21] <= _T_4098 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4099 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4100 = and(_T_4099, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4101 = and(_T_4100, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[21] <= _T_4098 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4099 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4100 = and(_T_4099, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4101 = and(_T_4100, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4102 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4101 : @[Reg.scala 28:19] _T_4102 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[22] <= _T_4102 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4103 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4104 = and(_T_4103, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4105 = and(_T_4104, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[22] <= _T_4102 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4103 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4104 = and(_T_4103, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4105 = and(_T_4104, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4106 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4105 : @[Reg.scala 28:19] _T_4106 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[23] <= _T_4106 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4107 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4108 = and(_T_4107, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4109 = and(_T_4108, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[23] <= _T_4106 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4107 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4108 = and(_T_4107, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4109 = and(_T_4108, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4110 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4109 : @[Reg.scala 28:19] _T_4110 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[24] <= _T_4110 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4111 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4112 = and(_T_4111, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4113 = and(_T_4112, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[24] <= _T_4110 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4111 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4112 = and(_T_4111, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4113 = and(_T_4112, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4114 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4113 : @[Reg.scala 28:19] _T_4114 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[25] <= _T_4114 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4115 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4116 = and(_T_4115, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4117 = and(_T_4116, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[25] <= _T_4114 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4115 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4116 = and(_T_4115, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4117 = and(_T_4116, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4118 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4117 : @[Reg.scala 28:19] _T_4118 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[26] <= _T_4118 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4119 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4120 = and(_T_4119, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4121 = and(_T_4120, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[26] <= _T_4118 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4119 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4120 = and(_T_4119, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4121 = and(_T_4120, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4122 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4121 : @[Reg.scala 28:19] _T_4122 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[27] <= _T_4122 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4123 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4124 = and(_T_4123, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4125 = and(_T_4124, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[27] <= _T_4122 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4123 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4124 = and(_T_4123, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4125 = and(_T_4124, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4126 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4125 : @[Reg.scala 28:19] _T_4126 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[28] <= _T_4126 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4127 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4128 = and(_T_4127, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4129 = and(_T_4128, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[28] <= _T_4126 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4127 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4128 = and(_T_4127, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4129 = and(_T_4128, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4130 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4129 : @[Reg.scala 28:19] _T_4130 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[29] <= _T_4130 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4131 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4132 = and(_T_4131, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4133 = and(_T_4132, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[29] <= _T_4130 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4131 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4132 = and(_T_4131, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4133 = and(_T_4132, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4134 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4133 : @[Reg.scala 28:19] _T_4134 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[30] <= _T_4134 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4135 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4136 = and(_T_4135, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4137 = and(_T_4136, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[30] <= _T_4134 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4135 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4136 = and(_T_4135, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4137 = and(_T_4136, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4138 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4137 : @[Reg.scala 28:19] _T_4138 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[31] <= _T_4138 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4139 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4140 = and(_T_4139, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4141 = and(_T_4140, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[31] <= _T_4138 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4139 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4140 = and(_T_4139, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4141 = and(_T_4140, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4142 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4141 : @[Reg.scala 28:19] _T_4142 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[32] <= _T_4142 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4143 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4144 = and(_T_4143, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4145 = and(_T_4144, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[32] <= _T_4142 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4143 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4144 = and(_T_4143, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4145 = and(_T_4144, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4146 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4145 : @[Reg.scala 28:19] _T_4146 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[33] <= _T_4146 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4147 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4148 = and(_T_4147, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4149 = and(_T_4148, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[33] <= _T_4146 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4147 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4148 = and(_T_4147, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4149 = and(_T_4148, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4150 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4149 : @[Reg.scala 28:19] _T_4150 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[34] <= _T_4150 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4151 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4152 = and(_T_4151, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4153 = and(_T_4152, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[34] <= _T_4150 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4151 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4152 = and(_T_4151, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4153 = and(_T_4152, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4154 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4153 : @[Reg.scala 28:19] _T_4154 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[35] <= _T_4154 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4155 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4156 = and(_T_4155, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4157 = and(_T_4156, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[35] <= _T_4154 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4155 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4156 = and(_T_4155, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4157 = and(_T_4156, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4158 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4157 : @[Reg.scala 28:19] _T_4158 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[36] <= _T_4158 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4159 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4160 = and(_T_4159, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4161 = and(_T_4160, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[36] <= _T_4158 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4159 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4160 = and(_T_4159, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4161 = and(_T_4160, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4162 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4161 : @[Reg.scala 28:19] _T_4162 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[37] <= _T_4162 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4163 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4164 = and(_T_4163, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4165 = and(_T_4164, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[37] <= _T_4162 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4163 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4164 = and(_T_4163, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4165 = and(_T_4164, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4166 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4165 : @[Reg.scala 28:19] _T_4166 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[38] <= _T_4166 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4167 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4168 = and(_T_4167, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4169 = and(_T_4168, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[38] <= _T_4166 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4167 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4168 = and(_T_4167, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4169 = and(_T_4168, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4170 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4169 : @[Reg.scala 28:19] _T_4170 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[39] <= _T_4170 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4171 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4172 = and(_T_4171, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4173 = and(_T_4172, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[39] <= _T_4170 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4171 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4172 = and(_T_4171, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4173 = and(_T_4172, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4174 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4173 : @[Reg.scala 28:19] _T_4174 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[40] <= _T_4174 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4175 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4176 = and(_T_4175, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4177 = and(_T_4176, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[40] <= _T_4174 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4175 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4176 = and(_T_4175, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4177 = and(_T_4176, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4178 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4177 : @[Reg.scala 28:19] _T_4178 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[41] <= _T_4178 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4179 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4180 = and(_T_4179, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4181 = and(_T_4180, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[41] <= _T_4178 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4179 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4180 = and(_T_4179, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4181 = and(_T_4180, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4182 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4181 : @[Reg.scala 28:19] _T_4182 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[42] <= _T_4182 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4183 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4184 = and(_T_4183, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4185 = and(_T_4184, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[42] <= _T_4182 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4183 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4184 = and(_T_4183, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4185 = and(_T_4184, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4186 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4185 : @[Reg.scala 28:19] _T_4186 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[43] <= _T_4186 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4187 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4188 = and(_T_4187, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4189 = and(_T_4188, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[43] <= _T_4186 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4187 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4188 = and(_T_4187, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4189 = and(_T_4188, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4190 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4189 : @[Reg.scala 28:19] _T_4190 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[44] <= _T_4190 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4191 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4192 = and(_T_4191, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4193 = and(_T_4192, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[44] <= _T_4190 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4191 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4192 = and(_T_4191, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4193 = and(_T_4192, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4194 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4193 : @[Reg.scala 28:19] _T_4194 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[45] <= _T_4194 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4195 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4196 = and(_T_4195, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4197 = and(_T_4196, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[45] <= _T_4194 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4195 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4196 = and(_T_4195, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4197 = and(_T_4196, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4198 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4197 : @[Reg.scala 28:19] _T_4198 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[46] <= _T_4198 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4199 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4200 = and(_T_4199, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4201 = and(_T_4200, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[46] <= _T_4198 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4199 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4200 = and(_T_4199, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4201 = and(_T_4200, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4202 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4201 : @[Reg.scala 28:19] _T_4202 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[47] <= _T_4202 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4203 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4204 = and(_T_4203, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4205 = and(_T_4204, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[47] <= _T_4202 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4203 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4204 = and(_T_4203, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4205 = and(_T_4204, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4206 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4205 : @[Reg.scala 28:19] _T_4206 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[48] <= _T_4206 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4207 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4208 = and(_T_4207, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4209 = and(_T_4208, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[48] <= _T_4206 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4207 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4208 = and(_T_4207, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4209 = and(_T_4208, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4210 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4209 : @[Reg.scala 28:19] _T_4210 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[49] <= _T_4210 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4211 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4212 = and(_T_4211, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4213 = and(_T_4212, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[49] <= _T_4210 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4211 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4212 = and(_T_4211, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4213 = and(_T_4212, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4214 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4213 : @[Reg.scala 28:19] _T_4214 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[50] <= _T_4214 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4215 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4216 = and(_T_4215, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4217 = and(_T_4216, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[50] <= _T_4214 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4215 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4216 = and(_T_4215, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4217 = and(_T_4216, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4218 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4217 : @[Reg.scala 28:19] _T_4218 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[51] <= _T_4218 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4219 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4220 = and(_T_4219, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4221 = and(_T_4220, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[51] <= _T_4218 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4219 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4220 = and(_T_4219, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4221 = and(_T_4220, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4222 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4221 : @[Reg.scala 28:19] _T_4222 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[52] <= _T_4222 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4223 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4224 = and(_T_4223, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4225 = and(_T_4224, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[52] <= _T_4222 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4223 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4224 = and(_T_4223, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4225 = and(_T_4224, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4226 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4225 : @[Reg.scala 28:19] _T_4226 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[53] <= _T_4226 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4227 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4228 = and(_T_4227, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4229 = and(_T_4228, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[53] <= _T_4226 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4227 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4228 = and(_T_4227, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4229 = and(_T_4228, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4230 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4229 : @[Reg.scala 28:19] _T_4230 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[54] <= _T_4230 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4231 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4232 = and(_T_4231, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4233 = and(_T_4232, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[54] <= _T_4230 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4231 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4232 = and(_T_4231, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4233 = and(_T_4232, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4234 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4233 : @[Reg.scala 28:19] _T_4234 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[55] <= _T_4234 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4235 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4236 = and(_T_4235, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4237 = and(_T_4236, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[55] <= _T_4234 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4235 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4236 = and(_T_4235, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4237 = and(_T_4236, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4238 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4237 : @[Reg.scala 28:19] _T_4238 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[56] <= _T_4238 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4239 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4240 = and(_T_4239, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4241 = and(_T_4240, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[56] <= _T_4238 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4239 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4240 = and(_T_4239, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4241 = and(_T_4240, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4242 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4241 : @[Reg.scala 28:19] _T_4242 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[57] <= _T_4242 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4243 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4244 = and(_T_4243, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4245 = and(_T_4244, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[57] <= _T_4242 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4243 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4244 = and(_T_4243, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4245 = and(_T_4244, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4246 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4245 : @[Reg.scala 28:19] _T_4246 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[58] <= _T_4246 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4247 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4248 = and(_T_4247, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4249 = and(_T_4248, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[58] <= _T_4246 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4247 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4248 = and(_T_4247, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4249 = and(_T_4248, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4250 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4249 : @[Reg.scala 28:19] _T_4250 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[59] <= _T_4250 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4251 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4252 = and(_T_4251, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4253 = and(_T_4252, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[59] <= _T_4250 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4251 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4252 = and(_T_4251, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4253 = and(_T_4252, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4254 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4253 : @[Reg.scala 28:19] _T_4254 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[60] <= _T_4254 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4255 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4256 = and(_T_4255, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4257 = and(_T_4256, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[60] <= _T_4254 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4255 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4256 = and(_T_4255, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4257 = and(_T_4256, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4258 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4257 : @[Reg.scala 28:19] _T_4258 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[61] <= _T_4258 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4259 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4260 = and(_T_4259, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4261 = and(_T_4260, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[61] <= _T_4258 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4259 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4260 = and(_T_4259, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4261 = and(_T_4260, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4262 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4261 : @[Reg.scala 28:19] _T_4262 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[62] <= _T_4262 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4263 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4264 = and(_T_4263, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4265 = and(_T_4264, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[62] <= _T_4262 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4263 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4264 = and(_T_4263, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4265 = and(_T_4264, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4266 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4265 : @[Reg.scala 28:19] _T_4266 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[63] <= _T_4266 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4267 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4268 = and(_T_4267, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4269 = and(_T_4268, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[63] <= _T_4266 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4267 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4268 = and(_T_4267, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4269 = and(_T_4268, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4270 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4269 : @[Reg.scala 28:19] _T_4270 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[64] <= _T_4270 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4271 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4272 = and(_T_4271, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4273 = and(_T_4272, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[64] <= _T_4270 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4271 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4272 = and(_T_4271, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4273 = and(_T_4272, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4274 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4273 : @[Reg.scala 28:19] _T_4274 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[65] <= _T_4274 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4275 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4276 = and(_T_4275, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4277 = and(_T_4276, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[65] <= _T_4274 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4275 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4276 = and(_T_4275, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4277 = and(_T_4276, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4278 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[66] <= _T_4278 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4279 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4280 = and(_T_4279, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4281 = and(_T_4280, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[66] <= _T_4278 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4279 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4280 = and(_T_4279, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4281 = and(_T_4280, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4282 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4281 : @[Reg.scala 28:19] _T_4282 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[67] <= _T_4282 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4283 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4284 = and(_T_4283, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4285 = and(_T_4284, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[67] <= _T_4282 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4283 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4284 = and(_T_4283, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4285 = and(_T_4284, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4286 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4285 : @[Reg.scala 28:19] _T_4286 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[68] <= _T_4286 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4287 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4288 = and(_T_4287, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4289 = and(_T_4288, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[68] <= _T_4286 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4287 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4288 = and(_T_4287, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4289 = and(_T_4288, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4290 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4289 : @[Reg.scala 28:19] _T_4290 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[69] <= _T_4290 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4291 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4292 = and(_T_4291, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4293 = and(_T_4292, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[69] <= _T_4290 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4291 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4292 = and(_T_4291, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4293 = and(_T_4292, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4294 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[70] <= _T_4294 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4295 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4296 = and(_T_4295, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4297 = and(_T_4296, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[70] <= _T_4294 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4295 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4296 = and(_T_4295, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4297 = and(_T_4296, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4298 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[71] <= _T_4298 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4299 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4300 = and(_T_4299, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4301 = and(_T_4300, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[71] <= _T_4298 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4299 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4300 = and(_T_4299, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4301 = and(_T_4300, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4302 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[72] <= _T_4302 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4303 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4304 = and(_T_4303, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4305 = and(_T_4304, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[72] <= _T_4302 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4303 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4304 = and(_T_4303, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4305 = and(_T_4304, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4306 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4305 : @[Reg.scala 28:19] _T_4306 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[73] <= _T_4306 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4307 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4308 = and(_T_4307, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4309 = and(_T_4308, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[73] <= _T_4306 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4307 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4308 = and(_T_4307, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4309 = and(_T_4308, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4309 : @[Reg.scala 28:19] _T_4310 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[74] <= _T_4310 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4311 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4312 = and(_T_4311, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4313 = and(_T_4312, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[74] <= _T_4310 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4311 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4312 = and(_T_4311, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4313 = and(_T_4312, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4314 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4313 : @[Reg.scala 28:19] _T_4314 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[75] <= _T_4314 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4315 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4316 = and(_T_4315, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4317 = and(_T_4316, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[75] <= _T_4314 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4315 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4316 = and(_T_4315, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4317 = and(_T_4316, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4318 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4317 : @[Reg.scala 28:19] _T_4318 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[76] <= _T_4318 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4319 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4320 = and(_T_4319, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4321 = and(_T_4320, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[76] <= _T_4318 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4319 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4320 = and(_T_4319, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4321 = and(_T_4320, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4322 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[77] <= _T_4322 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4323 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4324 = and(_T_4323, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4325 = and(_T_4324, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[77] <= _T_4322 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4323 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4324 = and(_T_4323, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4325 = and(_T_4324, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4326 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4325 : @[Reg.scala 28:19] _T_4326 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[78] <= _T_4326 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4327 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4328 = and(_T_4327, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4329 = and(_T_4328, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[78] <= _T_4326 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4327 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4328 = and(_T_4327, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4329 = and(_T_4328, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4330 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4329 : @[Reg.scala 28:19] _T_4330 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[79] <= _T_4330 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4331 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4332 = and(_T_4331, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4333 = and(_T_4332, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[79] <= _T_4330 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4331 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4332 = and(_T_4331, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4333 = and(_T_4332, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4334 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4333 : @[Reg.scala 28:19] _T_4334 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[80] <= _T_4334 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4335 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4336 = and(_T_4335, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4337 = and(_T_4336, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[80] <= _T_4334 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4335 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4336 = and(_T_4335, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4337 = and(_T_4336, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4338 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4337 : @[Reg.scala 28:19] _T_4338 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[81] <= _T_4338 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4339 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4340 = and(_T_4339, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4341 = and(_T_4340, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[81] <= _T_4338 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4339 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4340 = and(_T_4339, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4341 = and(_T_4340, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4342 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] _T_4342 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[82] <= _T_4342 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4343 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4344 = and(_T_4343, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4345 = and(_T_4344, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[82] <= _T_4342 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4343 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4344 = and(_T_4343, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4345 = and(_T_4344, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4346 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4345 : @[Reg.scala 28:19] _T_4346 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[83] <= _T_4346 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4347 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4348 = and(_T_4347, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4349 = and(_T_4348, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[83] <= _T_4346 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4347 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4348 = and(_T_4347, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4349 = and(_T_4348, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4350 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4349 : @[Reg.scala 28:19] _T_4350 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[84] <= _T_4350 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4351 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4352 = and(_T_4351, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4353 = and(_T_4352, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[84] <= _T_4350 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4351 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4352 = and(_T_4351, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4353 = and(_T_4352, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4354 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4353 : @[Reg.scala 28:19] _T_4354 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[85] <= _T_4354 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4355 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4356 = and(_T_4355, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4357 = and(_T_4356, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[85] <= _T_4354 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4355 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4356 = and(_T_4355, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4357 = and(_T_4356, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4358 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4357 : @[Reg.scala 28:19] _T_4358 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[86] <= _T_4358 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4359 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4360 = and(_T_4359, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4361 = and(_T_4360, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[86] <= _T_4358 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4359 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4360 = and(_T_4359, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4361 = and(_T_4360, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4362 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4361 : @[Reg.scala 28:19] _T_4362 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[87] <= _T_4362 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4363 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4364 = and(_T_4363, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4365 = and(_T_4364, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[87] <= _T_4362 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4363 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4364 = and(_T_4363, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4365 = and(_T_4364, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4366 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[88] <= _T_4366 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4367 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4368 = and(_T_4367, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4369 = and(_T_4368, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[88] <= _T_4366 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4367 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4368 = and(_T_4367, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4369 = and(_T_4368, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4370 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4369 : @[Reg.scala 28:19] _T_4370 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[89] <= _T_4370 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4371 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4372 = and(_T_4371, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4373 = and(_T_4372, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[89] <= _T_4370 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4371 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4372 = and(_T_4371, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4373 = and(_T_4372, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4374 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4373 : @[Reg.scala 28:19] _T_4374 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[90] <= _T_4374 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4375 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4376 = and(_T_4375, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4377 = and(_T_4376, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[90] <= _T_4374 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4375 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4376 = and(_T_4375, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4377 = and(_T_4376, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4378 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[91] <= _T_4378 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4379 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4380 = and(_T_4379, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4381 = and(_T_4380, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[91] <= _T_4378 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4379 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4380 = and(_T_4379, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4381 = and(_T_4380, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4382 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4381 : @[Reg.scala 28:19] _T_4382 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[92] <= _T_4382 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4383 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4384 = and(_T_4383, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4385 = and(_T_4384, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[92] <= _T_4382 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4383 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4384 = and(_T_4383, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4385 = and(_T_4384, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4386 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4385 : @[Reg.scala 28:19] _T_4386 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[93] <= _T_4386 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4387 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4388 = and(_T_4387, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4389 = and(_T_4388, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[93] <= _T_4386 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4387 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4388 = and(_T_4387, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4389 = and(_T_4388, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4390 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4389 : @[Reg.scala 28:19] _T_4390 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[94] <= _T_4390 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4391 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4392 = and(_T_4391, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4393 = and(_T_4392, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[94] <= _T_4390 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4391 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4392 = and(_T_4391, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4393 = and(_T_4392, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4394 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4393 : @[Reg.scala 28:19] _T_4394 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[95] <= _T_4394 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4395 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4396 = and(_T_4395, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4397 = and(_T_4396, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[95] <= _T_4394 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4395 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4396 = and(_T_4395, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4397 = and(_T_4396, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4398 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4397 : @[Reg.scala 28:19] _T_4398 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[96] <= _T_4398 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4399 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4400 = and(_T_4399, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4401 = and(_T_4400, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[96] <= _T_4398 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4399 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4400 = and(_T_4399, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4401 = and(_T_4400, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4402 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4401 : @[Reg.scala 28:19] _T_4402 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[97] <= _T_4402 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4403 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4404 = and(_T_4403, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4405 = and(_T_4404, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[97] <= _T_4402 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4403 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4404 = and(_T_4403, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4405 = and(_T_4404, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4406 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4405 : @[Reg.scala 28:19] _T_4406 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[98] <= _T_4406 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4407 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4408 = and(_T_4407, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4409 = and(_T_4408, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[98] <= _T_4406 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4407 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4408 = and(_T_4407, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4409 = and(_T_4408, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4410 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4409 : @[Reg.scala 28:19] _T_4410 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[99] <= _T_4410 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4411 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4412 = and(_T_4411, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4413 = and(_T_4412, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[99] <= _T_4410 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4411 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4412 = and(_T_4411, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4413 = and(_T_4412, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4414 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4413 : @[Reg.scala 28:19] _T_4414 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[100] <= _T_4414 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4415 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4416 = and(_T_4415, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4417 = and(_T_4416, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[100] <= _T_4414 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4415 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4416 = and(_T_4415, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4417 = and(_T_4416, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4418 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4417 : @[Reg.scala 28:19] _T_4418 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[101] <= _T_4418 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4419 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4420 = and(_T_4419, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4421 = and(_T_4420, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[101] <= _T_4418 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4419 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4420 = and(_T_4419, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4421 = and(_T_4420, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4422 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4421 : @[Reg.scala 28:19] _T_4422 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[102] <= _T_4422 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4423 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4424 = and(_T_4423, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4425 = and(_T_4424, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[102] <= _T_4422 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4423 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4424 = and(_T_4423, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4425 = and(_T_4424, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4426 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4425 : @[Reg.scala 28:19] _T_4426 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[103] <= _T_4426 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4427 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4428 = and(_T_4427, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4429 = and(_T_4428, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[103] <= _T_4426 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4427 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4428 = and(_T_4427, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4429 = and(_T_4428, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4430 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4429 : @[Reg.scala 28:19] _T_4430 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[104] <= _T_4430 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4431 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4432 = and(_T_4431, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4433 = and(_T_4432, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[104] <= _T_4430 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4431 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4432 = and(_T_4431, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4433 = and(_T_4432, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4434 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4433 : @[Reg.scala 28:19] _T_4434 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[105] <= _T_4434 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4435 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4436 = and(_T_4435, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4437 = and(_T_4436, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[105] <= _T_4434 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4435 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4436 = and(_T_4435, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4437 = and(_T_4436, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4438 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4437 : @[Reg.scala 28:19] _T_4438 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[106] <= _T_4438 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4439 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4440 = and(_T_4439, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4441 = and(_T_4440, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[106] <= _T_4438 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4439 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4440 = and(_T_4439, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4441 = and(_T_4440, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4442 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4441 : @[Reg.scala 28:19] _T_4442 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[107] <= _T_4442 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4443 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4444 = and(_T_4443, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4445 = and(_T_4444, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[107] <= _T_4442 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4443 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4444 = and(_T_4443, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4445 = and(_T_4444, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4446 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4445 : @[Reg.scala 28:19] _T_4446 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[108] <= _T_4446 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4447 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4448 = and(_T_4447, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4449 = and(_T_4448, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[108] <= _T_4446 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4447 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4448 = and(_T_4447, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4449 = and(_T_4448, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4450 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4449 : @[Reg.scala 28:19] _T_4450 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[109] <= _T_4450 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4451 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4452 = and(_T_4451, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4453 = and(_T_4452, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[109] <= _T_4450 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4451 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4452 = and(_T_4451, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4453 = and(_T_4452, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4454 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4453 : @[Reg.scala 28:19] _T_4454 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[110] <= _T_4454 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4455 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4456 = and(_T_4455, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4457 = and(_T_4456, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[110] <= _T_4454 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4455 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4456 = and(_T_4455, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4457 = and(_T_4456, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4458 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4457 : @[Reg.scala 28:19] _T_4458 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[111] <= _T_4458 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4459 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4460 = and(_T_4459, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4461 = and(_T_4460, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[111] <= _T_4458 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4459 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4460 = and(_T_4459, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4461 = and(_T_4460, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4462 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4461 : @[Reg.scala 28:19] _T_4462 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[112] <= _T_4462 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4463 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4464 = and(_T_4463, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4465 = and(_T_4464, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[112] <= _T_4462 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4463 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4464 = and(_T_4463, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4465 = and(_T_4464, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4466 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4465 : @[Reg.scala 28:19] _T_4466 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[113] <= _T_4466 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4467 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4468 = and(_T_4467, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4469 = and(_T_4468, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[113] <= _T_4466 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4467 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4468 = and(_T_4467, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4469 = and(_T_4468, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4470 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4469 : @[Reg.scala 28:19] _T_4470 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[114] <= _T_4470 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4471 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4472 = and(_T_4471, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4473 = and(_T_4472, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[114] <= _T_4470 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4471 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4472 = and(_T_4471, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4473 = and(_T_4472, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4474 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4473 : @[Reg.scala 28:19] _T_4474 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[115] <= _T_4474 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4475 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4476 = and(_T_4475, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4477 = and(_T_4476, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[115] <= _T_4474 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4475 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4476 = and(_T_4475, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4477 = and(_T_4476, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4478 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4477 : @[Reg.scala 28:19] _T_4478 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[116] <= _T_4478 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4479 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4480 = and(_T_4479, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4481 = and(_T_4480, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[116] <= _T_4478 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4479 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4480 = and(_T_4479, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4481 = and(_T_4480, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4482 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4481 : @[Reg.scala 28:19] _T_4482 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[117] <= _T_4482 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4483 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4484 = and(_T_4483, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4485 = and(_T_4484, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[117] <= _T_4482 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4483 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4484 = and(_T_4483, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4485 = and(_T_4484, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4486 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4485 : @[Reg.scala 28:19] _T_4486 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[118] <= _T_4486 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4487 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4488 = and(_T_4487, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4489 = and(_T_4488, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[118] <= _T_4486 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4487 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4488 = and(_T_4487, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4489 = and(_T_4488, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4490 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4489 : @[Reg.scala 28:19] _T_4490 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[119] <= _T_4490 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4491 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4492 = and(_T_4491, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4493 = and(_T_4492, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[119] <= _T_4490 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4491 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4492 = and(_T_4491, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4493 = and(_T_4492, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4494 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4493 : @[Reg.scala 28:19] _T_4494 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[120] <= _T_4494 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4495 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4496 = and(_T_4495, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4497 = and(_T_4496, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[120] <= _T_4494 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4495 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4496 = and(_T_4495, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4497 = and(_T_4496, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4498 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4497 : @[Reg.scala 28:19] _T_4498 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[121] <= _T_4498 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4499 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4500 = and(_T_4499, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4501 = and(_T_4500, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[121] <= _T_4498 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4499 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4500 = and(_T_4499, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4501 = and(_T_4500, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4502 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4501 : @[Reg.scala 28:19] _T_4502 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[122] <= _T_4502 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4503 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4504 = and(_T_4503, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4505 = and(_T_4504, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[122] <= _T_4502 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4503 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4504 = and(_T_4503, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4505 = and(_T_4504, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4506 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4505 : @[Reg.scala 28:19] _T_4506 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[123] <= _T_4506 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4507 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4508 = and(_T_4507, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4509 = and(_T_4508, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[123] <= _T_4506 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4507 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4508 = and(_T_4507, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4509 = and(_T_4508, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4510 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4509 : @[Reg.scala 28:19] _T_4510 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[124] <= _T_4510 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4511 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4512 = and(_T_4511, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4513 = and(_T_4512, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[124] <= _T_4510 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4511 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4512 = and(_T_4511, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4513 = and(_T_4512, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4514 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4513 : @[Reg.scala 28:19] _T_4514 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[125] <= _T_4514 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4515 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4516 = and(_T_4515, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4517 = and(_T_4516, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[125] <= _T_4514 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4515 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4516 = and(_T_4515, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4517 = and(_T_4516, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4518 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4517 : @[Reg.scala 28:19] _T_4518 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[126] <= _T_4518 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4519 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4520 = and(_T_4519, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4521 = and(_T_4520, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[126] <= _T_4518 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4519 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4520 = and(_T_4519, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4521 = and(_T_4520, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4522 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4521 : @[Reg.scala 28:19] _T_4522 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[127] <= _T_4522 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4523 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:121] + way_status_out[127] <= _T_4522 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4523 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4524 = bits(_T_4523, 0, 0) @[Bitwise.scala 72:15] node _T_4525 = mux(_T_4524, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4526 = and(_T_4525, way_status_out[0]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4527 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4526 = and(_T_4525, way_status_out[0]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4527 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4528 = bits(_T_4527, 0, 0) @[Bitwise.scala 72:15] node _T_4529 = mux(_T_4528, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4530 = and(_T_4529, way_status_out[1]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4531 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4530 = and(_T_4529, way_status_out[1]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4531 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4532 = bits(_T_4531, 0, 0) @[Bitwise.scala 72:15] node _T_4533 = mux(_T_4532, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4534 = and(_T_4533, way_status_out[2]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4535 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4534 = and(_T_4533, way_status_out[2]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4535 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4536 = bits(_T_4535, 0, 0) @[Bitwise.scala 72:15] node _T_4537 = mux(_T_4536, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4538 = and(_T_4537, way_status_out[3]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4539 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4538 = and(_T_4537, way_status_out[3]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4539 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4540 = bits(_T_4539, 0, 0) @[Bitwise.scala 72:15] node _T_4541 = mux(_T_4540, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4542 = and(_T_4541, way_status_out[4]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4543 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4542 = and(_T_4541, way_status_out[4]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4543 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4544 = bits(_T_4543, 0, 0) @[Bitwise.scala 72:15] node _T_4545 = mux(_T_4544, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4546 = and(_T_4545, way_status_out[5]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4547 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4546 = and(_T_4545, way_status_out[5]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4547 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4548 = bits(_T_4547, 0, 0) @[Bitwise.scala 72:15] node _T_4549 = mux(_T_4548, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4550 = and(_T_4549, way_status_out[6]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4551 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4550 = and(_T_4549, way_status_out[6]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4551 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4552 = bits(_T_4551, 0, 0) @[Bitwise.scala 72:15] node _T_4553 = mux(_T_4552, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4554 = and(_T_4553, way_status_out[7]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4555 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4554 = and(_T_4553, way_status_out[7]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4555 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4556 = bits(_T_4555, 0, 0) @[Bitwise.scala 72:15] node _T_4557 = mux(_T_4556, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4558 = and(_T_4557, way_status_out[8]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4559 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4558 = and(_T_4557, way_status_out[8]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4559 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4560 = bits(_T_4559, 0, 0) @[Bitwise.scala 72:15] node _T_4561 = mux(_T_4560, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4562 = and(_T_4561, way_status_out[9]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4563 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4562 = and(_T_4561, way_status_out[9]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4563 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4564 = bits(_T_4563, 0, 0) @[Bitwise.scala 72:15] node _T_4565 = mux(_T_4564, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4566 = and(_T_4565, way_status_out[10]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4567 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4566 = and(_T_4565, way_status_out[10]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4567 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4568 = bits(_T_4567, 0, 0) @[Bitwise.scala 72:15] node _T_4569 = mux(_T_4568, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4570 = and(_T_4569, way_status_out[11]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4571 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4570 = and(_T_4569, way_status_out[11]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4571 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4572 = bits(_T_4571, 0, 0) @[Bitwise.scala 72:15] node _T_4573 = mux(_T_4572, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4574 = and(_T_4573, way_status_out[12]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4575 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4574 = and(_T_4573, way_status_out[12]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4575 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4576 = bits(_T_4575, 0, 0) @[Bitwise.scala 72:15] node _T_4577 = mux(_T_4576, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4578 = and(_T_4577, way_status_out[13]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4579 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4578 = and(_T_4577, way_status_out[13]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4579 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4580 = bits(_T_4579, 0, 0) @[Bitwise.scala 72:15] node _T_4581 = mux(_T_4580, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4582 = and(_T_4581, way_status_out[14]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4583 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4582 = and(_T_4581, way_status_out[14]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4583 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4584 = bits(_T_4583, 0, 0) @[Bitwise.scala 72:15] node _T_4585 = mux(_T_4584, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4586 = and(_T_4585, way_status_out[15]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4587 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4586 = and(_T_4585, way_status_out[15]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4587 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4588 = bits(_T_4587, 0, 0) @[Bitwise.scala 72:15] node _T_4589 = mux(_T_4588, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4590 = and(_T_4589, way_status_out[16]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4591 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4590 = and(_T_4589, way_status_out[16]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4591 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4592 = bits(_T_4591, 0, 0) @[Bitwise.scala 72:15] node _T_4593 = mux(_T_4592, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4594 = and(_T_4593, way_status_out[17]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4595 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4594 = and(_T_4593, way_status_out[17]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4595 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4596 = bits(_T_4595, 0, 0) @[Bitwise.scala 72:15] node _T_4597 = mux(_T_4596, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4598 = and(_T_4597, way_status_out[18]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4599 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4598 = and(_T_4597, way_status_out[18]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4599 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4600 = bits(_T_4599, 0, 0) @[Bitwise.scala 72:15] node _T_4601 = mux(_T_4600, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4602 = and(_T_4601, way_status_out[19]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4603 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4602 = and(_T_4601, way_status_out[19]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4603 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4604 = bits(_T_4603, 0, 0) @[Bitwise.scala 72:15] node _T_4605 = mux(_T_4604, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4606 = and(_T_4605, way_status_out[20]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4607 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4606 = and(_T_4605, way_status_out[20]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4607 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4608 = bits(_T_4607, 0, 0) @[Bitwise.scala 72:15] node _T_4609 = mux(_T_4608, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4610 = and(_T_4609, way_status_out[21]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4611 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4610 = and(_T_4609, way_status_out[21]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4611 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4612 = bits(_T_4611, 0, 0) @[Bitwise.scala 72:15] node _T_4613 = mux(_T_4612, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4614 = and(_T_4613, way_status_out[22]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4615 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4614 = and(_T_4613, way_status_out[22]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4615 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4616 = bits(_T_4615, 0, 0) @[Bitwise.scala 72:15] node _T_4617 = mux(_T_4616, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4618 = and(_T_4617, way_status_out[23]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4619 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4618 = and(_T_4617, way_status_out[23]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4619 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4620 = bits(_T_4619, 0, 0) @[Bitwise.scala 72:15] node _T_4621 = mux(_T_4620, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4622 = and(_T_4621, way_status_out[24]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4623 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4622 = and(_T_4621, way_status_out[24]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4623 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4624 = bits(_T_4623, 0, 0) @[Bitwise.scala 72:15] node _T_4625 = mux(_T_4624, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4626 = and(_T_4625, way_status_out[25]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4627 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4626 = and(_T_4625, way_status_out[25]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4627 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4628 = bits(_T_4627, 0, 0) @[Bitwise.scala 72:15] node _T_4629 = mux(_T_4628, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4630 = and(_T_4629, way_status_out[26]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4631 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4630 = and(_T_4629, way_status_out[26]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4631 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4632 = bits(_T_4631, 0, 0) @[Bitwise.scala 72:15] node _T_4633 = mux(_T_4632, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4634 = and(_T_4633, way_status_out[27]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4635 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4634 = and(_T_4633, way_status_out[27]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4635 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4636 = bits(_T_4635, 0, 0) @[Bitwise.scala 72:15] node _T_4637 = mux(_T_4636, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4638 = and(_T_4637, way_status_out[28]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4639 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4638 = and(_T_4637, way_status_out[28]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4639 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4640 = bits(_T_4639, 0, 0) @[Bitwise.scala 72:15] node _T_4641 = mux(_T_4640, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4642 = and(_T_4641, way_status_out[29]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4643 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4642 = and(_T_4641, way_status_out[29]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4643 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4644 = bits(_T_4643, 0, 0) @[Bitwise.scala 72:15] node _T_4645 = mux(_T_4644, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4646 = and(_T_4645, way_status_out[30]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4647 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4646 = and(_T_4645, way_status_out[30]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4647 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4648 = bits(_T_4647, 0, 0) @[Bitwise.scala 72:15] node _T_4649 = mux(_T_4648, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4650 = and(_T_4649, way_status_out[31]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4651 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4650 = and(_T_4649, way_status_out[31]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4651 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4652 = bits(_T_4651, 0, 0) @[Bitwise.scala 72:15] node _T_4653 = mux(_T_4652, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4654 = and(_T_4653, way_status_out[32]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4655 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4654 = and(_T_4653, way_status_out[32]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4655 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4656 = bits(_T_4655, 0, 0) @[Bitwise.scala 72:15] node _T_4657 = mux(_T_4656, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4658 = and(_T_4657, way_status_out[33]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4659 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4658 = and(_T_4657, way_status_out[33]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4659 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4660 = bits(_T_4659, 0, 0) @[Bitwise.scala 72:15] node _T_4661 = mux(_T_4660, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4662 = and(_T_4661, way_status_out[34]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4663 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4662 = and(_T_4661, way_status_out[34]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4663 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4664 = bits(_T_4663, 0, 0) @[Bitwise.scala 72:15] node _T_4665 = mux(_T_4664, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4666 = and(_T_4665, way_status_out[35]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4667 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4666 = and(_T_4665, way_status_out[35]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4667 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4668 = bits(_T_4667, 0, 0) @[Bitwise.scala 72:15] node _T_4669 = mux(_T_4668, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4670 = and(_T_4669, way_status_out[36]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4671 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4670 = and(_T_4669, way_status_out[36]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4671 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4672 = bits(_T_4671, 0, 0) @[Bitwise.scala 72:15] node _T_4673 = mux(_T_4672, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4674 = and(_T_4673, way_status_out[37]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4675 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4674 = and(_T_4673, way_status_out[37]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4675 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4676 = bits(_T_4675, 0, 0) @[Bitwise.scala 72:15] node _T_4677 = mux(_T_4676, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4678 = and(_T_4677, way_status_out[38]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4679 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4678 = and(_T_4677, way_status_out[38]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4679 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4680 = bits(_T_4679, 0, 0) @[Bitwise.scala 72:15] node _T_4681 = mux(_T_4680, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4682 = and(_T_4681, way_status_out[39]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4683 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4682 = and(_T_4681, way_status_out[39]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4683 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4684 = bits(_T_4683, 0, 0) @[Bitwise.scala 72:15] node _T_4685 = mux(_T_4684, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4686 = and(_T_4685, way_status_out[40]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4687 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4686 = and(_T_4685, way_status_out[40]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4687 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4688 = bits(_T_4687, 0, 0) @[Bitwise.scala 72:15] node _T_4689 = mux(_T_4688, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4690 = and(_T_4689, way_status_out[41]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4691 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4690 = and(_T_4689, way_status_out[41]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4691 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4692 = bits(_T_4691, 0, 0) @[Bitwise.scala 72:15] node _T_4693 = mux(_T_4692, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4694 = and(_T_4693, way_status_out[42]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4695 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4694 = and(_T_4693, way_status_out[42]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4695 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4696 = bits(_T_4695, 0, 0) @[Bitwise.scala 72:15] node _T_4697 = mux(_T_4696, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4698 = and(_T_4697, way_status_out[43]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4699 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4698 = and(_T_4697, way_status_out[43]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4699 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4700 = bits(_T_4699, 0, 0) @[Bitwise.scala 72:15] node _T_4701 = mux(_T_4700, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4702 = and(_T_4701, way_status_out[44]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4703 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4702 = and(_T_4701, way_status_out[44]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4703 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4704 = bits(_T_4703, 0, 0) @[Bitwise.scala 72:15] node _T_4705 = mux(_T_4704, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4706 = and(_T_4705, way_status_out[45]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4707 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4706 = and(_T_4705, way_status_out[45]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4707 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4708 = bits(_T_4707, 0, 0) @[Bitwise.scala 72:15] node _T_4709 = mux(_T_4708, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4710 = and(_T_4709, way_status_out[46]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4711 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4710 = and(_T_4709, way_status_out[46]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4711 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4712 = bits(_T_4711, 0, 0) @[Bitwise.scala 72:15] node _T_4713 = mux(_T_4712, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4714 = and(_T_4713, way_status_out[47]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4715 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4714 = and(_T_4713, way_status_out[47]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4715 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4716 = bits(_T_4715, 0, 0) @[Bitwise.scala 72:15] node _T_4717 = mux(_T_4716, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4718 = and(_T_4717, way_status_out[48]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4719 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4718 = and(_T_4717, way_status_out[48]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4719 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4720 = bits(_T_4719, 0, 0) @[Bitwise.scala 72:15] node _T_4721 = mux(_T_4720, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4722 = and(_T_4721, way_status_out[49]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4723 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4722 = and(_T_4721, way_status_out[49]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4723 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4724 = bits(_T_4723, 0, 0) @[Bitwise.scala 72:15] node _T_4725 = mux(_T_4724, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4726 = and(_T_4725, way_status_out[50]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4727 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4726 = and(_T_4725, way_status_out[50]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4727 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4728 = bits(_T_4727, 0, 0) @[Bitwise.scala 72:15] node _T_4729 = mux(_T_4728, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4730 = and(_T_4729, way_status_out[51]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4731 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4730 = and(_T_4729, way_status_out[51]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4731 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4732 = bits(_T_4731, 0, 0) @[Bitwise.scala 72:15] node _T_4733 = mux(_T_4732, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4734 = and(_T_4733, way_status_out[52]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4735 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4734 = and(_T_4733, way_status_out[52]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4735 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4736 = bits(_T_4735, 0, 0) @[Bitwise.scala 72:15] node _T_4737 = mux(_T_4736, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4738 = and(_T_4737, way_status_out[53]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4739 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4738 = and(_T_4737, way_status_out[53]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4739 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4740 = bits(_T_4739, 0, 0) @[Bitwise.scala 72:15] node _T_4741 = mux(_T_4740, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4742 = and(_T_4741, way_status_out[54]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4743 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4742 = and(_T_4741, way_status_out[54]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4743 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4744 = bits(_T_4743, 0, 0) @[Bitwise.scala 72:15] node _T_4745 = mux(_T_4744, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4746 = and(_T_4745, way_status_out[55]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4747 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4746 = and(_T_4745, way_status_out[55]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4747 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4748 = bits(_T_4747, 0, 0) @[Bitwise.scala 72:15] node _T_4749 = mux(_T_4748, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4750 = and(_T_4749, way_status_out[56]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4751 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4750 = and(_T_4749, way_status_out[56]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4751 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4752 = bits(_T_4751, 0, 0) @[Bitwise.scala 72:15] node _T_4753 = mux(_T_4752, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4754 = and(_T_4753, way_status_out[57]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4755 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4754 = and(_T_4753, way_status_out[57]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4755 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4756 = bits(_T_4755, 0, 0) @[Bitwise.scala 72:15] node _T_4757 = mux(_T_4756, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4758 = and(_T_4757, way_status_out[58]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4759 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4758 = and(_T_4757, way_status_out[58]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4759 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4760 = bits(_T_4759, 0, 0) @[Bitwise.scala 72:15] node _T_4761 = mux(_T_4760, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4762 = and(_T_4761, way_status_out[59]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4763 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4762 = and(_T_4761, way_status_out[59]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4763 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4764 = bits(_T_4763, 0, 0) @[Bitwise.scala 72:15] node _T_4765 = mux(_T_4764, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4766 = and(_T_4765, way_status_out[60]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4767 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4766 = and(_T_4765, way_status_out[60]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4767 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4768 = bits(_T_4767, 0, 0) @[Bitwise.scala 72:15] node _T_4769 = mux(_T_4768, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4770 = and(_T_4769, way_status_out[61]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4771 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4770 = and(_T_4769, way_status_out[61]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4771 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4772 = bits(_T_4771, 0, 0) @[Bitwise.scala 72:15] node _T_4773 = mux(_T_4772, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4774 = and(_T_4773, way_status_out[62]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4775 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4774 = and(_T_4773, way_status_out[62]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4775 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4776 = bits(_T_4775, 0, 0) @[Bitwise.scala 72:15] node _T_4777 = mux(_T_4776, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4778 = and(_T_4777, way_status_out[63]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4779 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4778 = and(_T_4777, way_status_out[63]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4779 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4780 = bits(_T_4779, 0, 0) @[Bitwise.scala 72:15] node _T_4781 = mux(_T_4780, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4782 = and(_T_4781, way_status_out[64]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4783 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4782 = and(_T_4781, way_status_out[64]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4783 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4784 = bits(_T_4783, 0, 0) @[Bitwise.scala 72:15] node _T_4785 = mux(_T_4784, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4786 = and(_T_4785, way_status_out[65]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4787 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4786 = and(_T_4785, way_status_out[65]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4787 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4788 = bits(_T_4787, 0, 0) @[Bitwise.scala 72:15] node _T_4789 = mux(_T_4788, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4790 = and(_T_4789, way_status_out[66]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4791 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4790 = and(_T_4789, way_status_out[66]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4791 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4792 = bits(_T_4791, 0, 0) @[Bitwise.scala 72:15] node _T_4793 = mux(_T_4792, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4794 = and(_T_4793, way_status_out[67]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4795 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4794 = and(_T_4793, way_status_out[67]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4795 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4796 = bits(_T_4795, 0, 0) @[Bitwise.scala 72:15] node _T_4797 = mux(_T_4796, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4798 = and(_T_4797, way_status_out[68]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4799 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4798 = and(_T_4797, way_status_out[68]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4799 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4800 = bits(_T_4799, 0, 0) @[Bitwise.scala 72:15] node _T_4801 = mux(_T_4800, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4802 = and(_T_4801, way_status_out[69]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4803 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4802 = and(_T_4801, way_status_out[69]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4803 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4804 = bits(_T_4803, 0, 0) @[Bitwise.scala 72:15] node _T_4805 = mux(_T_4804, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4806 = and(_T_4805, way_status_out[70]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4807 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4806 = and(_T_4805, way_status_out[70]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4807 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4808 = bits(_T_4807, 0, 0) @[Bitwise.scala 72:15] node _T_4809 = mux(_T_4808, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4810 = and(_T_4809, way_status_out[71]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4811 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4810 = and(_T_4809, way_status_out[71]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4811 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4812 = bits(_T_4811, 0, 0) @[Bitwise.scala 72:15] node _T_4813 = mux(_T_4812, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4814 = and(_T_4813, way_status_out[72]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4815 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4814 = and(_T_4813, way_status_out[72]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4815 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4816 = bits(_T_4815, 0, 0) @[Bitwise.scala 72:15] node _T_4817 = mux(_T_4816, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4818 = and(_T_4817, way_status_out[73]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4819 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4818 = and(_T_4817, way_status_out[73]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4819 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4820 = bits(_T_4819, 0, 0) @[Bitwise.scala 72:15] node _T_4821 = mux(_T_4820, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4822 = and(_T_4821, way_status_out[74]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4823 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4822 = and(_T_4821, way_status_out[74]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4823 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4824 = bits(_T_4823, 0, 0) @[Bitwise.scala 72:15] node _T_4825 = mux(_T_4824, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4826 = and(_T_4825, way_status_out[75]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4827 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4826 = and(_T_4825, way_status_out[75]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4827 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4828 = bits(_T_4827, 0, 0) @[Bitwise.scala 72:15] node _T_4829 = mux(_T_4828, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4830 = and(_T_4829, way_status_out[76]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4831 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4830 = and(_T_4829, way_status_out[76]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4831 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4832 = bits(_T_4831, 0, 0) @[Bitwise.scala 72:15] node _T_4833 = mux(_T_4832, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4834 = and(_T_4833, way_status_out[77]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4835 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4834 = and(_T_4833, way_status_out[77]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4835 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4836 = bits(_T_4835, 0, 0) @[Bitwise.scala 72:15] node _T_4837 = mux(_T_4836, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4838 = and(_T_4837, way_status_out[78]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4839 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4838 = and(_T_4837, way_status_out[78]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4839 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4840 = bits(_T_4839, 0, 0) @[Bitwise.scala 72:15] node _T_4841 = mux(_T_4840, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4842 = and(_T_4841, way_status_out[79]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4843 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4842 = and(_T_4841, way_status_out[79]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4843 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4844 = bits(_T_4843, 0, 0) @[Bitwise.scala 72:15] node _T_4845 = mux(_T_4844, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4846 = and(_T_4845, way_status_out[80]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4847 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4846 = and(_T_4845, way_status_out[80]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4847 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4848 = bits(_T_4847, 0, 0) @[Bitwise.scala 72:15] node _T_4849 = mux(_T_4848, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4850 = and(_T_4849, way_status_out[81]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4851 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4850 = and(_T_4849, way_status_out[81]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4851 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4852 = bits(_T_4851, 0, 0) @[Bitwise.scala 72:15] node _T_4853 = mux(_T_4852, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4854 = and(_T_4853, way_status_out[82]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4855 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4854 = and(_T_4853, way_status_out[82]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4855 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4856 = bits(_T_4855, 0, 0) @[Bitwise.scala 72:15] node _T_4857 = mux(_T_4856, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4858 = and(_T_4857, way_status_out[83]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4859 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4858 = and(_T_4857, way_status_out[83]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4859 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4860 = bits(_T_4859, 0, 0) @[Bitwise.scala 72:15] node _T_4861 = mux(_T_4860, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4862 = and(_T_4861, way_status_out[84]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4863 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4862 = and(_T_4861, way_status_out[84]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4863 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4864 = bits(_T_4863, 0, 0) @[Bitwise.scala 72:15] node _T_4865 = mux(_T_4864, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4866 = and(_T_4865, way_status_out[85]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4867 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4866 = and(_T_4865, way_status_out[85]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4867 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4868 = bits(_T_4867, 0, 0) @[Bitwise.scala 72:15] node _T_4869 = mux(_T_4868, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4870 = and(_T_4869, way_status_out[86]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4871 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4870 = and(_T_4869, way_status_out[86]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4871 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4872 = bits(_T_4871, 0, 0) @[Bitwise.scala 72:15] node _T_4873 = mux(_T_4872, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4874 = and(_T_4873, way_status_out[87]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4875 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4874 = and(_T_4873, way_status_out[87]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4875 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4876 = bits(_T_4875, 0, 0) @[Bitwise.scala 72:15] node _T_4877 = mux(_T_4876, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4878 = and(_T_4877, way_status_out[88]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4879 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4878 = and(_T_4877, way_status_out[88]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4879 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4880 = bits(_T_4879, 0, 0) @[Bitwise.scala 72:15] node _T_4881 = mux(_T_4880, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4882 = and(_T_4881, way_status_out[89]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4883 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4882 = and(_T_4881, way_status_out[89]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4883 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4884 = bits(_T_4883, 0, 0) @[Bitwise.scala 72:15] node _T_4885 = mux(_T_4884, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4886 = and(_T_4885, way_status_out[90]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4887 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4886 = and(_T_4885, way_status_out[90]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4887 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4888 = bits(_T_4887, 0, 0) @[Bitwise.scala 72:15] node _T_4889 = mux(_T_4888, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4890 = and(_T_4889, way_status_out[91]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4891 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4890 = and(_T_4889, way_status_out[91]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4891 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4892 = bits(_T_4891, 0, 0) @[Bitwise.scala 72:15] node _T_4893 = mux(_T_4892, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4894 = and(_T_4893, way_status_out[92]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4895 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4894 = and(_T_4893, way_status_out[92]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4895 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4896 = bits(_T_4895, 0, 0) @[Bitwise.scala 72:15] node _T_4897 = mux(_T_4896, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4898 = and(_T_4897, way_status_out[93]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4899 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4898 = and(_T_4897, way_status_out[93]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4899 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4900 = bits(_T_4899, 0, 0) @[Bitwise.scala 72:15] node _T_4901 = mux(_T_4900, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4902 = and(_T_4901, way_status_out[94]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4903 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4902 = and(_T_4901, way_status_out[94]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4903 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4904 = bits(_T_4903, 0, 0) @[Bitwise.scala 72:15] node _T_4905 = mux(_T_4904, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4906 = and(_T_4905, way_status_out[95]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4907 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4906 = and(_T_4905, way_status_out[95]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4907 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4908 = bits(_T_4907, 0, 0) @[Bitwise.scala 72:15] node _T_4909 = mux(_T_4908, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4910 = and(_T_4909, way_status_out[96]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4911 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4910 = and(_T_4909, way_status_out[96]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4911 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4912 = bits(_T_4911, 0, 0) @[Bitwise.scala 72:15] node _T_4913 = mux(_T_4912, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4914 = and(_T_4913, way_status_out[97]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4915 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4914 = and(_T_4913, way_status_out[97]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4915 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4916 = bits(_T_4915, 0, 0) @[Bitwise.scala 72:15] node _T_4917 = mux(_T_4916, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4918 = and(_T_4917, way_status_out[98]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4919 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4918 = and(_T_4917, way_status_out[98]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4919 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4920 = bits(_T_4919, 0, 0) @[Bitwise.scala 72:15] node _T_4921 = mux(_T_4920, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4922 = and(_T_4921, way_status_out[99]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4923 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4922 = and(_T_4921, way_status_out[99]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4923 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4924 = bits(_T_4923, 0, 0) @[Bitwise.scala 72:15] node _T_4925 = mux(_T_4924, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4926 = and(_T_4925, way_status_out[100]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4927 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4926 = and(_T_4925, way_status_out[100]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4927 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4928 = bits(_T_4927, 0, 0) @[Bitwise.scala 72:15] node _T_4929 = mux(_T_4928, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4930 = and(_T_4929, way_status_out[101]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4931 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4930 = and(_T_4929, way_status_out[101]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4931 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4932 = bits(_T_4931, 0, 0) @[Bitwise.scala 72:15] node _T_4933 = mux(_T_4932, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4934 = and(_T_4933, way_status_out[102]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4935 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4934 = and(_T_4933, way_status_out[102]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4935 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4936 = bits(_T_4935, 0, 0) @[Bitwise.scala 72:15] node _T_4937 = mux(_T_4936, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4938 = and(_T_4937, way_status_out[103]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4939 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4938 = and(_T_4937, way_status_out[103]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4939 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4940 = bits(_T_4939, 0, 0) @[Bitwise.scala 72:15] node _T_4941 = mux(_T_4940, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4942 = and(_T_4941, way_status_out[104]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4943 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4942 = and(_T_4941, way_status_out[104]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4943 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4944 = bits(_T_4943, 0, 0) @[Bitwise.scala 72:15] node _T_4945 = mux(_T_4944, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4946 = and(_T_4945, way_status_out[105]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4947 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4946 = and(_T_4945, way_status_out[105]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4947 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4948 = bits(_T_4947, 0, 0) @[Bitwise.scala 72:15] node _T_4949 = mux(_T_4948, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4950 = and(_T_4949, way_status_out[106]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4951 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4950 = and(_T_4949, way_status_out[106]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4951 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4952 = bits(_T_4951, 0, 0) @[Bitwise.scala 72:15] node _T_4953 = mux(_T_4952, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4954 = and(_T_4953, way_status_out[107]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4955 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4954 = and(_T_4953, way_status_out[107]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4955 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4956 = bits(_T_4955, 0, 0) @[Bitwise.scala 72:15] node _T_4957 = mux(_T_4956, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4958 = and(_T_4957, way_status_out[108]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4959 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4958 = and(_T_4957, way_status_out[108]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4959 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4960 = bits(_T_4959, 0, 0) @[Bitwise.scala 72:15] node _T_4961 = mux(_T_4960, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4962 = and(_T_4961, way_status_out[109]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4963 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4962 = and(_T_4961, way_status_out[109]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4963 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4964 = bits(_T_4963, 0, 0) @[Bitwise.scala 72:15] node _T_4965 = mux(_T_4964, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4966 = and(_T_4965, way_status_out[110]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4967 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4966 = and(_T_4965, way_status_out[110]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4967 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4968 = bits(_T_4967, 0, 0) @[Bitwise.scala 72:15] node _T_4969 = mux(_T_4968, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4970 = and(_T_4969, way_status_out[111]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4971 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4970 = and(_T_4969, way_status_out[111]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4971 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4972 = bits(_T_4971, 0, 0) @[Bitwise.scala 72:15] node _T_4973 = mux(_T_4972, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4974 = and(_T_4973, way_status_out[112]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4975 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4974 = and(_T_4973, way_status_out[112]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4975 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4976 = bits(_T_4975, 0, 0) @[Bitwise.scala 72:15] node _T_4977 = mux(_T_4976, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4978 = and(_T_4977, way_status_out[113]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4979 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4978 = and(_T_4977, way_status_out[113]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4979 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4980 = bits(_T_4979, 0, 0) @[Bitwise.scala 72:15] node _T_4981 = mux(_T_4980, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4982 = and(_T_4981, way_status_out[114]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4983 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4982 = and(_T_4981, way_status_out[114]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4983 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4984 = bits(_T_4983, 0, 0) @[Bitwise.scala 72:15] node _T_4985 = mux(_T_4984, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4986 = and(_T_4985, way_status_out[115]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4987 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4986 = and(_T_4985, way_status_out[115]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4987 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4988 = bits(_T_4987, 0, 0) @[Bitwise.scala 72:15] node _T_4989 = mux(_T_4988, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4990 = and(_T_4989, way_status_out[116]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4991 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4990 = and(_T_4989, way_status_out[116]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4991 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4992 = bits(_T_4991, 0, 0) @[Bitwise.scala 72:15] node _T_4993 = mux(_T_4992, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4994 = and(_T_4993, way_status_out[117]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4995 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4994 = and(_T_4993, way_status_out[117]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4995 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4996 = bits(_T_4995, 0, 0) @[Bitwise.scala 72:15] node _T_4997 = mux(_T_4996, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4998 = and(_T_4997, way_status_out[118]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4999 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4998 = and(_T_4997, way_status_out[118]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4999 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5000 = bits(_T_4999, 0, 0) @[Bitwise.scala 72:15] node _T_5001 = mux(_T_5000, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5002 = and(_T_5001, way_status_out[119]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5003 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5002 = and(_T_5001, way_status_out[119]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5003 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5004 = bits(_T_5003, 0, 0) @[Bitwise.scala 72:15] node _T_5005 = mux(_T_5004, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5006 = and(_T_5005, way_status_out[120]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5007 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5006 = and(_T_5005, way_status_out[120]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5007 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5008 = bits(_T_5007, 0, 0) @[Bitwise.scala 72:15] node _T_5009 = mux(_T_5008, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5010 = and(_T_5009, way_status_out[121]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5011 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5010 = and(_T_5009, way_status_out[121]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5011 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5012 = bits(_T_5011, 0, 0) @[Bitwise.scala 72:15] node _T_5013 = mux(_T_5012, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5014 = and(_T_5013, way_status_out[122]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5015 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5014 = and(_T_5013, way_status_out[122]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5015 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5016 = bits(_T_5015, 0, 0) @[Bitwise.scala 72:15] node _T_5017 = mux(_T_5016, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5018 = and(_T_5017, way_status_out[123]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5019 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5018 = and(_T_5017, way_status_out[123]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5019 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5020 = bits(_T_5019, 0, 0) @[Bitwise.scala 72:15] node _T_5021 = mux(_T_5020, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5022 = and(_T_5021, way_status_out[124]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5023 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5022 = and(_T_5021, way_status_out[124]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5023 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5024 = bits(_T_5023, 0, 0) @[Bitwise.scala 72:15] node _T_5025 = mux(_T_5024, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5026 = and(_T_5025, way_status_out[125]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5027 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5026 = and(_T_5025, way_status_out[125]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5027 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5028 = bits(_T_5027, 0, 0) @[Bitwise.scala 72:15] node _T_5029 = mux(_T_5028, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5030 = and(_T_5029, way_status_out[126]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5031 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5030 = and(_T_5029, way_status_out[126]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5031 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5032 = bits(_T_5031, 0, 0) @[Bitwise.scala 72:15] node _T_5033 = mux(_T_5032, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5034 = and(_T_5033, way_status_out[127]) @[el2_ifu_mem_ctl.scala 723:130] + node _T_5034 = and(_T_5033, way_status_out[127]) @[el2_ifu_mem_ctl.scala 724:130] node _T_5035 = cat(_T_5034, _T_5030) @[Cat.scala 29:58] node _T_5036 = cat(_T_5035, _T_5026) @[Cat.scala 29:58] node _T_5037 = cat(_T_5036, _T_5022) @[Cat.scala 29:58] @@ -7314,112 +7314,112 @@ circuit el2_ifu_mem_ctl : node _T_5159 = cat(_T_5158, _T_4534) @[Cat.scala 29:58] node _T_5160 = cat(_T_5159, _T_4530) @[Cat.scala 29:58] node _T_5161 = cat(_T_5160, _T_4526) @[Cat.scala 29:58] - way_status <= _T_5161 @[el2_ifu_mem_ctl.scala 723:16] - node _T_5162 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 724:61] - node _T_5163 = and(_T_5162, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 724:82] - node _T_5164 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 725:23] - node _T_5165 = bits(ifu_ic_rw_int_addr, 11, 5) @[el2_ifu_mem_ctl.scala 725:89] - node ifu_ic_rw_int_addr_w_debug = mux(_T_5163, _T_5164, _T_5165) @[el2_ifu_mem_ctl.scala 724:41] - reg _T_5166 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 727:14] - _T_5166 <= ifu_ic_rw_int_addr_w_debug @[el2_ifu_mem_ctl.scala 727:14] - ifu_ic_rw_int_addr_ff <= _T_5166 @[el2_ifu_mem_ctl.scala 726:27] + way_status <= _T_5161 @[el2_ifu_mem_ctl.scala 724:16] + node _T_5162 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 725:61] + node _T_5163 = and(_T_5162, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 725:82] + node _T_5164 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 726:23] + node _T_5165 = bits(ifu_ic_rw_int_addr, 11, 5) @[el2_ifu_mem_ctl.scala 726:89] + node ifu_ic_rw_int_addr_w_debug = mux(_T_5163, _T_5164, _T_5165) @[el2_ifu_mem_ctl.scala 725:41] + reg _T_5166 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 728:14] + _T_5166 <= ifu_ic_rw_int_addr_w_debug @[el2_ifu_mem_ctl.scala 728:14] + ifu_ic_rw_int_addr_ff <= _T_5166 @[el2_ifu_mem_ctl.scala 727:27] wire ifu_tag_wren : UInt<2> ifu_tag_wren <= UInt<1>("h00") wire ic_debug_tag_wr_en : UInt<2> ic_debug_tag_wr_en <= UInt<1>("h00") - node ifu_tag_wren_w_debug = or(ifu_tag_wren, ic_debug_tag_wr_en) @[el2_ifu_mem_ctl.scala 731:45] - reg ifu_tag_wren_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 733:14] - ifu_tag_wren_ff <= ifu_tag_wren_w_debug @[el2_ifu_mem_ctl.scala 733:14] - node _T_5167 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 735:50] - node _T_5168 = bits(io.ic_debug_wr_data, 0, 0) @[el2_ifu_mem_ctl.scala 735:94] - node ic_valid_w_debug = mux(_T_5167, _T_5168, ic_valid) @[el2_ifu_mem_ctl.scala 735:31] - reg ic_valid_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 737:14] - ic_valid_ff <= ic_valid_w_debug @[el2_ifu_mem_ctl.scala 737:14] - node _T_5169 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5170 = eq(_T_5169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 741:78] - node _T_5171 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 741:104] - node _T_5172 = and(_T_5170, _T_5171) @[el2_ifu_mem_ctl.scala 741:87] - node _T_5173 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5174 = eq(_T_5173, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 742:70] - node _T_5175 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 742:97] - node _T_5176 = and(_T_5174, _T_5175) @[el2_ifu_mem_ctl.scala 742:79] - node _T_5177 = or(_T_5172, _T_5176) @[el2_ifu_mem_ctl.scala 741:109] - node _T_5178 = or(_T_5177, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:102] - node _T_5179 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5180 = eq(_T_5179, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 741:78] - node _T_5181 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 741:104] - node _T_5182 = and(_T_5180, _T_5181) @[el2_ifu_mem_ctl.scala 741:87] - node _T_5183 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5184 = eq(_T_5183, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 742:70] - node _T_5185 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 742:97] - node _T_5186 = and(_T_5184, _T_5185) @[el2_ifu_mem_ctl.scala 742:79] - node _T_5187 = or(_T_5182, _T_5186) @[el2_ifu_mem_ctl.scala 741:109] - node _T_5188 = or(_T_5187, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:102] + node ifu_tag_wren_w_debug = or(ifu_tag_wren, ic_debug_tag_wr_en) @[el2_ifu_mem_ctl.scala 732:45] + reg ifu_tag_wren_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 734:14] + ifu_tag_wren_ff <= ifu_tag_wren_w_debug @[el2_ifu_mem_ctl.scala 734:14] + node _T_5167 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 736:50] + node _T_5168 = bits(io.ic_debug_wr_data, 0, 0) @[el2_ifu_mem_ctl.scala 736:94] + node ic_valid_w_debug = mux(_T_5167, _T_5168, ic_valid) @[el2_ifu_mem_ctl.scala 736:31] + reg ic_valid_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 738:14] + ic_valid_ff <= ic_valid_w_debug @[el2_ifu_mem_ctl.scala 738:14] + node _T_5169 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5170 = eq(_T_5169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 742:78] + node _T_5171 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 742:104] + node _T_5172 = and(_T_5170, _T_5171) @[el2_ifu_mem_ctl.scala 742:87] + node _T_5173 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5174 = eq(_T_5173, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 743:70] + node _T_5175 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 743:97] + node _T_5176 = and(_T_5174, _T_5175) @[el2_ifu_mem_ctl.scala 743:79] + node _T_5177 = or(_T_5172, _T_5176) @[el2_ifu_mem_ctl.scala 742:109] + node _T_5178 = or(_T_5177, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:102] + node _T_5179 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5180 = eq(_T_5179, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 742:78] + node _T_5181 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 742:104] + node _T_5182 = and(_T_5180, _T_5181) @[el2_ifu_mem_ctl.scala 742:87] + node _T_5183 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5184 = eq(_T_5183, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 743:70] + node _T_5185 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 743:97] + node _T_5186 = and(_T_5184, _T_5185) @[el2_ifu_mem_ctl.scala 743:79] + node _T_5187 = or(_T_5182, _T_5186) @[el2_ifu_mem_ctl.scala 742:109] + node _T_5188 = or(_T_5187, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:102] node tag_valid_clken_0 = cat(_T_5188, _T_5178) @[Cat.scala 29:58] - node _T_5189 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5190 = eq(_T_5189, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 741:78] - node _T_5191 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 741:104] - node _T_5192 = and(_T_5190, _T_5191) @[el2_ifu_mem_ctl.scala 741:87] - node _T_5193 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5194 = eq(_T_5193, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 742:70] - node _T_5195 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 742:97] - node _T_5196 = and(_T_5194, _T_5195) @[el2_ifu_mem_ctl.scala 742:79] - node _T_5197 = or(_T_5192, _T_5196) @[el2_ifu_mem_ctl.scala 741:109] - node _T_5198 = or(_T_5197, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:102] - node _T_5199 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5200 = eq(_T_5199, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 741:78] - node _T_5201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 741:104] - node _T_5202 = and(_T_5200, _T_5201) @[el2_ifu_mem_ctl.scala 741:87] - node _T_5203 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5204 = eq(_T_5203, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 742:70] - node _T_5205 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 742:97] - node _T_5206 = and(_T_5204, _T_5205) @[el2_ifu_mem_ctl.scala 742:79] - node _T_5207 = or(_T_5202, _T_5206) @[el2_ifu_mem_ctl.scala 741:109] - node _T_5208 = or(_T_5207, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:102] + node _T_5189 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5190 = eq(_T_5189, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 742:78] + node _T_5191 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 742:104] + node _T_5192 = and(_T_5190, _T_5191) @[el2_ifu_mem_ctl.scala 742:87] + node _T_5193 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5194 = eq(_T_5193, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 743:70] + node _T_5195 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 743:97] + node _T_5196 = and(_T_5194, _T_5195) @[el2_ifu_mem_ctl.scala 743:79] + node _T_5197 = or(_T_5192, _T_5196) @[el2_ifu_mem_ctl.scala 742:109] + node _T_5198 = or(_T_5197, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:102] + node _T_5199 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5200 = eq(_T_5199, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 742:78] + node _T_5201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 742:104] + node _T_5202 = and(_T_5200, _T_5201) @[el2_ifu_mem_ctl.scala 742:87] + node _T_5203 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5204 = eq(_T_5203, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 743:70] + node _T_5205 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 743:97] + node _T_5206 = and(_T_5204, _T_5205) @[el2_ifu_mem_ctl.scala 743:79] + node _T_5207 = or(_T_5202, _T_5206) @[el2_ifu_mem_ctl.scala 742:109] + node _T_5208 = or(_T_5207, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:102] node tag_valid_clken_1 = cat(_T_5208, _T_5198) @[Cat.scala 29:58] - node _T_5209 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5210 = eq(_T_5209, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 741:78] - node _T_5211 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 741:104] - node _T_5212 = and(_T_5210, _T_5211) @[el2_ifu_mem_ctl.scala 741:87] - node _T_5213 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5214 = eq(_T_5213, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 742:70] - node _T_5215 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 742:97] - node _T_5216 = and(_T_5214, _T_5215) @[el2_ifu_mem_ctl.scala 742:79] - node _T_5217 = or(_T_5212, _T_5216) @[el2_ifu_mem_ctl.scala 741:109] - node _T_5218 = or(_T_5217, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:102] - node _T_5219 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5220 = eq(_T_5219, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 741:78] - node _T_5221 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 741:104] - node _T_5222 = and(_T_5220, _T_5221) @[el2_ifu_mem_ctl.scala 741:87] - node _T_5223 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5224 = eq(_T_5223, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 742:70] - node _T_5225 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 742:97] - node _T_5226 = and(_T_5224, _T_5225) @[el2_ifu_mem_ctl.scala 742:79] - node _T_5227 = or(_T_5222, _T_5226) @[el2_ifu_mem_ctl.scala 741:109] - node _T_5228 = or(_T_5227, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:102] + node _T_5209 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5210 = eq(_T_5209, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 742:78] + node _T_5211 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 742:104] + node _T_5212 = and(_T_5210, _T_5211) @[el2_ifu_mem_ctl.scala 742:87] + node _T_5213 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5214 = eq(_T_5213, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 743:70] + node _T_5215 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 743:97] + node _T_5216 = and(_T_5214, _T_5215) @[el2_ifu_mem_ctl.scala 743:79] + node _T_5217 = or(_T_5212, _T_5216) @[el2_ifu_mem_ctl.scala 742:109] + node _T_5218 = or(_T_5217, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:102] + node _T_5219 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5220 = eq(_T_5219, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 742:78] + node _T_5221 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 742:104] + node _T_5222 = and(_T_5220, _T_5221) @[el2_ifu_mem_ctl.scala 742:87] + node _T_5223 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5224 = eq(_T_5223, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 743:70] + node _T_5225 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 743:97] + node _T_5226 = and(_T_5224, _T_5225) @[el2_ifu_mem_ctl.scala 743:79] + node _T_5227 = or(_T_5222, _T_5226) @[el2_ifu_mem_ctl.scala 742:109] + node _T_5228 = or(_T_5227, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:102] node tag_valid_clken_2 = cat(_T_5228, _T_5218) @[Cat.scala 29:58] - node _T_5229 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5230 = eq(_T_5229, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 741:78] - node _T_5231 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 741:104] - node _T_5232 = and(_T_5230, _T_5231) @[el2_ifu_mem_ctl.scala 741:87] - node _T_5233 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5234 = eq(_T_5233, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 742:70] - node _T_5235 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 742:97] - node _T_5236 = and(_T_5234, _T_5235) @[el2_ifu_mem_ctl.scala 742:79] - node _T_5237 = or(_T_5232, _T_5236) @[el2_ifu_mem_ctl.scala 741:109] - node _T_5238 = or(_T_5237, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:102] - node _T_5239 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5240 = eq(_T_5239, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 741:78] - node _T_5241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 741:104] - node _T_5242 = and(_T_5240, _T_5241) @[el2_ifu_mem_ctl.scala 741:87] - node _T_5243 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5244 = eq(_T_5243, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 742:70] - node _T_5245 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 742:97] - node _T_5246 = and(_T_5244, _T_5245) @[el2_ifu_mem_ctl.scala 742:79] - node _T_5247 = or(_T_5242, _T_5246) @[el2_ifu_mem_ctl.scala 741:109] - node _T_5248 = or(_T_5247, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:102] + node _T_5229 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5230 = eq(_T_5229, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 742:78] + node _T_5231 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 742:104] + node _T_5232 = and(_T_5230, _T_5231) @[el2_ifu_mem_ctl.scala 742:87] + node _T_5233 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5234 = eq(_T_5233, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 743:70] + node _T_5235 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 743:97] + node _T_5236 = and(_T_5234, _T_5235) @[el2_ifu_mem_ctl.scala 743:79] + node _T_5237 = or(_T_5232, _T_5236) @[el2_ifu_mem_ctl.scala 742:109] + node _T_5238 = or(_T_5237, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:102] + node _T_5239 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5240 = eq(_T_5239, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 742:78] + node _T_5241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 742:104] + node _T_5242 = and(_T_5240, _T_5241) @[el2_ifu_mem_ctl.scala 742:87] + node _T_5243 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5244 = eq(_T_5243, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 743:70] + node _T_5245 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 743:97] + node _T_5246 = and(_T_5244, _T_5245) @[el2_ifu_mem_ctl.scala 743:79] + node _T_5247 = or(_T_5242, _T_5246) @[el2_ifu_mem_ctl.scala 742:109] + node _T_5248 = or(_T_5247, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:102] node tag_valid_clken_3 = cat(_T_5248, _T_5238) @[Cat.scala 29:58] - wire ic_tag_valid_out : UInt<1>[128][2] @[el2_ifu_mem_ctl.scala 745:32] + wire ic_tag_valid_out : UInt<1>[128][2] @[el2_ifu_mem_ctl.scala 746:32] node _T_5249 = cat(ic_tag_valid_out[1][127], ic_tag_valid_out[1][126]) @[Cat.scala 29:58] node _T_5250 = cat(_T_5249, ic_tag_valid_out[1][125]) @[Cat.scala 29:58] node _T_5251 = cat(_T_5250, ic_tag_valid_out[1][124]) @[Cat.scala 29:58] @@ -7675,6275 +7675,6275 @@ circuit el2_ifu_mem_ctl : node _T_5501 = cat(_T_5500, ic_tag_valid_out[0][1]) @[Cat.scala 29:58] node _T_5502 = cat(_T_5501, ic_tag_valid_out[0][0]) @[Cat.scala 29:58] node _T_5503 = cat(_T_5375, _T_5502) @[Cat.scala 29:58] - io.valids <= _T_5503 @[el2_ifu_mem_ctl.scala 746:15] - node _T_5504 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5505 = eq(_T_5504, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5506 = and(ic_valid_ff, _T_5505) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5507 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5508 = and(_T_5506, _T_5507) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5509 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5510 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5511 = and(_T_5509, _T_5510) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5512 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5513 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5514 = and(_T_5512, _T_5513) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5515 = or(_T_5511, _T_5514) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5516 = or(_T_5515, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5517 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5518 = and(_T_5516, _T_5517) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5519 = bits(_T_5518, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + io.valids <= _T_5503 @[el2_ifu_mem_ctl.scala 747:15] + node _T_5504 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5505 = eq(_T_5504, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5506 = and(ic_valid_ff, _T_5505) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5507 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5508 = and(_T_5506, _T_5507) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5509 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5510 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5511 = and(_T_5509, _T_5510) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5512 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5513 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5514 = and(_T_5512, _T_5513) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5515 = or(_T_5511, _T_5514) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5516 = or(_T_5515, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5517 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5518 = and(_T_5516, _T_5517) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5519 = bits(_T_5518, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5520 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5519 : @[Reg.scala 28:19] _T_5520 <= _T_5508 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][0] <= _T_5520 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5521 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5522 = eq(_T_5521, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5523 = and(ic_valid_ff, _T_5522) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5524 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5525 = and(_T_5523, _T_5524) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5526 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5527 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5528 = and(_T_5526, _T_5527) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5529 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5530 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5531 = and(_T_5529, _T_5530) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5532 = or(_T_5528, _T_5531) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5533 = or(_T_5532, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5534 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5535 = and(_T_5533, _T_5534) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5536 = bits(_T_5535, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][0] <= _T_5520 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5521 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5522 = eq(_T_5521, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5523 = and(ic_valid_ff, _T_5522) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5524 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5525 = and(_T_5523, _T_5524) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5526 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5527 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5528 = and(_T_5526, _T_5527) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5529 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5530 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5531 = and(_T_5529, _T_5530) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5532 = or(_T_5528, _T_5531) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5533 = or(_T_5532, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5534 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5535 = and(_T_5533, _T_5534) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5536 = bits(_T_5535, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5537 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5536 : @[Reg.scala 28:19] _T_5537 <= _T_5525 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][1] <= _T_5537 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5538 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5539 = eq(_T_5538, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5540 = and(ic_valid_ff, _T_5539) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5541 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5542 = and(_T_5540, _T_5541) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5543 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5544 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5545 = and(_T_5543, _T_5544) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5546 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5547 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5548 = and(_T_5546, _T_5547) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5549 = or(_T_5545, _T_5548) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5550 = or(_T_5549, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5551 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5552 = and(_T_5550, _T_5551) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5553 = bits(_T_5552, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][1] <= _T_5537 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5538 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5539 = eq(_T_5538, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5540 = and(ic_valid_ff, _T_5539) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5541 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5542 = and(_T_5540, _T_5541) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5543 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5544 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5545 = and(_T_5543, _T_5544) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5546 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5547 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5548 = and(_T_5546, _T_5547) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5549 = or(_T_5545, _T_5548) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5550 = or(_T_5549, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5551 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5552 = and(_T_5550, _T_5551) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5553 = bits(_T_5552, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5554 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5553 : @[Reg.scala 28:19] _T_5554 <= _T_5542 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][2] <= _T_5554 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5555 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5556 = eq(_T_5555, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5557 = and(ic_valid_ff, _T_5556) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5559 = and(_T_5557, _T_5558) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5560 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5561 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5562 = and(_T_5560, _T_5561) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5563 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5564 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5565 = and(_T_5563, _T_5564) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5566 = or(_T_5562, _T_5565) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5567 = or(_T_5566, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5568 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5569 = and(_T_5567, _T_5568) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5570 = bits(_T_5569, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][2] <= _T_5554 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5555 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5556 = eq(_T_5555, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5557 = and(ic_valid_ff, _T_5556) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5559 = and(_T_5557, _T_5558) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5560 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5561 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5562 = and(_T_5560, _T_5561) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5563 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5564 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5565 = and(_T_5563, _T_5564) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5566 = or(_T_5562, _T_5565) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5567 = or(_T_5566, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5568 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5569 = and(_T_5567, _T_5568) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5570 = bits(_T_5569, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5571 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5570 : @[Reg.scala 28:19] _T_5571 <= _T_5559 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][3] <= _T_5571 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5572 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5573 = eq(_T_5572, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5574 = and(ic_valid_ff, _T_5573) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5575 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5576 = and(_T_5574, _T_5575) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5577 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5578 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5579 = and(_T_5577, _T_5578) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5580 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5581 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5582 = and(_T_5580, _T_5581) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5583 = or(_T_5579, _T_5582) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5584 = or(_T_5583, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5585 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5586 = and(_T_5584, _T_5585) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5587 = bits(_T_5586, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][3] <= _T_5571 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5572 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5573 = eq(_T_5572, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5574 = and(ic_valid_ff, _T_5573) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5575 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5576 = and(_T_5574, _T_5575) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5577 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5578 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5579 = and(_T_5577, _T_5578) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5580 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5581 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5582 = and(_T_5580, _T_5581) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5583 = or(_T_5579, _T_5582) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5584 = or(_T_5583, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5585 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5586 = and(_T_5584, _T_5585) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5587 = bits(_T_5586, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5588 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5587 : @[Reg.scala 28:19] _T_5588 <= _T_5576 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][4] <= _T_5588 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5589 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5590 = eq(_T_5589, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5591 = and(ic_valid_ff, _T_5590) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5592 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5593 = and(_T_5591, _T_5592) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5594 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5595 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5596 = and(_T_5594, _T_5595) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5597 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5598 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5599 = and(_T_5597, _T_5598) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5600 = or(_T_5596, _T_5599) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5601 = or(_T_5600, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5602 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5603 = and(_T_5601, _T_5602) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5604 = bits(_T_5603, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][4] <= _T_5588 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5589 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5590 = eq(_T_5589, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5591 = and(ic_valid_ff, _T_5590) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5592 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5593 = and(_T_5591, _T_5592) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5594 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5595 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5596 = and(_T_5594, _T_5595) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5597 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5598 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5599 = and(_T_5597, _T_5598) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5600 = or(_T_5596, _T_5599) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5601 = or(_T_5600, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5602 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5603 = and(_T_5601, _T_5602) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5604 = bits(_T_5603, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5605 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5604 : @[Reg.scala 28:19] _T_5605 <= _T_5593 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][5] <= _T_5605 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5606 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5607 = eq(_T_5606, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5608 = and(ic_valid_ff, _T_5607) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5609 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5610 = and(_T_5608, _T_5609) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5611 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5612 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5613 = and(_T_5611, _T_5612) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5614 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5615 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5616 = and(_T_5614, _T_5615) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5617 = or(_T_5613, _T_5616) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5618 = or(_T_5617, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5619 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5620 = and(_T_5618, _T_5619) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5621 = bits(_T_5620, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][5] <= _T_5605 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5606 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5607 = eq(_T_5606, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5608 = and(ic_valid_ff, _T_5607) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5609 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5610 = and(_T_5608, _T_5609) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5611 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5612 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5613 = and(_T_5611, _T_5612) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5614 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5615 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5616 = and(_T_5614, _T_5615) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5617 = or(_T_5613, _T_5616) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5618 = or(_T_5617, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5619 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5620 = and(_T_5618, _T_5619) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5621 = bits(_T_5620, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5622 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5621 : @[Reg.scala 28:19] _T_5622 <= _T_5610 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][6] <= _T_5622 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5623 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5624 = eq(_T_5623, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5625 = and(ic_valid_ff, _T_5624) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5626 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5627 = and(_T_5625, _T_5626) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5628 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5629 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5630 = and(_T_5628, _T_5629) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5631 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5632 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5633 = and(_T_5631, _T_5632) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5634 = or(_T_5630, _T_5633) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5635 = or(_T_5634, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5636 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5637 = and(_T_5635, _T_5636) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5638 = bits(_T_5637, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][6] <= _T_5622 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5623 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5624 = eq(_T_5623, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5625 = and(ic_valid_ff, _T_5624) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5626 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5627 = and(_T_5625, _T_5626) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5628 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5629 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5630 = and(_T_5628, _T_5629) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5631 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5632 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5633 = and(_T_5631, _T_5632) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5634 = or(_T_5630, _T_5633) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5635 = or(_T_5634, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5636 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5637 = and(_T_5635, _T_5636) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5638 = bits(_T_5637, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5639 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5638 : @[Reg.scala 28:19] _T_5639 <= _T_5627 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][7] <= _T_5639 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5640 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5641 = eq(_T_5640, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5642 = and(ic_valid_ff, _T_5641) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5643 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5644 = and(_T_5642, _T_5643) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5645 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5646 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5647 = and(_T_5645, _T_5646) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5648 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5649 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5650 = and(_T_5648, _T_5649) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5651 = or(_T_5647, _T_5650) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5652 = or(_T_5651, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5653 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5654 = and(_T_5652, _T_5653) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5655 = bits(_T_5654, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][7] <= _T_5639 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5640 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5641 = eq(_T_5640, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5642 = and(ic_valid_ff, _T_5641) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5643 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5644 = and(_T_5642, _T_5643) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5645 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5646 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5647 = and(_T_5645, _T_5646) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5648 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5649 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5650 = and(_T_5648, _T_5649) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5651 = or(_T_5647, _T_5650) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5652 = or(_T_5651, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5653 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5654 = and(_T_5652, _T_5653) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5655 = bits(_T_5654, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5656 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5655 : @[Reg.scala 28:19] _T_5656 <= _T_5644 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][8] <= _T_5656 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5657 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5658 = eq(_T_5657, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5659 = and(ic_valid_ff, _T_5658) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5660 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5661 = and(_T_5659, _T_5660) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5662 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5663 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5664 = and(_T_5662, _T_5663) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5665 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5666 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5667 = and(_T_5665, _T_5666) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5668 = or(_T_5664, _T_5667) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5669 = or(_T_5668, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5670 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5671 = and(_T_5669, _T_5670) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5672 = bits(_T_5671, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][8] <= _T_5656 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5657 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5658 = eq(_T_5657, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5659 = and(ic_valid_ff, _T_5658) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5660 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5661 = and(_T_5659, _T_5660) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5662 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5663 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5664 = and(_T_5662, _T_5663) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5665 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5666 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5667 = and(_T_5665, _T_5666) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5668 = or(_T_5664, _T_5667) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5669 = or(_T_5668, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5670 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5671 = and(_T_5669, _T_5670) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5672 = bits(_T_5671, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5673 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5672 : @[Reg.scala 28:19] _T_5673 <= _T_5661 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][9] <= _T_5673 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5674 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5675 = eq(_T_5674, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5676 = and(ic_valid_ff, _T_5675) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5677 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5678 = and(_T_5676, _T_5677) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5679 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5680 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5681 = and(_T_5679, _T_5680) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5682 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5683 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5684 = and(_T_5682, _T_5683) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5685 = or(_T_5681, _T_5684) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5686 = or(_T_5685, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5687 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5688 = and(_T_5686, _T_5687) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5689 = bits(_T_5688, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][9] <= _T_5673 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5674 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5675 = eq(_T_5674, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5676 = and(ic_valid_ff, _T_5675) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5677 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5678 = and(_T_5676, _T_5677) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5679 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5680 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5681 = and(_T_5679, _T_5680) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5682 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5683 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5684 = and(_T_5682, _T_5683) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5685 = or(_T_5681, _T_5684) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5686 = or(_T_5685, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5687 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5688 = and(_T_5686, _T_5687) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5689 = bits(_T_5688, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5690 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5689 : @[Reg.scala 28:19] _T_5690 <= _T_5678 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][10] <= _T_5690 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5691 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5692 = eq(_T_5691, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5693 = and(ic_valid_ff, _T_5692) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5694 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5695 = and(_T_5693, _T_5694) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5696 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5697 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5698 = and(_T_5696, _T_5697) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5699 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5700 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5701 = and(_T_5699, _T_5700) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5702 = or(_T_5698, _T_5701) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5703 = or(_T_5702, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5704 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5705 = and(_T_5703, _T_5704) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5706 = bits(_T_5705, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][10] <= _T_5690 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5691 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5692 = eq(_T_5691, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5693 = and(ic_valid_ff, _T_5692) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5694 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5695 = and(_T_5693, _T_5694) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5696 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5697 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5698 = and(_T_5696, _T_5697) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5699 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5700 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5701 = and(_T_5699, _T_5700) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5702 = or(_T_5698, _T_5701) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5703 = or(_T_5702, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5704 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5705 = and(_T_5703, _T_5704) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5706 = bits(_T_5705, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5707 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5706 : @[Reg.scala 28:19] _T_5707 <= _T_5695 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][11] <= _T_5707 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5708 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5709 = eq(_T_5708, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5710 = and(ic_valid_ff, _T_5709) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5711 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5712 = and(_T_5710, _T_5711) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5713 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5714 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5715 = and(_T_5713, _T_5714) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5716 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5717 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5718 = and(_T_5716, _T_5717) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5719 = or(_T_5715, _T_5718) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5720 = or(_T_5719, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5721 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5722 = and(_T_5720, _T_5721) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5723 = bits(_T_5722, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][11] <= _T_5707 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5708 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5709 = eq(_T_5708, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5710 = and(ic_valid_ff, _T_5709) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5711 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5712 = and(_T_5710, _T_5711) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5713 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5714 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5715 = and(_T_5713, _T_5714) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5716 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5717 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5718 = and(_T_5716, _T_5717) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5719 = or(_T_5715, _T_5718) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5720 = or(_T_5719, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5721 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5722 = and(_T_5720, _T_5721) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5723 = bits(_T_5722, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5724 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5723 : @[Reg.scala 28:19] _T_5724 <= _T_5712 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][12] <= _T_5724 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5725 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5726 = eq(_T_5725, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5727 = and(ic_valid_ff, _T_5726) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5728 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5729 = and(_T_5727, _T_5728) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5730 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5731 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5732 = and(_T_5730, _T_5731) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5733 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5734 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5735 = and(_T_5733, _T_5734) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5736 = or(_T_5732, _T_5735) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5737 = or(_T_5736, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5738 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5739 = and(_T_5737, _T_5738) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5740 = bits(_T_5739, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][12] <= _T_5724 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5725 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5726 = eq(_T_5725, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5727 = and(ic_valid_ff, _T_5726) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5728 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5729 = and(_T_5727, _T_5728) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5730 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5731 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5732 = and(_T_5730, _T_5731) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5733 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5734 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5735 = and(_T_5733, _T_5734) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5736 = or(_T_5732, _T_5735) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5737 = or(_T_5736, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5738 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5739 = and(_T_5737, _T_5738) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5740 = bits(_T_5739, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5741 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5740 : @[Reg.scala 28:19] _T_5741 <= _T_5729 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][13] <= _T_5741 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5742 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5743 = eq(_T_5742, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5744 = and(ic_valid_ff, _T_5743) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5745 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5746 = and(_T_5744, _T_5745) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5747 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5748 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5749 = and(_T_5747, _T_5748) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5750 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5751 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5752 = and(_T_5750, _T_5751) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5753 = or(_T_5749, _T_5752) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5754 = or(_T_5753, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5755 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5756 = and(_T_5754, _T_5755) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5757 = bits(_T_5756, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][13] <= _T_5741 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5742 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5743 = eq(_T_5742, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5744 = and(ic_valid_ff, _T_5743) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5745 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5746 = and(_T_5744, _T_5745) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5747 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5748 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5749 = and(_T_5747, _T_5748) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5750 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5751 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5752 = and(_T_5750, _T_5751) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5753 = or(_T_5749, _T_5752) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5754 = or(_T_5753, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5755 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5756 = and(_T_5754, _T_5755) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5757 = bits(_T_5756, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5758 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5757 : @[Reg.scala 28:19] _T_5758 <= _T_5746 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][14] <= _T_5758 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5759 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5760 = eq(_T_5759, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5761 = and(ic_valid_ff, _T_5760) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5762 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5763 = and(_T_5761, _T_5762) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5764 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5765 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5766 = and(_T_5764, _T_5765) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5767 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5768 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5769 = and(_T_5767, _T_5768) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5770 = or(_T_5766, _T_5769) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5771 = or(_T_5770, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5772 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5773 = and(_T_5771, _T_5772) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5774 = bits(_T_5773, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][14] <= _T_5758 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5759 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5760 = eq(_T_5759, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5761 = and(ic_valid_ff, _T_5760) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5762 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5763 = and(_T_5761, _T_5762) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5764 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5765 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5766 = and(_T_5764, _T_5765) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5767 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5768 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5769 = and(_T_5767, _T_5768) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5770 = or(_T_5766, _T_5769) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5771 = or(_T_5770, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5772 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5773 = and(_T_5771, _T_5772) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5774 = bits(_T_5773, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5775 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5774 : @[Reg.scala 28:19] _T_5775 <= _T_5763 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][15] <= _T_5775 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5776 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5777 = eq(_T_5776, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5778 = and(ic_valid_ff, _T_5777) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5779 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5780 = and(_T_5778, _T_5779) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5781 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5782 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5783 = and(_T_5781, _T_5782) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5784 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5785 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5786 = and(_T_5784, _T_5785) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5787 = or(_T_5783, _T_5786) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5788 = or(_T_5787, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5789 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5790 = and(_T_5788, _T_5789) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5791 = bits(_T_5790, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][15] <= _T_5775 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5776 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5777 = eq(_T_5776, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5778 = and(ic_valid_ff, _T_5777) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5779 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5780 = and(_T_5778, _T_5779) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5781 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5782 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5783 = and(_T_5781, _T_5782) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5784 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5785 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5786 = and(_T_5784, _T_5785) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5787 = or(_T_5783, _T_5786) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5788 = or(_T_5787, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5789 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5790 = and(_T_5788, _T_5789) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5791 = bits(_T_5790, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5792 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5791 : @[Reg.scala 28:19] _T_5792 <= _T_5780 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][16] <= _T_5792 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5793 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5794 = eq(_T_5793, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5795 = and(ic_valid_ff, _T_5794) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5796 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5797 = and(_T_5795, _T_5796) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5798 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5799 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5800 = and(_T_5798, _T_5799) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5801 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5802 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5803 = and(_T_5801, _T_5802) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5804 = or(_T_5800, _T_5803) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5805 = or(_T_5804, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5806 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5807 = and(_T_5805, _T_5806) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5808 = bits(_T_5807, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][16] <= _T_5792 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5793 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5794 = eq(_T_5793, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5795 = and(ic_valid_ff, _T_5794) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5796 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5797 = and(_T_5795, _T_5796) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5798 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5799 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5800 = and(_T_5798, _T_5799) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5801 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5802 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5803 = and(_T_5801, _T_5802) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5804 = or(_T_5800, _T_5803) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5805 = or(_T_5804, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5806 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5807 = and(_T_5805, _T_5806) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5808 = bits(_T_5807, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5809 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5808 : @[Reg.scala 28:19] _T_5809 <= _T_5797 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][17] <= _T_5809 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5810 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5811 = eq(_T_5810, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5812 = and(ic_valid_ff, _T_5811) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5813 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5814 = and(_T_5812, _T_5813) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5815 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5816 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5817 = and(_T_5815, _T_5816) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5818 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5819 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5820 = and(_T_5818, _T_5819) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5821 = or(_T_5817, _T_5820) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5822 = or(_T_5821, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5823 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5824 = and(_T_5822, _T_5823) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5825 = bits(_T_5824, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][17] <= _T_5809 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5810 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5811 = eq(_T_5810, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5812 = and(ic_valid_ff, _T_5811) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5813 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5814 = and(_T_5812, _T_5813) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5815 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5816 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5817 = and(_T_5815, _T_5816) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5818 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5819 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5820 = and(_T_5818, _T_5819) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5821 = or(_T_5817, _T_5820) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5822 = or(_T_5821, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5823 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5824 = and(_T_5822, _T_5823) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5825 = bits(_T_5824, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5826 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5825 : @[Reg.scala 28:19] _T_5826 <= _T_5814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][18] <= _T_5826 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5827 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5828 = eq(_T_5827, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5829 = and(ic_valid_ff, _T_5828) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5830 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5831 = and(_T_5829, _T_5830) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5832 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5833 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5834 = and(_T_5832, _T_5833) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5835 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5836 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5837 = and(_T_5835, _T_5836) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5838 = or(_T_5834, _T_5837) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5839 = or(_T_5838, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5840 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5841 = and(_T_5839, _T_5840) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5842 = bits(_T_5841, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][18] <= _T_5826 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5827 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5828 = eq(_T_5827, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5829 = and(ic_valid_ff, _T_5828) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5830 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5831 = and(_T_5829, _T_5830) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5832 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5833 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5834 = and(_T_5832, _T_5833) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5835 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5836 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5837 = and(_T_5835, _T_5836) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5838 = or(_T_5834, _T_5837) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5839 = or(_T_5838, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5840 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5841 = and(_T_5839, _T_5840) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5842 = bits(_T_5841, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5843 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5842 : @[Reg.scala 28:19] _T_5843 <= _T_5831 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][19] <= _T_5843 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5844 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5845 = eq(_T_5844, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5846 = and(ic_valid_ff, _T_5845) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5847 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5848 = and(_T_5846, _T_5847) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5849 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5850 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5851 = and(_T_5849, _T_5850) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5852 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5853 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5854 = and(_T_5852, _T_5853) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5855 = or(_T_5851, _T_5854) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5856 = or(_T_5855, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5857 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5858 = and(_T_5856, _T_5857) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5859 = bits(_T_5858, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][19] <= _T_5843 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5844 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5845 = eq(_T_5844, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5846 = and(ic_valid_ff, _T_5845) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5847 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5848 = and(_T_5846, _T_5847) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5849 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5850 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5851 = and(_T_5849, _T_5850) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5852 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5853 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5854 = and(_T_5852, _T_5853) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5855 = or(_T_5851, _T_5854) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5856 = or(_T_5855, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5857 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5858 = and(_T_5856, _T_5857) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5859 = bits(_T_5858, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5860 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5859 : @[Reg.scala 28:19] _T_5860 <= _T_5848 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][20] <= _T_5860 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5861 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5862 = eq(_T_5861, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5863 = and(ic_valid_ff, _T_5862) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5864 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5865 = and(_T_5863, _T_5864) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5866 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5867 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5868 = and(_T_5866, _T_5867) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5869 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5870 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5871 = and(_T_5869, _T_5870) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5872 = or(_T_5868, _T_5871) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5873 = or(_T_5872, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5874 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5875 = and(_T_5873, _T_5874) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5876 = bits(_T_5875, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][20] <= _T_5860 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5861 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5862 = eq(_T_5861, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5863 = and(ic_valid_ff, _T_5862) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5864 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5865 = and(_T_5863, _T_5864) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5866 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5867 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5868 = and(_T_5866, _T_5867) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5869 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5870 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5871 = and(_T_5869, _T_5870) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5872 = or(_T_5868, _T_5871) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5873 = or(_T_5872, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5874 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5875 = and(_T_5873, _T_5874) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5876 = bits(_T_5875, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5877 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5876 : @[Reg.scala 28:19] _T_5877 <= _T_5865 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][21] <= _T_5877 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5878 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5879 = eq(_T_5878, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5880 = and(ic_valid_ff, _T_5879) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5881 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5882 = and(_T_5880, _T_5881) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5883 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5884 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5885 = and(_T_5883, _T_5884) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5886 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5887 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5888 = and(_T_5886, _T_5887) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5889 = or(_T_5885, _T_5888) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5890 = or(_T_5889, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5891 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5892 = and(_T_5890, _T_5891) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5893 = bits(_T_5892, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][21] <= _T_5877 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5878 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5879 = eq(_T_5878, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5880 = and(ic_valid_ff, _T_5879) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5881 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5882 = and(_T_5880, _T_5881) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5883 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5884 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5885 = and(_T_5883, _T_5884) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5886 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5887 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5888 = and(_T_5886, _T_5887) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5889 = or(_T_5885, _T_5888) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5890 = or(_T_5889, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5891 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5892 = and(_T_5890, _T_5891) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5893 = bits(_T_5892, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5894 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5893 : @[Reg.scala 28:19] _T_5894 <= _T_5882 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][22] <= _T_5894 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5895 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5896 = eq(_T_5895, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5897 = and(ic_valid_ff, _T_5896) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5898 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5899 = and(_T_5897, _T_5898) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5900 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5901 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5902 = and(_T_5900, _T_5901) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5903 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5904 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5905 = and(_T_5903, _T_5904) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5906 = or(_T_5902, _T_5905) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5907 = or(_T_5906, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5908 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5909 = and(_T_5907, _T_5908) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5910 = bits(_T_5909, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][22] <= _T_5894 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5895 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5896 = eq(_T_5895, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5897 = and(ic_valid_ff, _T_5896) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5898 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5899 = and(_T_5897, _T_5898) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5900 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5901 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5902 = and(_T_5900, _T_5901) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5903 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5904 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5905 = and(_T_5903, _T_5904) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5906 = or(_T_5902, _T_5905) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5907 = or(_T_5906, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5908 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5909 = and(_T_5907, _T_5908) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5910 = bits(_T_5909, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5911 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5910 : @[Reg.scala 28:19] _T_5911 <= _T_5899 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][23] <= _T_5911 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5912 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5913 = eq(_T_5912, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5914 = and(ic_valid_ff, _T_5913) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5915 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5916 = and(_T_5914, _T_5915) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5917 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5918 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5919 = and(_T_5917, _T_5918) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5920 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5921 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5922 = and(_T_5920, _T_5921) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5923 = or(_T_5919, _T_5922) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5924 = or(_T_5923, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5925 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5926 = and(_T_5924, _T_5925) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5927 = bits(_T_5926, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][23] <= _T_5911 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5912 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5913 = eq(_T_5912, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5914 = and(ic_valid_ff, _T_5913) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5915 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5916 = and(_T_5914, _T_5915) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5917 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5918 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5919 = and(_T_5917, _T_5918) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5920 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5921 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5922 = and(_T_5920, _T_5921) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5923 = or(_T_5919, _T_5922) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5924 = or(_T_5923, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5925 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5926 = and(_T_5924, _T_5925) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5927 = bits(_T_5926, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5928 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5927 : @[Reg.scala 28:19] _T_5928 <= _T_5916 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][24] <= _T_5928 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5929 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5930 = eq(_T_5929, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5931 = and(ic_valid_ff, _T_5930) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5932 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5933 = and(_T_5931, _T_5932) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5934 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5935 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5936 = and(_T_5934, _T_5935) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5937 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5938 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5939 = and(_T_5937, _T_5938) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5940 = or(_T_5936, _T_5939) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5941 = or(_T_5940, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5942 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5943 = and(_T_5941, _T_5942) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5944 = bits(_T_5943, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][24] <= _T_5928 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5929 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5930 = eq(_T_5929, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5931 = and(ic_valid_ff, _T_5930) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5932 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5933 = and(_T_5931, _T_5932) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5934 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5935 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5936 = and(_T_5934, _T_5935) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5937 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5938 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5939 = and(_T_5937, _T_5938) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5940 = or(_T_5936, _T_5939) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5941 = or(_T_5940, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5942 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5943 = and(_T_5941, _T_5942) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5944 = bits(_T_5943, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5945 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5944 : @[Reg.scala 28:19] _T_5945 <= _T_5933 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][25] <= _T_5945 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5946 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5947 = eq(_T_5946, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5948 = and(ic_valid_ff, _T_5947) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5949 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5950 = and(_T_5948, _T_5949) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5951 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5952 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5953 = and(_T_5951, _T_5952) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5954 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5955 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5956 = and(_T_5954, _T_5955) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5957 = or(_T_5953, _T_5956) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5958 = or(_T_5957, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5959 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5960 = and(_T_5958, _T_5959) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5961 = bits(_T_5960, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][25] <= _T_5945 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5946 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5947 = eq(_T_5946, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5948 = and(ic_valid_ff, _T_5947) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5949 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5950 = and(_T_5948, _T_5949) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5951 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5952 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5953 = and(_T_5951, _T_5952) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5954 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5955 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5956 = and(_T_5954, _T_5955) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5957 = or(_T_5953, _T_5956) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5958 = or(_T_5957, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5959 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5960 = and(_T_5958, _T_5959) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5961 = bits(_T_5960, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5962 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5961 : @[Reg.scala 28:19] _T_5962 <= _T_5950 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][26] <= _T_5962 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5963 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5964 = eq(_T_5963, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5965 = and(ic_valid_ff, _T_5964) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5966 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5967 = and(_T_5965, _T_5966) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5968 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5969 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5970 = and(_T_5968, _T_5969) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5971 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5972 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5973 = and(_T_5971, _T_5972) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5974 = or(_T_5970, _T_5973) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5975 = or(_T_5974, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5976 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5977 = and(_T_5975, _T_5976) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5978 = bits(_T_5977, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][26] <= _T_5962 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5963 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5964 = eq(_T_5963, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5965 = and(ic_valid_ff, _T_5964) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5966 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5967 = and(_T_5965, _T_5966) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5968 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5969 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5970 = and(_T_5968, _T_5969) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5971 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5972 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5973 = and(_T_5971, _T_5972) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5974 = or(_T_5970, _T_5973) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5975 = or(_T_5974, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5976 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5977 = and(_T_5975, _T_5976) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5978 = bits(_T_5977, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5979 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5978 : @[Reg.scala 28:19] _T_5979 <= _T_5967 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][27] <= _T_5979 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5980 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5981 = eq(_T_5980, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5982 = and(ic_valid_ff, _T_5981) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5983 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_5984 = and(_T_5982, _T_5983) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5985 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_5986 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_5987 = and(_T_5985, _T_5986) @[el2_ifu_mem_ctl.scala 751:59] - node _T_5988 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_5989 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_5990 = and(_T_5988, _T_5989) @[el2_ifu_mem_ctl.scala 751:124] - node _T_5991 = or(_T_5987, _T_5990) @[el2_ifu_mem_ctl.scala 751:81] - node _T_5992 = or(_T_5991, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_5993 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_5994 = and(_T_5992, _T_5993) @[el2_ifu_mem_ctl.scala 751:165] - node _T_5995 = bits(_T_5994, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][27] <= _T_5979 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5980 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5981 = eq(_T_5980, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5982 = and(ic_valid_ff, _T_5981) @[el2_ifu_mem_ctl.scala 751:66] + node _T_5983 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_5984 = and(_T_5982, _T_5983) @[el2_ifu_mem_ctl.scala 751:91] + node _T_5985 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_5986 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_5987 = and(_T_5985, _T_5986) @[el2_ifu_mem_ctl.scala 752:59] + node _T_5988 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5989 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_5990 = and(_T_5988, _T_5989) @[el2_ifu_mem_ctl.scala 752:124] + node _T_5991 = or(_T_5987, _T_5990) @[el2_ifu_mem_ctl.scala 752:81] + node _T_5992 = or(_T_5991, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_5993 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_5994 = and(_T_5992, _T_5993) @[el2_ifu_mem_ctl.scala 752:165] + node _T_5995 = bits(_T_5994, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_5996 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5995 : @[Reg.scala 28:19] _T_5996 <= _T_5984 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][28] <= _T_5996 @[el2_ifu_mem_ctl.scala 750:41] - node _T_5997 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_5998 = eq(_T_5997, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_5999 = and(ic_valid_ff, _T_5998) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6000 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6001 = and(_T_5999, _T_6000) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6002 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6003 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6004 = and(_T_6002, _T_6003) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6005 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6006 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6007 = and(_T_6005, _T_6006) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6008 = or(_T_6004, _T_6007) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6009 = or(_T_6008, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6010 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6011 = and(_T_6009, _T_6010) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6012 = bits(_T_6011, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][28] <= _T_5996 @[el2_ifu_mem_ctl.scala 751:41] + node _T_5997 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_5998 = eq(_T_5997, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_5999 = and(ic_valid_ff, _T_5998) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6000 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6001 = and(_T_5999, _T_6000) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6002 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6003 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6004 = and(_T_6002, _T_6003) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6005 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6006 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6007 = and(_T_6005, _T_6006) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6008 = or(_T_6004, _T_6007) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6009 = or(_T_6008, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6010 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6011 = and(_T_6009, _T_6010) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6012 = bits(_T_6011, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6013 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6012 : @[Reg.scala 28:19] _T_6013 <= _T_6001 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][29] <= _T_6013 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6014 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6015 = eq(_T_6014, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6016 = and(ic_valid_ff, _T_6015) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6017 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6018 = and(_T_6016, _T_6017) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6019 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6020 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6021 = and(_T_6019, _T_6020) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6022 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6023 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6024 = and(_T_6022, _T_6023) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6025 = or(_T_6021, _T_6024) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6026 = or(_T_6025, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6027 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6028 = and(_T_6026, _T_6027) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6029 = bits(_T_6028, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][29] <= _T_6013 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6014 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6015 = eq(_T_6014, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6016 = and(ic_valid_ff, _T_6015) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6017 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6018 = and(_T_6016, _T_6017) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6019 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6020 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6021 = and(_T_6019, _T_6020) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6022 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6023 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6024 = and(_T_6022, _T_6023) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6025 = or(_T_6021, _T_6024) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6026 = or(_T_6025, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6027 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6028 = and(_T_6026, _T_6027) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6029 = bits(_T_6028, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6030 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6029 : @[Reg.scala 28:19] _T_6030 <= _T_6018 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][30] <= _T_6030 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6031 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6032 = eq(_T_6031, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6033 = and(ic_valid_ff, _T_6032) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6034 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6035 = and(_T_6033, _T_6034) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6036 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6037 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6038 = and(_T_6036, _T_6037) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6039 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6040 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6041 = and(_T_6039, _T_6040) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6042 = or(_T_6038, _T_6041) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6043 = or(_T_6042, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6044 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6045 = and(_T_6043, _T_6044) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6046 = bits(_T_6045, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][30] <= _T_6030 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6031 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6032 = eq(_T_6031, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6033 = and(ic_valid_ff, _T_6032) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6034 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6035 = and(_T_6033, _T_6034) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6036 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6037 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6038 = and(_T_6036, _T_6037) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6039 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6040 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6041 = and(_T_6039, _T_6040) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6042 = or(_T_6038, _T_6041) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6043 = or(_T_6042, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6044 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6045 = and(_T_6043, _T_6044) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6046 = bits(_T_6045, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6047 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6046 : @[Reg.scala 28:19] _T_6047 <= _T_6035 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][31] <= _T_6047 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6048 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6049 = eq(_T_6048, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6050 = and(ic_valid_ff, _T_6049) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6051 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6052 = and(_T_6050, _T_6051) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6053 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6054 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6055 = and(_T_6053, _T_6054) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6056 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6057 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6058 = and(_T_6056, _T_6057) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6059 = or(_T_6055, _T_6058) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6060 = or(_T_6059, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6061 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6062 = and(_T_6060, _T_6061) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6063 = bits(_T_6062, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][31] <= _T_6047 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6048 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6049 = eq(_T_6048, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6050 = and(ic_valid_ff, _T_6049) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6051 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6052 = and(_T_6050, _T_6051) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6053 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6054 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6055 = and(_T_6053, _T_6054) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6056 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6057 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6058 = and(_T_6056, _T_6057) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6059 = or(_T_6055, _T_6058) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6060 = or(_T_6059, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6061 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6062 = and(_T_6060, _T_6061) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6063 = bits(_T_6062, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6064 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6063 : @[Reg.scala 28:19] _T_6064 <= _T_6052 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][0] <= _T_6064 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6065 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6066 = eq(_T_6065, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6067 = and(ic_valid_ff, _T_6066) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6068 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6069 = and(_T_6067, _T_6068) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6070 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6071 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6072 = and(_T_6070, _T_6071) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6073 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6074 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6075 = and(_T_6073, _T_6074) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6076 = or(_T_6072, _T_6075) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6077 = or(_T_6076, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6078 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6079 = and(_T_6077, _T_6078) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6080 = bits(_T_6079, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][0] <= _T_6064 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6065 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6066 = eq(_T_6065, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6067 = and(ic_valid_ff, _T_6066) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6068 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6069 = and(_T_6067, _T_6068) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6070 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6071 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6072 = and(_T_6070, _T_6071) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6073 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6074 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6075 = and(_T_6073, _T_6074) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6076 = or(_T_6072, _T_6075) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6077 = or(_T_6076, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6078 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6079 = and(_T_6077, _T_6078) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6080 = bits(_T_6079, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6081 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6080 : @[Reg.scala 28:19] _T_6081 <= _T_6069 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][1] <= _T_6081 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6082 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6083 = eq(_T_6082, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6084 = and(ic_valid_ff, _T_6083) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6085 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6086 = and(_T_6084, _T_6085) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6087 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6088 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6089 = and(_T_6087, _T_6088) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6090 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6091 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6092 = and(_T_6090, _T_6091) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6093 = or(_T_6089, _T_6092) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6094 = or(_T_6093, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6095 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6096 = and(_T_6094, _T_6095) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6097 = bits(_T_6096, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][1] <= _T_6081 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6082 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6083 = eq(_T_6082, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6084 = and(ic_valid_ff, _T_6083) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6085 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6086 = and(_T_6084, _T_6085) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6087 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6088 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6089 = and(_T_6087, _T_6088) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6090 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6091 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6092 = and(_T_6090, _T_6091) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6093 = or(_T_6089, _T_6092) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6094 = or(_T_6093, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6095 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6096 = and(_T_6094, _T_6095) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6097 = bits(_T_6096, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6098 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6097 : @[Reg.scala 28:19] _T_6098 <= _T_6086 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][2] <= _T_6098 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6099 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6100 = eq(_T_6099, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6101 = and(ic_valid_ff, _T_6100) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6102 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6103 = and(_T_6101, _T_6102) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6104 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6105 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6106 = and(_T_6104, _T_6105) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6107 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6108 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6109 = and(_T_6107, _T_6108) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6110 = or(_T_6106, _T_6109) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6111 = or(_T_6110, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6112 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6113 = and(_T_6111, _T_6112) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6114 = bits(_T_6113, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][2] <= _T_6098 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6099 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6100 = eq(_T_6099, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6101 = and(ic_valid_ff, _T_6100) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6102 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6103 = and(_T_6101, _T_6102) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6104 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6105 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6106 = and(_T_6104, _T_6105) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6107 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6108 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6109 = and(_T_6107, _T_6108) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6110 = or(_T_6106, _T_6109) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6111 = or(_T_6110, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6112 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6113 = and(_T_6111, _T_6112) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6114 = bits(_T_6113, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6115 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6114 : @[Reg.scala 28:19] _T_6115 <= _T_6103 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][3] <= _T_6115 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6116 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6117 = eq(_T_6116, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6118 = and(ic_valid_ff, _T_6117) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6119 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6120 = and(_T_6118, _T_6119) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6121 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6122 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6123 = and(_T_6121, _T_6122) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6124 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6125 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6126 = and(_T_6124, _T_6125) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6127 = or(_T_6123, _T_6126) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6128 = or(_T_6127, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6129 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6130 = and(_T_6128, _T_6129) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6131 = bits(_T_6130, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][3] <= _T_6115 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6116 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6117 = eq(_T_6116, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6118 = and(ic_valid_ff, _T_6117) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6119 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6120 = and(_T_6118, _T_6119) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6121 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6122 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6123 = and(_T_6121, _T_6122) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6124 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6125 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6126 = and(_T_6124, _T_6125) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6127 = or(_T_6123, _T_6126) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6128 = or(_T_6127, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6129 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6130 = and(_T_6128, _T_6129) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6131 = bits(_T_6130, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6132 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6131 : @[Reg.scala 28:19] _T_6132 <= _T_6120 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][4] <= _T_6132 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6133 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6134 = eq(_T_6133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6135 = and(ic_valid_ff, _T_6134) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6136 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6137 = and(_T_6135, _T_6136) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6138 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6139 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6140 = and(_T_6138, _T_6139) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6141 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6142 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6143 = and(_T_6141, _T_6142) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6144 = or(_T_6140, _T_6143) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6145 = or(_T_6144, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6146 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6147 = and(_T_6145, _T_6146) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6148 = bits(_T_6147, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][4] <= _T_6132 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6133 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6134 = eq(_T_6133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6135 = and(ic_valid_ff, _T_6134) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6136 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6137 = and(_T_6135, _T_6136) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6138 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6139 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6140 = and(_T_6138, _T_6139) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6141 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6142 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6143 = and(_T_6141, _T_6142) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6144 = or(_T_6140, _T_6143) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6145 = or(_T_6144, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6146 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6147 = and(_T_6145, _T_6146) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6148 = bits(_T_6147, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6149 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6148 : @[Reg.scala 28:19] _T_6149 <= _T_6137 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][5] <= _T_6149 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6150 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6151 = eq(_T_6150, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6152 = and(ic_valid_ff, _T_6151) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6153 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6154 = and(_T_6152, _T_6153) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6155 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6156 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6157 = and(_T_6155, _T_6156) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6158 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6159 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6160 = and(_T_6158, _T_6159) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6161 = or(_T_6157, _T_6160) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6162 = or(_T_6161, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6163 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6164 = and(_T_6162, _T_6163) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6165 = bits(_T_6164, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][5] <= _T_6149 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6150 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6151 = eq(_T_6150, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6152 = and(ic_valid_ff, _T_6151) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6153 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6154 = and(_T_6152, _T_6153) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6155 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6156 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6157 = and(_T_6155, _T_6156) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6158 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6159 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6160 = and(_T_6158, _T_6159) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6161 = or(_T_6157, _T_6160) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6162 = or(_T_6161, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6163 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6164 = and(_T_6162, _T_6163) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6165 = bits(_T_6164, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6166 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6165 : @[Reg.scala 28:19] _T_6166 <= _T_6154 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][6] <= _T_6166 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6167 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6168 = eq(_T_6167, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6169 = and(ic_valid_ff, _T_6168) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6170 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6171 = and(_T_6169, _T_6170) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6172 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6173 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6174 = and(_T_6172, _T_6173) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6175 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6176 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6177 = and(_T_6175, _T_6176) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6178 = or(_T_6174, _T_6177) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6179 = or(_T_6178, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6180 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6181 = and(_T_6179, _T_6180) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6182 = bits(_T_6181, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][6] <= _T_6166 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6167 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6168 = eq(_T_6167, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6169 = and(ic_valid_ff, _T_6168) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6170 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6171 = and(_T_6169, _T_6170) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6172 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6173 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6174 = and(_T_6172, _T_6173) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6175 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6176 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6177 = and(_T_6175, _T_6176) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6178 = or(_T_6174, _T_6177) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6179 = or(_T_6178, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6180 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6181 = and(_T_6179, _T_6180) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6182 = bits(_T_6181, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6183 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6182 : @[Reg.scala 28:19] _T_6183 <= _T_6171 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][7] <= _T_6183 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6184 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6185 = eq(_T_6184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6186 = and(ic_valid_ff, _T_6185) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6187 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6188 = and(_T_6186, _T_6187) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6189 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6190 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6191 = and(_T_6189, _T_6190) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6192 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6193 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6194 = and(_T_6192, _T_6193) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6195 = or(_T_6191, _T_6194) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6196 = or(_T_6195, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6197 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6198 = and(_T_6196, _T_6197) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6199 = bits(_T_6198, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][7] <= _T_6183 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6184 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6185 = eq(_T_6184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6186 = and(ic_valid_ff, _T_6185) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6187 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6188 = and(_T_6186, _T_6187) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6189 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6190 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6191 = and(_T_6189, _T_6190) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6192 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6193 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6194 = and(_T_6192, _T_6193) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6195 = or(_T_6191, _T_6194) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6196 = or(_T_6195, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6197 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6198 = and(_T_6196, _T_6197) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6199 = bits(_T_6198, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6199 : @[Reg.scala 28:19] _T_6200 <= _T_6188 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][8] <= _T_6200 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6201 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6202 = eq(_T_6201, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6203 = and(ic_valid_ff, _T_6202) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6204 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6205 = and(_T_6203, _T_6204) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6206 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6207 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6208 = and(_T_6206, _T_6207) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6209 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6210 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6211 = and(_T_6209, _T_6210) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6212 = or(_T_6208, _T_6211) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6213 = or(_T_6212, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6214 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6215 = and(_T_6213, _T_6214) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6216 = bits(_T_6215, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][8] <= _T_6200 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6201 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6202 = eq(_T_6201, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6203 = and(ic_valid_ff, _T_6202) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6204 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6205 = and(_T_6203, _T_6204) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6206 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6207 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6208 = and(_T_6206, _T_6207) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6209 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6210 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6211 = and(_T_6209, _T_6210) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6212 = or(_T_6208, _T_6211) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6213 = or(_T_6212, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6214 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6215 = and(_T_6213, _T_6214) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6216 = bits(_T_6215, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6217 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6216 : @[Reg.scala 28:19] _T_6217 <= _T_6205 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][9] <= _T_6217 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6218 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6219 = eq(_T_6218, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6220 = and(ic_valid_ff, _T_6219) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6221 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6222 = and(_T_6220, _T_6221) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6223 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6224 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6225 = and(_T_6223, _T_6224) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6226 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6227 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6228 = and(_T_6226, _T_6227) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6229 = or(_T_6225, _T_6228) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6230 = or(_T_6229, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6231 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6232 = and(_T_6230, _T_6231) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6233 = bits(_T_6232, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][9] <= _T_6217 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6218 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6219 = eq(_T_6218, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6220 = and(ic_valid_ff, _T_6219) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6221 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6222 = and(_T_6220, _T_6221) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6223 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6224 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6225 = and(_T_6223, _T_6224) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6226 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6227 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6228 = and(_T_6226, _T_6227) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6229 = or(_T_6225, _T_6228) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6230 = or(_T_6229, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6231 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6232 = and(_T_6230, _T_6231) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6233 = bits(_T_6232, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6234 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6233 : @[Reg.scala 28:19] _T_6234 <= _T_6222 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][10] <= _T_6234 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6235 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6236 = eq(_T_6235, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6237 = and(ic_valid_ff, _T_6236) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6238 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6239 = and(_T_6237, _T_6238) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6240 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6242 = and(_T_6240, _T_6241) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6243 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6244 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6245 = and(_T_6243, _T_6244) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6246 = or(_T_6242, _T_6245) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6247 = or(_T_6246, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6248 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6249 = and(_T_6247, _T_6248) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6250 = bits(_T_6249, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][10] <= _T_6234 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6235 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6236 = eq(_T_6235, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6237 = and(ic_valid_ff, _T_6236) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6238 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6239 = and(_T_6237, _T_6238) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6240 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6242 = and(_T_6240, _T_6241) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6243 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6244 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6245 = and(_T_6243, _T_6244) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6246 = or(_T_6242, _T_6245) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6247 = or(_T_6246, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6248 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6249 = and(_T_6247, _T_6248) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6250 = bits(_T_6249, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6251 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6250 : @[Reg.scala 28:19] _T_6251 <= _T_6239 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][11] <= _T_6251 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6252 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6253 = eq(_T_6252, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6254 = and(ic_valid_ff, _T_6253) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6255 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6256 = and(_T_6254, _T_6255) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6257 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6258 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6259 = and(_T_6257, _T_6258) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6260 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6261 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6262 = and(_T_6260, _T_6261) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6263 = or(_T_6259, _T_6262) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6264 = or(_T_6263, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6265 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6266 = and(_T_6264, _T_6265) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6267 = bits(_T_6266, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][11] <= _T_6251 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6252 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6253 = eq(_T_6252, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6254 = and(ic_valid_ff, _T_6253) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6255 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6256 = and(_T_6254, _T_6255) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6257 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6258 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6259 = and(_T_6257, _T_6258) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6260 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6261 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6262 = and(_T_6260, _T_6261) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6263 = or(_T_6259, _T_6262) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6264 = or(_T_6263, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6265 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6266 = and(_T_6264, _T_6265) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6267 = bits(_T_6266, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6268 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6267 : @[Reg.scala 28:19] _T_6268 <= _T_6256 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][12] <= _T_6268 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6269 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6270 = eq(_T_6269, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6271 = and(ic_valid_ff, _T_6270) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6272 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6273 = and(_T_6271, _T_6272) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6274 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6275 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6276 = and(_T_6274, _T_6275) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6277 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6278 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6279 = and(_T_6277, _T_6278) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6280 = or(_T_6276, _T_6279) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6281 = or(_T_6280, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6282 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6283 = and(_T_6281, _T_6282) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6284 = bits(_T_6283, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][12] <= _T_6268 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6269 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6270 = eq(_T_6269, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6271 = and(ic_valid_ff, _T_6270) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6272 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6273 = and(_T_6271, _T_6272) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6274 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6275 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6276 = and(_T_6274, _T_6275) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6277 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6278 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6279 = and(_T_6277, _T_6278) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6280 = or(_T_6276, _T_6279) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6281 = or(_T_6280, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6282 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6283 = and(_T_6281, _T_6282) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6284 = bits(_T_6283, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6285 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6284 : @[Reg.scala 28:19] _T_6285 <= _T_6273 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][13] <= _T_6285 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6286 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6287 = eq(_T_6286, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6288 = and(ic_valid_ff, _T_6287) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6289 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6290 = and(_T_6288, _T_6289) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6291 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6292 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6293 = and(_T_6291, _T_6292) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6294 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6295 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6296 = and(_T_6294, _T_6295) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6297 = or(_T_6293, _T_6296) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6298 = or(_T_6297, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6299 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6300 = and(_T_6298, _T_6299) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6301 = bits(_T_6300, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][13] <= _T_6285 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6286 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6287 = eq(_T_6286, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6288 = and(ic_valid_ff, _T_6287) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6289 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6290 = and(_T_6288, _T_6289) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6291 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6292 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6293 = and(_T_6291, _T_6292) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6294 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6295 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6296 = and(_T_6294, _T_6295) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6297 = or(_T_6293, _T_6296) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6298 = or(_T_6297, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6299 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6300 = and(_T_6298, _T_6299) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6301 = bits(_T_6300, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6302 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6301 : @[Reg.scala 28:19] _T_6302 <= _T_6290 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][14] <= _T_6302 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6303 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6304 = eq(_T_6303, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6305 = and(ic_valid_ff, _T_6304) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6306 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6307 = and(_T_6305, _T_6306) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6308 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6309 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6310 = and(_T_6308, _T_6309) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6311 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6312 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6313 = and(_T_6311, _T_6312) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6314 = or(_T_6310, _T_6313) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6315 = or(_T_6314, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6316 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6317 = and(_T_6315, _T_6316) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6318 = bits(_T_6317, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][14] <= _T_6302 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6303 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6304 = eq(_T_6303, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6305 = and(ic_valid_ff, _T_6304) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6306 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6307 = and(_T_6305, _T_6306) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6308 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6309 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6310 = and(_T_6308, _T_6309) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6311 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6312 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6313 = and(_T_6311, _T_6312) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6314 = or(_T_6310, _T_6313) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6315 = or(_T_6314, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6316 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6317 = and(_T_6315, _T_6316) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6318 = bits(_T_6317, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6319 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6318 : @[Reg.scala 28:19] _T_6319 <= _T_6307 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][15] <= _T_6319 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6320 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6321 = eq(_T_6320, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6322 = and(ic_valid_ff, _T_6321) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6323 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6324 = and(_T_6322, _T_6323) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6325 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6326 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6327 = and(_T_6325, _T_6326) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6328 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6329 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6330 = and(_T_6328, _T_6329) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6331 = or(_T_6327, _T_6330) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6332 = or(_T_6331, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6333 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6334 = and(_T_6332, _T_6333) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6335 = bits(_T_6334, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][15] <= _T_6319 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6320 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6321 = eq(_T_6320, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6322 = and(ic_valid_ff, _T_6321) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6323 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6324 = and(_T_6322, _T_6323) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6325 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6326 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6327 = and(_T_6325, _T_6326) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6328 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6329 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6330 = and(_T_6328, _T_6329) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6331 = or(_T_6327, _T_6330) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6332 = or(_T_6331, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6333 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6334 = and(_T_6332, _T_6333) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6335 = bits(_T_6334, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6336 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6335 : @[Reg.scala 28:19] _T_6336 <= _T_6324 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][16] <= _T_6336 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6337 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6338 = eq(_T_6337, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6339 = and(ic_valid_ff, _T_6338) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6340 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6341 = and(_T_6339, _T_6340) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6342 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6343 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6344 = and(_T_6342, _T_6343) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6345 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6346 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6347 = and(_T_6345, _T_6346) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6348 = or(_T_6344, _T_6347) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6349 = or(_T_6348, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6350 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6351 = and(_T_6349, _T_6350) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6352 = bits(_T_6351, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][16] <= _T_6336 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6337 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6338 = eq(_T_6337, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6339 = and(ic_valid_ff, _T_6338) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6340 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6341 = and(_T_6339, _T_6340) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6342 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6343 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6344 = and(_T_6342, _T_6343) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6345 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6346 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6347 = and(_T_6345, _T_6346) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6348 = or(_T_6344, _T_6347) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6349 = or(_T_6348, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6350 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6351 = and(_T_6349, _T_6350) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6352 = bits(_T_6351, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6353 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6352 : @[Reg.scala 28:19] _T_6353 <= _T_6341 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][17] <= _T_6353 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6354 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6355 = eq(_T_6354, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6356 = and(ic_valid_ff, _T_6355) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6357 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6358 = and(_T_6356, _T_6357) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6359 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6360 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6361 = and(_T_6359, _T_6360) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6362 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6363 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6364 = and(_T_6362, _T_6363) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6365 = or(_T_6361, _T_6364) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6366 = or(_T_6365, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6367 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6368 = and(_T_6366, _T_6367) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6369 = bits(_T_6368, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][17] <= _T_6353 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6354 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6355 = eq(_T_6354, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6356 = and(ic_valid_ff, _T_6355) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6357 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6358 = and(_T_6356, _T_6357) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6359 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6360 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6361 = and(_T_6359, _T_6360) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6362 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6363 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6364 = and(_T_6362, _T_6363) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6365 = or(_T_6361, _T_6364) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6366 = or(_T_6365, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6367 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6368 = and(_T_6366, _T_6367) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6369 = bits(_T_6368, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6370 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6369 : @[Reg.scala 28:19] _T_6370 <= _T_6358 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][18] <= _T_6370 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6371 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6372 = eq(_T_6371, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6373 = and(ic_valid_ff, _T_6372) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6374 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6375 = and(_T_6373, _T_6374) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6376 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6377 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6378 = and(_T_6376, _T_6377) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6379 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6380 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6381 = and(_T_6379, _T_6380) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6382 = or(_T_6378, _T_6381) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6383 = or(_T_6382, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6384 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6385 = and(_T_6383, _T_6384) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6386 = bits(_T_6385, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][18] <= _T_6370 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6371 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6372 = eq(_T_6371, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6373 = and(ic_valid_ff, _T_6372) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6374 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6375 = and(_T_6373, _T_6374) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6376 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6377 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6378 = and(_T_6376, _T_6377) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6379 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6380 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6381 = and(_T_6379, _T_6380) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6382 = or(_T_6378, _T_6381) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6383 = or(_T_6382, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6384 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6385 = and(_T_6383, _T_6384) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6386 = bits(_T_6385, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6387 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6386 : @[Reg.scala 28:19] _T_6387 <= _T_6375 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][19] <= _T_6387 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6388 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6389 = eq(_T_6388, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6390 = and(ic_valid_ff, _T_6389) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6391 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6392 = and(_T_6390, _T_6391) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6393 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6394 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6395 = and(_T_6393, _T_6394) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6396 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6397 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6398 = and(_T_6396, _T_6397) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6399 = or(_T_6395, _T_6398) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6400 = or(_T_6399, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6401 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6402 = and(_T_6400, _T_6401) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6403 = bits(_T_6402, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][19] <= _T_6387 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6388 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6389 = eq(_T_6388, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6390 = and(ic_valid_ff, _T_6389) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6391 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6392 = and(_T_6390, _T_6391) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6393 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6394 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6395 = and(_T_6393, _T_6394) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6396 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6397 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6398 = and(_T_6396, _T_6397) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6399 = or(_T_6395, _T_6398) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6400 = or(_T_6399, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6401 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6402 = and(_T_6400, _T_6401) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6403 = bits(_T_6402, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6404 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6403 : @[Reg.scala 28:19] _T_6404 <= _T_6392 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][20] <= _T_6404 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6405 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6406 = eq(_T_6405, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6407 = and(ic_valid_ff, _T_6406) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6408 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6409 = and(_T_6407, _T_6408) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6410 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6411 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6412 = and(_T_6410, _T_6411) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6413 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6414 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6415 = and(_T_6413, _T_6414) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6416 = or(_T_6412, _T_6415) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6417 = or(_T_6416, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6418 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6419 = and(_T_6417, _T_6418) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6420 = bits(_T_6419, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][20] <= _T_6404 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6405 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6406 = eq(_T_6405, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6407 = and(ic_valid_ff, _T_6406) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6408 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6409 = and(_T_6407, _T_6408) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6410 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6411 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6412 = and(_T_6410, _T_6411) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6413 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6414 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6415 = and(_T_6413, _T_6414) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6416 = or(_T_6412, _T_6415) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6417 = or(_T_6416, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6418 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6419 = and(_T_6417, _T_6418) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6420 = bits(_T_6419, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6421 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6420 : @[Reg.scala 28:19] _T_6421 <= _T_6409 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][21] <= _T_6421 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6422 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6423 = eq(_T_6422, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6424 = and(ic_valid_ff, _T_6423) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6425 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6426 = and(_T_6424, _T_6425) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6427 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6428 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6429 = and(_T_6427, _T_6428) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6430 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6431 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6432 = and(_T_6430, _T_6431) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6433 = or(_T_6429, _T_6432) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6434 = or(_T_6433, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6435 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6436 = and(_T_6434, _T_6435) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6437 = bits(_T_6436, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][21] <= _T_6421 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6422 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6423 = eq(_T_6422, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6424 = and(ic_valid_ff, _T_6423) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6425 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6426 = and(_T_6424, _T_6425) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6427 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6428 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6429 = and(_T_6427, _T_6428) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6430 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6431 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6432 = and(_T_6430, _T_6431) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6433 = or(_T_6429, _T_6432) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6434 = or(_T_6433, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6435 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6436 = and(_T_6434, _T_6435) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6437 = bits(_T_6436, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6438 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6437 : @[Reg.scala 28:19] _T_6438 <= _T_6426 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][22] <= _T_6438 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6439 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6440 = eq(_T_6439, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6441 = and(ic_valid_ff, _T_6440) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6442 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6443 = and(_T_6441, _T_6442) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6444 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6445 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6446 = and(_T_6444, _T_6445) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6447 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6448 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6449 = and(_T_6447, _T_6448) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6450 = or(_T_6446, _T_6449) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6451 = or(_T_6450, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6452 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6453 = and(_T_6451, _T_6452) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6454 = bits(_T_6453, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][22] <= _T_6438 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6439 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6440 = eq(_T_6439, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6441 = and(ic_valid_ff, _T_6440) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6442 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6443 = and(_T_6441, _T_6442) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6444 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6445 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6446 = and(_T_6444, _T_6445) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6447 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6448 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6449 = and(_T_6447, _T_6448) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6450 = or(_T_6446, _T_6449) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6451 = or(_T_6450, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6452 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6453 = and(_T_6451, _T_6452) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6454 = bits(_T_6453, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6455 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6454 : @[Reg.scala 28:19] _T_6455 <= _T_6443 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][23] <= _T_6455 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6456 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6457 = eq(_T_6456, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6458 = and(ic_valid_ff, _T_6457) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6459 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6460 = and(_T_6458, _T_6459) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6461 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6462 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6463 = and(_T_6461, _T_6462) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6464 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6465 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6466 = and(_T_6464, _T_6465) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6467 = or(_T_6463, _T_6466) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6468 = or(_T_6467, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6469 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6470 = and(_T_6468, _T_6469) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6471 = bits(_T_6470, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][23] <= _T_6455 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6456 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6457 = eq(_T_6456, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6458 = and(ic_valid_ff, _T_6457) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6459 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6460 = and(_T_6458, _T_6459) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6461 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6462 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6463 = and(_T_6461, _T_6462) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6464 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6465 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6466 = and(_T_6464, _T_6465) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6467 = or(_T_6463, _T_6466) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6468 = or(_T_6467, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6469 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6470 = and(_T_6468, _T_6469) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6471 = bits(_T_6470, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6472 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6471 : @[Reg.scala 28:19] _T_6472 <= _T_6460 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][24] <= _T_6472 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6473 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6474 = eq(_T_6473, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6475 = and(ic_valid_ff, _T_6474) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6476 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6477 = and(_T_6475, _T_6476) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6478 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6479 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6480 = and(_T_6478, _T_6479) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6481 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6482 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6483 = and(_T_6481, _T_6482) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6484 = or(_T_6480, _T_6483) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6485 = or(_T_6484, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6486 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6487 = and(_T_6485, _T_6486) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6488 = bits(_T_6487, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][24] <= _T_6472 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6473 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6474 = eq(_T_6473, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6475 = and(ic_valid_ff, _T_6474) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6476 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6477 = and(_T_6475, _T_6476) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6478 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6479 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6480 = and(_T_6478, _T_6479) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6481 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6482 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6483 = and(_T_6481, _T_6482) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6484 = or(_T_6480, _T_6483) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6485 = or(_T_6484, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6486 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6487 = and(_T_6485, _T_6486) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6488 = bits(_T_6487, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6489 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6488 : @[Reg.scala 28:19] _T_6489 <= _T_6477 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][25] <= _T_6489 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6490 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6491 = eq(_T_6490, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6492 = and(ic_valid_ff, _T_6491) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6493 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6494 = and(_T_6492, _T_6493) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6495 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6496 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6497 = and(_T_6495, _T_6496) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6498 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6499 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6500 = and(_T_6498, _T_6499) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6501 = or(_T_6497, _T_6500) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6502 = or(_T_6501, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6503 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6504 = and(_T_6502, _T_6503) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6505 = bits(_T_6504, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][25] <= _T_6489 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6490 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6491 = eq(_T_6490, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6492 = and(ic_valid_ff, _T_6491) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6493 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6494 = and(_T_6492, _T_6493) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6495 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6496 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6497 = and(_T_6495, _T_6496) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6498 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6499 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6500 = and(_T_6498, _T_6499) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6501 = or(_T_6497, _T_6500) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6502 = or(_T_6501, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6503 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6504 = and(_T_6502, _T_6503) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6505 = bits(_T_6504, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6506 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6505 : @[Reg.scala 28:19] _T_6506 <= _T_6494 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][26] <= _T_6506 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6507 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6508 = eq(_T_6507, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6509 = and(ic_valid_ff, _T_6508) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6510 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6511 = and(_T_6509, _T_6510) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6512 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6513 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6514 = and(_T_6512, _T_6513) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6515 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6516 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6517 = and(_T_6515, _T_6516) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6518 = or(_T_6514, _T_6517) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6519 = or(_T_6518, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6520 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6521 = and(_T_6519, _T_6520) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6522 = bits(_T_6521, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][26] <= _T_6506 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6507 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6508 = eq(_T_6507, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6509 = and(ic_valid_ff, _T_6508) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6510 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6511 = and(_T_6509, _T_6510) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6512 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6513 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6514 = and(_T_6512, _T_6513) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6515 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6516 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6517 = and(_T_6515, _T_6516) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6518 = or(_T_6514, _T_6517) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6519 = or(_T_6518, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6520 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6521 = and(_T_6519, _T_6520) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6522 = bits(_T_6521, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6523 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6522 : @[Reg.scala 28:19] _T_6523 <= _T_6511 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][27] <= _T_6523 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6524 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6525 = eq(_T_6524, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6526 = and(ic_valid_ff, _T_6525) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6527 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6528 = and(_T_6526, _T_6527) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6529 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6530 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6531 = and(_T_6529, _T_6530) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6532 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6533 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6534 = and(_T_6532, _T_6533) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6535 = or(_T_6531, _T_6534) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6536 = or(_T_6535, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6537 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6538 = and(_T_6536, _T_6537) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6539 = bits(_T_6538, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][27] <= _T_6523 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6524 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6525 = eq(_T_6524, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6526 = and(ic_valid_ff, _T_6525) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6527 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6528 = and(_T_6526, _T_6527) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6529 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6530 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6531 = and(_T_6529, _T_6530) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6532 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6533 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6534 = and(_T_6532, _T_6533) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6535 = or(_T_6531, _T_6534) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6536 = or(_T_6535, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6537 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6538 = and(_T_6536, _T_6537) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6539 = bits(_T_6538, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6540 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6539 : @[Reg.scala 28:19] _T_6540 <= _T_6528 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][28] <= _T_6540 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6541 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6542 = eq(_T_6541, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6543 = and(ic_valid_ff, _T_6542) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6544 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6545 = and(_T_6543, _T_6544) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6546 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6547 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6548 = and(_T_6546, _T_6547) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6549 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6550 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6551 = and(_T_6549, _T_6550) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6552 = or(_T_6548, _T_6551) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6553 = or(_T_6552, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6554 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6555 = and(_T_6553, _T_6554) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6556 = bits(_T_6555, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][28] <= _T_6540 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6541 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6542 = eq(_T_6541, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6543 = and(ic_valid_ff, _T_6542) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6544 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6545 = and(_T_6543, _T_6544) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6546 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6547 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6548 = and(_T_6546, _T_6547) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6549 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6550 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6551 = and(_T_6549, _T_6550) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6552 = or(_T_6548, _T_6551) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6553 = or(_T_6552, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6554 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6555 = and(_T_6553, _T_6554) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6556 = bits(_T_6555, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6557 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6556 : @[Reg.scala 28:19] _T_6557 <= _T_6545 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][29] <= _T_6557 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6558 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6559 = eq(_T_6558, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6560 = and(ic_valid_ff, _T_6559) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6561 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6562 = and(_T_6560, _T_6561) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6563 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6564 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6565 = and(_T_6563, _T_6564) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6566 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6567 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6568 = and(_T_6566, _T_6567) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6569 = or(_T_6565, _T_6568) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6570 = or(_T_6569, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6571 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6572 = and(_T_6570, _T_6571) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6573 = bits(_T_6572, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][29] <= _T_6557 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6558 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6559 = eq(_T_6558, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6560 = and(ic_valid_ff, _T_6559) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6561 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6562 = and(_T_6560, _T_6561) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6563 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6564 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6565 = and(_T_6563, _T_6564) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6566 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6567 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6568 = and(_T_6566, _T_6567) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6569 = or(_T_6565, _T_6568) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6570 = or(_T_6569, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6571 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6572 = and(_T_6570, _T_6571) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6573 = bits(_T_6572, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6574 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6573 : @[Reg.scala 28:19] _T_6574 <= _T_6562 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][30] <= _T_6574 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6575 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6576 = eq(_T_6575, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6577 = and(ic_valid_ff, _T_6576) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6578 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6579 = and(_T_6577, _T_6578) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6580 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6581 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6582 = and(_T_6580, _T_6581) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6583 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6584 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6585 = and(_T_6583, _T_6584) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6586 = or(_T_6582, _T_6585) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6587 = or(_T_6586, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6588 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6589 = and(_T_6587, _T_6588) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6590 = bits(_T_6589, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][30] <= _T_6574 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6575 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6576 = eq(_T_6575, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6577 = and(ic_valid_ff, _T_6576) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6578 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6579 = and(_T_6577, _T_6578) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6580 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6581 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6582 = and(_T_6580, _T_6581) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6583 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6584 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6585 = and(_T_6583, _T_6584) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6586 = or(_T_6582, _T_6585) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6587 = or(_T_6586, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6588 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6589 = and(_T_6587, _T_6588) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6590 = bits(_T_6589, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6591 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6590 : @[Reg.scala 28:19] _T_6591 <= _T_6579 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][31] <= _T_6591 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6592 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6593 = eq(_T_6592, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6594 = and(ic_valid_ff, _T_6593) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6595 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6596 = and(_T_6594, _T_6595) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6597 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6598 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6599 = and(_T_6597, _T_6598) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6600 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6601 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6602 = and(_T_6600, _T_6601) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6603 = or(_T_6599, _T_6602) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6604 = or(_T_6603, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6605 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6606 = and(_T_6604, _T_6605) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6607 = bits(_T_6606, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][31] <= _T_6591 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6592 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6593 = eq(_T_6592, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6594 = and(ic_valid_ff, _T_6593) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6595 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6596 = and(_T_6594, _T_6595) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6597 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6598 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6599 = and(_T_6597, _T_6598) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6600 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6601 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6602 = and(_T_6600, _T_6601) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6603 = or(_T_6599, _T_6602) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6604 = or(_T_6603, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6605 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6606 = and(_T_6604, _T_6605) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6607 = bits(_T_6606, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6608 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6607 : @[Reg.scala 28:19] _T_6608 <= _T_6596 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][32] <= _T_6608 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6609 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6610 = eq(_T_6609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6611 = and(ic_valid_ff, _T_6610) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6612 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6613 = and(_T_6611, _T_6612) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6614 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6615 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6616 = and(_T_6614, _T_6615) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6617 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6618 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6619 = and(_T_6617, _T_6618) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6620 = or(_T_6616, _T_6619) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6621 = or(_T_6620, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6622 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6623 = and(_T_6621, _T_6622) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6624 = bits(_T_6623, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][32] <= _T_6608 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6609 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6610 = eq(_T_6609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6611 = and(ic_valid_ff, _T_6610) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6612 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6613 = and(_T_6611, _T_6612) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6614 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6615 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6616 = and(_T_6614, _T_6615) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6617 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6618 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6619 = and(_T_6617, _T_6618) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6620 = or(_T_6616, _T_6619) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6621 = or(_T_6620, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6622 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6623 = and(_T_6621, _T_6622) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6624 = bits(_T_6623, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6625 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6624 : @[Reg.scala 28:19] _T_6625 <= _T_6613 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][33] <= _T_6625 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6626 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6627 = eq(_T_6626, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6628 = and(ic_valid_ff, _T_6627) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6629 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6630 = and(_T_6628, _T_6629) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6631 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6632 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6633 = and(_T_6631, _T_6632) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6634 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6635 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6636 = and(_T_6634, _T_6635) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6637 = or(_T_6633, _T_6636) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6638 = or(_T_6637, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6639 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6640 = and(_T_6638, _T_6639) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6641 = bits(_T_6640, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][33] <= _T_6625 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6626 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6627 = eq(_T_6626, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6628 = and(ic_valid_ff, _T_6627) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6629 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6630 = and(_T_6628, _T_6629) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6631 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6632 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6633 = and(_T_6631, _T_6632) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6634 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6635 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6636 = and(_T_6634, _T_6635) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6637 = or(_T_6633, _T_6636) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6638 = or(_T_6637, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6639 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6640 = and(_T_6638, _T_6639) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6641 = bits(_T_6640, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6642 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6641 : @[Reg.scala 28:19] _T_6642 <= _T_6630 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][34] <= _T_6642 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6643 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6644 = eq(_T_6643, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6645 = and(ic_valid_ff, _T_6644) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6646 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6647 = and(_T_6645, _T_6646) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6648 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6649 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6650 = and(_T_6648, _T_6649) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6651 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6652 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6653 = and(_T_6651, _T_6652) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6654 = or(_T_6650, _T_6653) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6655 = or(_T_6654, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6656 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6657 = and(_T_6655, _T_6656) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6658 = bits(_T_6657, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][34] <= _T_6642 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6643 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6644 = eq(_T_6643, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6645 = and(ic_valid_ff, _T_6644) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6646 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6647 = and(_T_6645, _T_6646) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6648 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6649 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6650 = and(_T_6648, _T_6649) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6651 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6652 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6653 = and(_T_6651, _T_6652) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6654 = or(_T_6650, _T_6653) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6655 = or(_T_6654, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6656 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6657 = and(_T_6655, _T_6656) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6658 = bits(_T_6657, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6659 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6658 : @[Reg.scala 28:19] _T_6659 <= _T_6647 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][35] <= _T_6659 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6660 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6661 = eq(_T_6660, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6662 = and(ic_valid_ff, _T_6661) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6663 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6664 = and(_T_6662, _T_6663) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6665 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6666 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6667 = and(_T_6665, _T_6666) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6668 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6669 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6670 = and(_T_6668, _T_6669) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6671 = or(_T_6667, _T_6670) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6672 = or(_T_6671, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6673 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6674 = and(_T_6672, _T_6673) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6675 = bits(_T_6674, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][35] <= _T_6659 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6660 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6661 = eq(_T_6660, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6662 = and(ic_valid_ff, _T_6661) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6663 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6664 = and(_T_6662, _T_6663) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6665 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6666 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6667 = and(_T_6665, _T_6666) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6668 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6669 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6670 = and(_T_6668, _T_6669) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6671 = or(_T_6667, _T_6670) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6672 = or(_T_6671, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6673 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6674 = and(_T_6672, _T_6673) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6675 = bits(_T_6674, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6676 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6675 : @[Reg.scala 28:19] _T_6676 <= _T_6664 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][36] <= _T_6676 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6677 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6678 = eq(_T_6677, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6679 = and(ic_valid_ff, _T_6678) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6680 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6681 = and(_T_6679, _T_6680) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6682 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6683 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6684 = and(_T_6682, _T_6683) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6685 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6686 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6687 = and(_T_6685, _T_6686) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6688 = or(_T_6684, _T_6687) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6689 = or(_T_6688, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6690 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6691 = and(_T_6689, _T_6690) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6692 = bits(_T_6691, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][36] <= _T_6676 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6677 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6678 = eq(_T_6677, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6679 = and(ic_valid_ff, _T_6678) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6680 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6681 = and(_T_6679, _T_6680) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6682 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6683 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6684 = and(_T_6682, _T_6683) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6685 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6686 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6687 = and(_T_6685, _T_6686) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6688 = or(_T_6684, _T_6687) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6689 = or(_T_6688, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6690 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6691 = and(_T_6689, _T_6690) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6692 = bits(_T_6691, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6693 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6692 : @[Reg.scala 28:19] _T_6693 <= _T_6681 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][37] <= _T_6693 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6694 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6695 = eq(_T_6694, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6696 = and(ic_valid_ff, _T_6695) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6697 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6698 = and(_T_6696, _T_6697) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6699 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6700 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6701 = and(_T_6699, _T_6700) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6702 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6703 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6704 = and(_T_6702, _T_6703) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6705 = or(_T_6701, _T_6704) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6706 = or(_T_6705, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6707 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6708 = and(_T_6706, _T_6707) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6709 = bits(_T_6708, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][37] <= _T_6693 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6694 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6695 = eq(_T_6694, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6696 = and(ic_valid_ff, _T_6695) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6697 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6698 = and(_T_6696, _T_6697) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6699 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6700 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6701 = and(_T_6699, _T_6700) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6702 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6703 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6704 = and(_T_6702, _T_6703) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6705 = or(_T_6701, _T_6704) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6706 = or(_T_6705, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6707 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6708 = and(_T_6706, _T_6707) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6709 = bits(_T_6708, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6710 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6709 : @[Reg.scala 28:19] _T_6710 <= _T_6698 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][38] <= _T_6710 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6711 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6712 = eq(_T_6711, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6713 = and(ic_valid_ff, _T_6712) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6714 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6715 = and(_T_6713, _T_6714) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6716 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6717 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6718 = and(_T_6716, _T_6717) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6719 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6720 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6721 = and(_T_6719, _T_6720) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6722 = or(_T_6718, _T_6721) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6723 = or(_T_6722, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6724 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6725 = and(_T_6723, _T_6724) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6726 = bits(_T_6725, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][38] <= _T_6710 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6711 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6712 = eq(_T_6711, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6713 = and(ic_valid_ff, _T_6712) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6714 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6715 = and(_T_6713, _T_6714) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6716 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6717 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6718 = and(_T_6716, _T_6717) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6719 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6720 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6721 = and(_T_6719, _T_6720) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6722 = or(_T_6718, _T_6721) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6723 = or(_T_6722, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6724 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6725 = and(_T_6723, _T_6724) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6726 = bits(_T_6725, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6727 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6726 : @[Reg.scala 28:19] _T_6727 <= _T_6715 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][39] <= _T_6727 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6728 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6729 = eq(_T_6728, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6730 = and(ic_valid_ff, _T_6729) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6731 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6732 = and(_T_6730, _T_6731) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6733 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6734 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6735 = and(_T_6733, _T_6734) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6736 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6737 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6738 = and(_T_6736, _T_6737) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6739 = or(_T_6735, _T_6738) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6740 = or(_T_6739, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6741 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6742 = and(_T_6740, _T_6741) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6743 = bits(_T_6742, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][39] <= _T_6727 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6728 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6729 = eq(_T_6728, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6730 = and(ic_valid_ff, _T_6729) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6731 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6732 = and(_T_6730, _T_6731) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6733 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6734 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6735 = and(_T_6733, _T_6734) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6736 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6737 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6738 = and(_T_6736, _T_6737) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6739 = or(_T_6735, _T_6738) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6740 = or(_T_6739, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6741 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6742 = and(_T_6740, _T_6741) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6743 = bits(_T_6742, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6744 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6743 : @[Reg.scala 28:19] _T_6744 <= _T_6732 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][40] <= _T_6744 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6745 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6746 = eq(_T_6745, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6747 = and(ic_valid_ff, _T_6746) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6748 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6749 = and(_T_6747, _T_6748) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6750 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6751 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6752 = and(_T_6750, _T_6751) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6753 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6754 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6755 = and(_T_6753, _T_6754) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6756 = or(_T_6752, _T_6755) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6757 = or(_T_6756, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6758 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6759 = and(_T_6757, _T_6758) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6760 = bits(_T_6759, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][40] <= _T_6744 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6745 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6746 = eq(_T_6745, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6747 = and(ic_valid_ff, _T_6746) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6748 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6749 = and(_T_6747, _T_6748) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6750 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6751 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6752 = and(_T_6750, _T_6751) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6753 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6754 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6755 = and(_T_6753, _T_6754) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6756 = or(_T_6752, _T_6755) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6757 = or(_T_6756, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6758 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6759 = and(_T_6757, _T_6758) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6760 = bits(_T_6759, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6761 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6760 : @[Reg.scala 28:19] _T_6761 <= _T_6749 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][41] <= _T_6761 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6762 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6763 = eq(_T_6762, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6764 = and(ic_valid_ff, _T_6763) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6765 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6766 = and(_T_6764, _T_6765) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6767 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6768 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6769 = and(_T_6767, _T_6768) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6770 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6771 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6772 = and(_T_6770, _T_6771) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6773 = or(_T_6769, _T_6772) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6774 = or(_T_6773, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6775 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6776 = and(_T_6774, _T_6775) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6777 = bits(_T_6776, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][41] <= _T_6761 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6762 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6763 = eq(_T_6762, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6764 = and(ic_valid_ff, _T_6763) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6765 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6766 = and(_T_6764, _T_6765) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6767 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6768 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6769 = and(_T_6767, _T_6768) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6770 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6771 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6772 = and(_T_6770, _T_6771) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6773 = or(_T_6769, _T_6772) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6774 = or(_T_6773, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6775 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6776 = and(_T_6774, _T_6775) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6777 = bits(_T_6776, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6778 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6777 : @[Reg.scala 28:19] _T_6778 <= _T_6766 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][42] <= _T_6778 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6779 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6780 = eq(_T_6779, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6781 = and(ic_valid_ff, _T_6780) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6782 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6783 = and(_T_6781, _T_6782) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6784 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6785 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6786 = and(_T_6784, _T_6785) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6787 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6788 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6789 = and(_T_6787, _T_6788) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6790 = or(_T_6786, _T_6789) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6791 = or(_T_6790, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6792 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6793 = and(_T_6791, _T_6792) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6794 = bits(_T_6793, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][42] <= _T_6778 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6779 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6780 = eq(_T_6779, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6781 = and(ic_valid_ff, _T_6780) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6782 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6783 = and(_T_6781, _T_6782) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6784 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6785 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6786 = and(_T_6784, _T_6785) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6787 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6788 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6789 = and(_T_6787, _T_6788) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6790 = or(_T_6786, _T_6789) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6791 = or(_T_6790, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6792 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6793 = and(_T_6791, _T_6792) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6794 = bits(_T_6793, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6795 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6794 : @[Reg.scala 28:19] _T_6795 <= _T_6783 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][43] <= _T_6795 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6796 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6797 = eq(_T_6796, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6798 = and(ic_valid_ff, _T_6797) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6799 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6800 = and(_T_6798, _T_6799) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6801 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6802 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6803 = and(_T_6801, _T_6802) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6804 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6805 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6806 = and(_T_6804, _T_6805) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6807 = or(_T_6803, _T_6806) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6808 = or(_T_6807, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6809 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6810 = and(_T_6808, _T_6809) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6811 = bits(_T_6810, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][43] <= _T_6795 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6796 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6797 = eq(_T_6796, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6798 = and(ic_valid_ff, _T_6797) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6799 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6800 = and(_T_6798, _T_6799) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6801 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6802 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6803 = and(_T_6801, _T_6802) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6804 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6805 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6806 = and(_T_6804, _T_6805) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6807 = or(_T_6803, _T_6806) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6808 = or(_T_6807, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6809 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6810 = and(_T_6808, _T_6809) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6811 = bits(_T_6810, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6812 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6811 : @[Reg.scala 28:19] _T_6812 <= _T_6800 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][44] <= _T_6812 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6813 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6814 = eq(_T_6813, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6815 = and(ic_valid_ff, _T_6814) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6816 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6817 = and(_T_6815, _T_6816) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6818 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6819 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6820 = and(_T_6818, _T_6819) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6821 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6822 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6823 = and(_T_6821, _T_6822) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6824 = or(_T_6820, _T_6823) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6825 = or(_T_6824, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6826 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6827 = and(_T_6825, _T_6826) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6828 = bits(_T_6827, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][44] <= _T_6812 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6813 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6814 = eq(_T_6813, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6815 = and(ic_valid_ff, _T_6814) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6816 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6817 = and(_T_6815, _T_6816) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6818 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6819 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6820 = and(_T_6818, _T_6819) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6821 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6822 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6823 = and(_T_6821, _T_6822) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6824 = or(_T_6820, _T_6823) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6825 = or(_T_6824, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6826 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6827 = and(_T_6825, _T_6826) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6828 = bits(_T_6827, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6829 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6828 : @[Reg.scala 28:19] _T_6829 <= _T_6817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][45] <= _T_6829 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6830 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6831 = eq(_T_6830, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6832 = and(ic_valid_ff, _T_6831) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6833 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6834 = and(_T_6832, _T_6833) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6835 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6836 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6837 = and(_T_6835, _T_6836) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6838 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6839 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6840 = and(_T_6838, _T_6839) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6841 = or(_T_6837, _T_6840) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6842 = or(_T_6841, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6843 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6844 = and(_T_6842, _T_6843) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6845 = bits(_T_6844, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][45] <= _T_6829 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6830 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6831 = eq(_T_6830, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6832 = and(ic_valid_ff, _T_6831) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6833 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6834 = and(_T_6832, _T_6833) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6835 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6836 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6837 = and(_T_6835, _T_6836) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6838 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6839 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6840 = and(_T_6838, _T_6839) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6841 = or(_T_6837, _T_6840) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6842 = or(_T_6841, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6843 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6844 = and(_T_6842, _T_6843) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6845 = bits(_T_6844, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6846 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6845 : @[Reg.scala 28:19] _T_6846 <= _T_6834 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][46] <= _T_6846 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6847 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6848 = eq(_T_6847, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6849 = and(ic_valid_ff, _T_6848) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6850 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6851 = and(_T_6849, _T_6850) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6852 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6853 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6854 = and(_T_6852, _T_6853) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6855 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6856 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6857 = and(_T_6855, _T_6856) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6858 = or(_T_6854, _T_6857) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6859 = or(_T_6858, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6860 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6861 = and(_T_6859, _T_6860) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6862 = bits(_T_6861, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][46] <= _T_6846 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6847 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6848 = eq(_T_6847, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6849 = and(ic_valid_ff, _T_6848) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6850 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6851 = and(_T_6849, _T_6850) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6852 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6853 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6854 = and(_T_6852, _T_6853) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6855 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6856 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6857 = and(_T_6855, _T_6856) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6858 = or(_T_6854, _T_6857) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6859 = or(_T_6858, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6860 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6861 = and(_T_6859, _T_6860) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6862 = bits(_T_6861, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6863 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6862 : @[Reg.scala 28:19] _T_6863 <= _T_6851 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][47] <= _T_6863 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6864 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6865 = eq(_T_6864, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6866 = and(ic_valid_ff, _T_6865) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6867 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6868 = and(_T_6866, _T_6867) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6869 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6870 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6871 = and(_T_6869, _T_6870) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6872 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6873 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6874 = and(_T_6872, _T_6873) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6875 = or(_T_6871, _T_6874) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6876 = or(_T_6875, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6877 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6878 = and(_T_6876, _T_6877) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6879 = bits(_T_6878, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][47] <= _T_6863 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6864 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6865 = eq(_T_6864, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6866 = and(ic_valid_ff, _T_6865) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6867 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6868 = and(_T_6866, _T_6867) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6869 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6870 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6871 = and(_T_6869, _T_6870) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6872 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6873 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6874 = and(_T_6872, _T_6873) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6875 = or(_T_6871, _T_6874) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6876 = or(_T_6875, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6877 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6878 = and(_T_6876, _T_6877) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6879 = bits(_T_6878, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6880 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6879 : @[Reg.scala 28:19] _T_6880 <= _T_6868 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][48] <= _T_6880 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6881 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6882 = eq(_T_6881, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6883 = and(ic_valid_ff, _T_6882) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6884 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6885 = and(_T_6883, _T_6884) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6886 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6887 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6888 = and(_T_6886, _T_6887) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6889 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6890 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6891 = and(_T_6889, _T_6890) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6892 = or(_T_6888, _T_6891) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6893 = or(_T_6892, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6894 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6895 = and(_T_6893, _T_6894) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6896 = bits(_T_6895, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][48] <= _T_6880 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6881 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6882 = eq(_T_6881, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6883 = and(ic_valid_ff, _T_6882) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6884 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6885 = and(_T_6883, _T_6884) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6886 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6887 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6888 = and(_T_6886, _T_6887) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6889 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6890 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6891 = and(_T_6889, _T_6890) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6892 = or(_T_6888, _T_6891) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6893 = or(_T_6892, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6894 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6895 = and(_T_6893, _T_6894) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6896 = bits(_T_6895, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6897 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6896 : @[Reg.scala 28:19] _T_6897 <= _T_6885 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][49] <= _T_6897 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6898 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6899 = eq(_T_6898, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6900 = and(ic_valid_ff, _T_6899) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6901 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6902 = and(_T_6900, _T_6901) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6903 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6904 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6905 = and(_T_6903, _T_6904) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6906 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6907 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6908 = and(_T_6906, _T_6907) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6909 = or(_T_6905, _T_6908) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6910 = or(_T_6909, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6911 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6912 = and(_T_6910, _T_6911) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6913 = bits(_T_6912, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][49] <= _T_6897 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6898 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6899 = eq(_T_6898, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6900 = and(ic_valid_ff, _T_6899) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6901 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6902 = and(_T_6900, _T_6901) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6903 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6904 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6905 = and(_T_6903, _T_6904) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6906 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6907 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6908 = and(_T_6906, _T_6907) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6909 = or(_T_6905, _T_6908) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6910 = or(_T_6909, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6911 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6912 = and(_T_6910, _T_6911) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6913 = bits(_T_6912, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6914 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6913 : @[Reg.scala 28:19] _T_6914 <= _T_6902 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][50] <= _T_6914 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6915 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6916 = eq(_T_6915, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6917 = and(ic_valid_ff, _T_6916) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6919 = and(_T_6917, _T_6918) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6920 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6921 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6922 = and(_T_6920, _T_6921) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6923 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6924 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6925 = and(_T_6923, _T_6924) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6926 = or(_T_6922, _T_6925) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6927 = or(_T_6926, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6928 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6929 = and(_T_6927, _T_6928) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6930 = bits(_T_6929, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][50] <= _T_6914 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6915 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6916 = eq(_T_6915, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6917 = and(ic_valid_ff, _T_6916) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6919 = and(_T_6917, _T_6918) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6920 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6921 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6922 = and(_T_6920, _T_6921) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6923 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6924 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6925 = and(_T_6923, _T_6924) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6926 = or(_T_6922, _T_6925) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6927 = or(_T_6926, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6928 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6929 = and(_T_6927, _T_6928) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6930 = bits(_T_6929, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6931 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6930 : @[Reg.scala 28:19] _T_6931 <= _T_6919 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][51] <= _T_6931 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6932 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6933 = eq(_T_6932, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6934 = and(ic_valid_ff, _T_6933) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6935 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6936 = and(_T_6934, _T_6935) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6937 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6938 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6939 = and(_T_6937, _T_6938) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6940 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6941 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6942 = and(_T_6940, _T_6941) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6943 = or(_T_6939, _T_6942) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6944 = or(_T_6943, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6945 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6946 = and(_T_6944, _T_6945) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6947 = bits(_T_6946, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][51] <= _T_6931 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6932 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6933 = eq(_T_6932, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6934 = and(ic_valid_ff, _T_6933) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6935 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6936 = and(_T_6934, _T_6935) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6937 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6938 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6939 = and(_T_6937, _T_6938) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6940 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6941 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6942 = and(_T_6940, _T_6941) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6943 = or(_T_6939, _T_6942) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6944 = or(_T_6943, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6945 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6946 = and(_T_6944, _T_6945) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6947 = bits(_T_6946, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6948 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6947 : @[Reg.scala 28:19] _T_6948 <= _T_6936 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][52] <= _T_6948 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6949 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6950 = eq(_T_6949, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6951 = and(ic_valid_ff, _T_6950) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6952 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6953 = and(_T_6951, _T_6952) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6954 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6955 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6956 = and(_T_6954, _T_6955) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6957 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6958 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6959 = and(_T_6957, _T_6958) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6960 = or(_T_6956, _T_6959) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6961 = or(_T_6960, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6962 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6963 = and(_T_6961, _T_6962) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6964 = bits(_T_6963, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][52] <= _T_6948 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6949 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6950 = eq(_T_6949, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6951 = and(ic_valid_ff, _T_6950) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6952 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6953 = and(_T_6951, _T_6952) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6954 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6955 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6956 = and(_T_6954, _T_6955) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6957 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6958 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6959 = and(_T_6957, _T_6958) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6960 = or(_T_6956, _T_6959) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6961 = or(_T_6960, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6962 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6963 = and(_T_6961, _T_6962) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6964 = bits(_T_6963, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6965 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6964 : @[Reg.scala 28:19] _T_6965 <= _T_6953 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][53] <= _T_6965 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6966 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6967 = eq(_T_6966, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6968 = and(ic_valid_ff, _T_6967) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6969 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6970 = and(_T_6968, _T_6969) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6971 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6972 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6973 = and(_T_6971, _T_6972) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6974 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6975 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6976 = and(_T_6974, _T_6975) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6977 = or(_T_6973, _T_6976) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6978 = or(_T_6977, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6979 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6980 = and(_T_6978, _T_6979) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6981 = bits(_T_6980, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][53] <= _T_6965 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6966 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6967 = eq(_T_6966, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6968 = and(ic_valid_ff, _T_6967) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6969 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6970 = and(_T_6968, _T_6969) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6971 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6972 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6973 = and(_T_6971, _T_6972) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6974 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6975 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6976 = and(_T_6974, _T_6975) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6977 = or(_T_6973, _T_6976) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6978 = or(_T_6977, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6979 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6980 = and(_T_6978, _T_6979) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6981 = bits(_T_6980, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6982 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6981 : @[Reg.scala 28:19] _T_6982 <= _T_6970 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][54] <= _T_6982 @[el2_ifu_mem_ctl.scala 750:41] - node _T_6983 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_6984 = eq(_T_6983, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_6985 = and(ic_valid_ff, _T_6984) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6986 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_6987 = and(_T_6985, _T_6986) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6988 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_6989 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_6990 = and(_T_6988, _T_6989) @[el2_ifu_mem_ctl.scala 751:59] - node _T_6991 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_6992 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_6993 = and(_T_6991, _T_6992) @[el2_ifu_mem_ctl.scala 751:124] - node _T_6994 = or(_T_6990, _T_6993) @[el2_ifu_mem_ctl.scala 751:81] - node _T_6995 = or(_T_6994, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_6996 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_6997 = and(_T_6995, _T_6996) @[el2_ifu_mem_ctl.scala 751:165] - node _T_6998 = bits(_T_6997, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][54] <= _T_6982 @[el2_ifu_mem_ctl.scala 751:41] + node _T_6983 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_6984 = eq(_T_6983, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_6985 = and(ic_valid_ff, _T_6984) @[el2_ifu_mem_ctl.scala 751:66] + node _T_6986 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_6987 = and(_T_6985, _T_6986) @[el2_ifu_mem_ctl.scala 751:91] + node _T_6988 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_6989 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_6990 = and(_T_6988, _T_6989) @[el2_ifu_mem_ctl.scala 752:59] + node _T_6991 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_6992 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_6993 = and(_T_6991, _T_6992) @[el2_ifu_mem_ctl.scala 752:124] + node _T_6994 = or(_T_6990, _T_6993) @[el2_ifu_mem_ctl.scala 752:81] + node _T_6995 = or(_T_6994, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_6996 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_6997 = and(_T_6995, _T_6996) @[el2_ifu_mem_ctl.scala 752:165] + node _T_6998 = bits(_T_6997, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_6999 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6998 : @[Reg.scala 28:19] _T_6999 <= _T_6987 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][55] <= _T_6999 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7000 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7001 = eq(_T_7000, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7002 = and(ic_valid_ff, _T_7001) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7003 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7004 = and(_T_7002, _T_7003) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7005 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7006 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7007 = and(_T_7005, _T_7006) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7008 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7009 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7010 = and(_T_7008, _T_7009) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7011 = or(_T_7007, _T_7010) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7012 = or(_T_7011, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7013 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7014 = and(_T_7012, _T_7013) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7015 = bits(_T_7014, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][55] <= _T_6999 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7000 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7001 = eq(_T_7000, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7002 = and(ic_valid_ff, _T_7001) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7003 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7004 = and(_T_7002, _T_7003) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7005 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7006 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7007 = and(_T_7005, _T_7006) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7008 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7009 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7010 = and(_T_7008, _T_7009) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7011 = or(_T_7007, _T_7010) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7012 = or(_T_7011, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7013 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7014 = and(_T_7012, _T_7013) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7015 = bits(_T_7014, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7016 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7015 : @[Reg.scala 28:19] _T_7016 <= _T_7004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][56] <= _T_7016 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7017 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7018 = eq(_T_7017, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7019 = and(ic_valid_ff, _T_7018) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7020 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7021 = and(_T_7019, _T_7020) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7022 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7023 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7024 = and(_T_7022, _T_7023) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7025 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7026 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7027 = and(_T_7025, _T_7026) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7028 = or(_T_7024, _T_7027) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7029 = or(_T_7028, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7030 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7031 = and(_T_7029, _T_7030) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7032 = bits(_T_7031, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][56] <= _T_7016 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7017 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7018 = eq(_T_7017, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7019 = and(ic_valid_ff, _T_7018) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7020 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7021 = and(_T_7019, _T_7020) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7022 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7023 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7024 = and(_T_7022, _T_7023) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7025 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7026 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7027 = and(_T_7025, _T_7026) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7028 = or(_T_7024, _T_7027) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7029 = or(_T_7028, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7030 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7031 = and(_T_7029, _T_7030) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7032 = bits(_T_7031, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7033 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7032 : @[Reg.scala 28:19] _T_7033 <= _T_7021 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][57] <= _T_7033 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7034 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7035 = eq(_T_7034, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7036 = and(ic_valid_ff, _T_7035) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7037 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7038 = and(_T_7036, _T_7037) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7039 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7040 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7041 = and(_T_7039, _T_7040) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7042 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7043 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7044 = and(_T_7042, _T_7043) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7045 = or(_T_7041, _T_7044) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7046 = or(_T_7045, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7047 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7048 = and(_T_7046, _T_7047) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7049 = bits(_T_7048, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][57] <= _T_7033 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7034 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7035 = eq(_T_7034, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7036 = and(ic_valid_ff, _T_7035) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7037 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7038 = and(_T_7036, _T_7037) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7039 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7040 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7041 = and(_T_7039, _T_7040) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7042 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7043 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7044 = and(_T_7042, _T_7043) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7045 = or(_T_7041, _T_7044) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7046 = or(_T_7045, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7047 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7048 = and(_T_7046, _T_7047) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7049 = bits(_T_7048, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7050 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7049 : @[Reg.scala 28:19] _T_7050 <= _T_7038 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][58] <= _T_7050 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7051 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7052 = eq(_T_7051, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7053 = and(ic_valid_ff, _T_7052) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7054 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7055 = and(_T_7053, _T_7054) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7056 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7057 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7058 = and(_T_7056, _T_7057) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7059 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7060 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7061 = and(_T_7059, _T_7060) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7062 = or(_T_7058, _T_7061) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7063 = or(_T_7062, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7064 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7065 = and(_T_7063, _T_7064) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7066 = bits(_T_7065, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][58] <= _T_7050 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7051 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7052 = eq(_T_7051, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7053 = and(ic_valid_ff, _T_7052) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7054 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7055 = and(_T_7053, _T_7054) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7056 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7057 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7058 = and(_T_7056, _T_7057) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7059 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7060 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7061 = and(_T_7059, _T_7060) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7062 = or(_T_7058, _T_7061) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7063 = or(_T_7062, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7064 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7065 = and(_T_7063, _T_7064) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7066 = bits(_T_7065, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7067 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7066 : @[Reg.scala 28:19] _T_7067 <= _T_7055 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][59] <= _T_7067 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7068 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7069 = eq(_T_7068, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7070 = and(ic_valid_ff, _T_7069) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7071 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7072 = and(_T_7070, _T_7071) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7073 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7074 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7075 = and(_T_7073, _T_7074) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7076 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7077 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7078 = and(_T_7076, _T_7077) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7079 = or(_T_7075, _T_7078) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7080 = or(_T_7079, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7081 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7082 = and(_T_7080, _T_7081) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7083 = bits(_T_7082, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][59] <= _T_7067 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7068 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7069 = eq(_T_7068, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7070 = and(ic_valid_ff, _T_7069) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7071 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7072 = and(_T_7070, _T_7071) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7073 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7074 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7075 = and(_T_7073, _T_7074) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7076 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7077 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7078 = and(_T_7076, _T_7077) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7079 = or(_T_7075, _T_7078) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7080 = or(_T_7079, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7081 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7082 = and(_T_7080, _T_7081) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7083 = bits(_T_7082, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7084 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7083 : @[Reg.scala 28:19] _T_7084 <= _T_7072 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][60] <= _T_7084 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7085 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7086 = eq(_T_7085, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7087 = and(ic_valid_ff, _T_7086) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7088 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7089 = and(_T_7087, _T_7088) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7090 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7091 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7092 = and(_T_7090, _T_7091) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7093 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7094 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7095 = and(_T_7093, _T_7094) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7096 = or(_T_7092, _T_7095) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7097 = or(_T_7096, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7098 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7099 = and(_T_7097, _T_7098) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7100 = bits(_T_7099, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][60] <= _T_7084 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7085 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7086 = eq(_T_7085, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7087 = and(ic_valid_ff, _T_7086) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7088 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7089 = and(_T_7087, _T_7088) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7090 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7091 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7092 = and(_T_7090, _T_7091) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7093 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7094 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7095 = and(_T_7093, _T_7094) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7096 = or(_T_7092, _T_7095) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7097 = or(_T_7096, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7098 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7099 = and(_T_7097, _T_7098) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7100 = bits(_T_7099, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7101 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7100 : @[Reg.scala 28:19] _T_7101 <= _T_7089 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][61] <= _T_7101 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7102 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7103 = eq(_T_7102, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7104 = and(ic_valid_ff, _T_7103) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7105 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7106 = and(_T_7104, _T_7105) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7107 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7108 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7109 = and(_T_7107, _T_7108) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7110 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7111 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7112 = and(_T_7110, _T_7111) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7113 = or(_T_7109, _T_7112) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7114 = or(_T_7113, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7115 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7116 = and(_T_7114, _T_7115) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7117 = bits(_T_7116, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][61] <= _T_7101 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7102 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7103 = eq(_T_7102, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7104 = and(ic_valid_ff, _T_7103) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7105 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7106 = and(_T_7104, _T_7105) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7107 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7108 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7109 = and(_T_7107, _T_7108) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7110 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7111 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7112 = and(_T_7110, _T_7111) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7113 = or(_T_7109, _T_7112) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7114 = or(_T_7113, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7115 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7116 = and(_T_7114, _T_7115) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7117 = bits(_T_7116, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7118 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7117 : @[Reg.scala 28:19] _T_7118 <= _T_7106 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][62] <= _T_7118 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7119 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7120 = eq(_T_7119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7121 = and(ic_valid_ff, _T_7120) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7122 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7123 = and(_T_7121, _T_7122) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7124 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7125 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7126 = and(_T_7124, _T_7125) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7127 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7128 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7129 = and(_T_7127, _T_7128) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7130 = or(_T_7126, _T_7129) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7131 = or(_T_7130, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7132 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7133 = and(_T_7131, _T_7132) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7134 = bits(_T_7133, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][62] <= _T_7118 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7119 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7120 = eq(_T_7119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7121 = and(ic_valid_ff, _T_7120) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7122 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7123 = and(_T_7121, _T_7122) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7124 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7125 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7126 = and(_T_7124, _T_7125) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7127 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7128 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7129 = and(_T_7127, _T_7128) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7130 = or(_T_7126, _T_7129) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7131 = or(_T_7130, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7132 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7133 = and(_T_7131, _T_7132) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7134 = bits(_T_7133, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7135 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7134 : @[Reg.scala 28:19] _T_7135 <= _T_7123 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][63] <= _T_7135 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7136 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7137 = eq(_T_7136, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7138 = and(ic_valid_ff, _T_7137) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7139 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7140 = and(_T_7138, _T_7139) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7141 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7142 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7143 = and(_T_7141, _T_7142) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7144 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7145 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7146 = and(_T_7144, _T_7145) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7147 = or(_T_7143, _T_7146) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7148 = or(_T_7147, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7149 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7150 = and(_T_7148, _T_7149) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7151 = bits(_T_7150, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][63] <= _T_7135 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7136 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7137 = eq(_T_7136, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7138 = and(ic_valid_ff, _T_7137) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7139 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7140 = and(_T_7138, _T_7139) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7141 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7142 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7143 = and(_T_7141, _T_7142) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7144 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7145 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7146 = and(_T_7144, _T_7145) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7147 = or(_T_7143, _T_7146) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7148 = or(_T_7147, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7149 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7150 = and(_T_7148, _T_7149) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7151 = bits(_T_7150, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7152 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7151 : @[Reg.scala 28:19] _T_7152 <= _T_7140 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][32] <= _T_7152 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7153 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7154 = eq(_T_7153, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7155 = and(ic_valid_ff, _T_7154) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7156 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7157 = and(_T_7155, _T_7156) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7158 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7159 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7160 = and(_T_7158, _T_7159) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7161 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7162 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7163 = and(_T_7161, _T_7162) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7164 = or(_T_7160, _T_7163) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7165 = or(_T_7164, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7166 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7167 = and(_T_7165, _T_7166) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7168 = bits(_T_7167, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][32] <= _T_7152 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7153 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7154 = eq(_T_7153, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7155 = and(ic_valid_ff, _T_7154) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7156 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7157 = and(_T_7155, _T_7156) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7158 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7159 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7160 = and(_T_7158, _T_7159) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7161 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7162 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7163 = and(_T_7161, _T_7162) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7164 = or(_T_7160, _T_7163) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7165 = or(_T_7164, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7166 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7167 = and(_T_7165, _T_7166) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7168 = bits(_T_7167, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7169 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7168 : @[Reg.scala 28:19] _T_7169 <= _T_7157 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][33] <= _T_7169 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7170 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7171 = eq(_T_7170, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7172 = and(ic_valid_ff, _T_7171) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7173 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7174 = and(_T_7172, _T_7173) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7175 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7176 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7177 = and(_T_7175, _T_7176) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7178 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7179 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7180 = and(_T_7178, _T_7179) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7181 = or(_T_7177, _T_7180) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7182 = or(_T_7181, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7183 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7184 = and(_T_7182, _T_7183) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7185 = bits(_T_7184, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][33] <= _T_7169 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7170 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7171 = eq(_T_7170, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7172 = and(ic_valid_ff, _T_7171) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7173 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7174 = and(_T_7172, _T_7173) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7175 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7176 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7177 = and(_T_7175, _T_7176) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7178 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7179 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7180 = and(_T_7178, _T_7179) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7181 = or(_T_7177, _T_7180) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7182 = or(_T_7181, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7183 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7184 = and(_T_7182, _T_7183) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7185 = bits(_T_7184, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7186 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7185 : @[Reg.scala 28:19] _T_7186 <= _T_7174 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][34] <= _T_7186 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7187 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7188 = eq(_T_7187, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7189 = and(ic_valid_ff, _T_7188) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7190 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7191 = and(_T_7189, _T_7190) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7192 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7193 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7194 = and(_T_7192, _T_7193) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7195 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7196 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7197 = and(_T_7195, _T_7196) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7198 = or(_T_7194, _T_7197) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7199 = or(_T_7198, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7200 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7201 = and(_T_7199, _T_7200) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7202 = bits(_T_7201, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][34] <= _T_7186 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7187 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7188 = eq(_T_7187, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7189 = and(ic_valid_ff, _T_7188) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7190 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7191 = and(_T_7189, _T_7190) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7192 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7193 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7194 = and(_T_7192, _T_7193) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7195 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7196 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7197 = and(_T_7195, _T_7196) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7198 = or(_T_7194, _T_7197) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7199 = or(_T_7198, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7200 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7201 = and(_T_7199, _T_7200) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7202 = bits(_T_7201, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7203 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7202 : @[Reg.scala 28:19] _T_7203 <= _T_7191 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][35] <= _T_7203 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7204 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7205 = eq(_T_7204, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7206 = and(ic_valid_ff, _T_7205) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7207 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7208 = and(_T_7206, _T_7207) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7209 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7210 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7211 = and(_T_7209, _T_7210) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7212 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7213 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7214 = and(_T_7212, _T_7213) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7215 = or(_T_7211, _T_7214) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7216 = or(_T_7215, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7217 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7218 = and(_T_7216, _T_7217) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7219 = bits(_T_7218, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][35] <= _T_7203 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7204 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7205 = eq(_T_7204, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7206 = and(ic_valid_ff, _T_7205) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7207 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7208 = and(_T_7206, _T_7207) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7209 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7210 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7211 = and(_T_7209, _T_7210) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7212 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7213 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7214 = and(_T_7212, _T_7213) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7215 = or(_T_7211, _T_7214) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7216 = or(_T_7215, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7217 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7218 = and(_T_7216, _T_7217) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7219 = bits(_T_7218, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7220 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7219 : @[Reg.scala 28:19] _T_7220 <= _T_7208 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][36] <= _T_7220 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7221 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7222 = eq(_T_7221, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7223 = and(ic_valid_ff, _T_7222) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7224 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7225 = and(_T_7223, _T_7224) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7226 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7227 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7228 = and(_T_7226, _T_7227) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7229 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7230 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7231 = and(_T_7229, _T_7230) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7232 = or(_T_7228, _T_7231) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7233 = or(_T_7232, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7234 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7235 = and(_T_7233, _T_7234) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7236 = bits(_T_7235, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][36] <= _T_7220 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7221 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7222 = eq(_T_7221, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7223 = and(ic_valid_ff, _T_7222) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7224 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7225 = and(_T_7223, _T_7224) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7226 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7227 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7228 = and(_T_7226, _T_7227) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7229 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7230 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7231 = and(_T_7229, _T_7230) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7232 = or(_T_7228, _T_7231) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7233 = or(_T_7232, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7234 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7235 = and(_T_7233, _T_7234) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7236 = bits(_T_7235, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7237 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7236 : @[Reg.scala 28:19] _T_7237 <= _T_7225 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][37] <= _T_7237 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7238 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7239 = eq(_T_7238, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7240 = and(ic_valid_ff, _T_7239) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7241 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7242 = and(_T_7240, _T_7241) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7243 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7244 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7245 = and(_T_7243, _T_7244) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7246 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7247 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7248 = and(_T_7246, _T_7247) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7249 = or(_T_7245, _T_7248) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7250 = or(_T_7249, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7251 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7252 = and(_T_7250, _T_7251) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7253 = bits(_T_7252, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][37] <= _T_7237 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7238 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7239 = eq(_T_7238, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7240 = and(ic_valid_ff, _T_7239) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7241 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7242 = and(_T_7240, _T_7241) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7243 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7244 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7245 = and(_T_7243, _T_7244) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7246 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7247 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7248 = and(_T_7246, _T_7247) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7249 = or(_T_7245, _T_7248) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7250 = or(_T_7249, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7251 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7252 = and(_T_7250, _T_7251) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7253 = bits(_T_7252, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7254 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7253 : @[Reg.scala 28:19] _T_7254 <= _T_7242 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][38] <= _T_7254 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7255 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7256 = eq(_T_7255, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7257 = and(ic_valid_ff, _T_7256) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7258 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7259 = and(_T_7257, _T_7258) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7260 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7261 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7262 = and(_T_7260, _T_7261) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7263 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7264 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7265 = and(_T_7263, _T_7264) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7266 = or(_T_7262, _T_7265) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7267 = or(_T_7266, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7268 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7269 = and(_T_7267, _T_7268) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7270 = bits(_T_7269, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][38] <= _T_7254 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7255 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7256 = eq(_T_7255, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7257 = and(ic_valid_ff, _T_7256) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7258 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7259 = and(_T_7257, _T_7258) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7260 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7261 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7262 = and(_T_7260, _T_7261) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7263 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7264 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7265 = and(_T_7263, _T_7264) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7266 = or(_T_7262, _T_7265) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7267 = or(_T_7266, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7268 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7269 = and(_T_7267, _T_7268) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7270 = bits(_T_7269, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7271 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7270 : @[Reg.scala 28:19] _T_7271 <= _T_7259 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][39] <= _T_7271 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7272 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7273 = eq(_T_7272, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7274 = and(ic_valid_ff, _T_7273) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7275 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7276 = and(_T_7274, _T_7275) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7277 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7278 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7279 = and(_T_7277, _T_7278) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7280 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7281 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7282 = and(_T_7280, _T_7281) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7283 = or(_T_7279, _T_7282) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7284 = or(_T_7283, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7285 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7286 = and(_T_7284, _T_7285) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7287 = bits(_T_7286, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][39] <= _T_7271 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7272 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7273 = eq(_T_7272, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7274 = and(ic_valid_ff, _T_7273) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7275 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7276 = and(_T_7274, _T_7275) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7277 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7278 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7279 = and(_T_7277, _T_7278) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7280 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7281 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7282 = and(_T_7280, _T_7281) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7283 = or(_T_7279, _T_7282) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7284 = or(_T_7283, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7285 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7286 = and(_T_7284, _T_7285) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7287 = bits(_T_7286, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7288 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7287 : @[Reg.scala 28:19] _T_7288 <= _T_7276 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][40] <= _T_7288 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7289 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7290 = eq(_T_7289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7291 = and(ic_valid_ff, _T_7290) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7292 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7293 = and(_T_7291, _T_7292) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7294 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7295 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7296 = and(_T_7294, _T_7295) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7297 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7298 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7299 = and(_T_7297, _T_7298) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7300 = or(_T_7296, _T_7299) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7301 = or(_T_7300, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7302 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7303 = and(_T_7301, _T_7302) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7304 = bits(_T_7303, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][40] <= _T_7288 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7289 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7290 = eq(_T_7289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7291 = and(ic_valid_ff, _T_7290) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7292 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7293 = and(_T_7291, _T_7292) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7294 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7295 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7296 = and(_T_7294, _T_7295) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7297 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7298 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7299 = and(_T_7297, _T_7298) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7300 = or(_T_7296, _T_7299) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7301 = or(_T_7300, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7302 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7303 = and(_T_7301, _T_7302) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7304 = bits(_T_7303, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7305 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7304 : @[Reg.scala 28:19] _T_7305 <= _T_7293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][41] <= _T_7305 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7306 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7307 = eq(_T_7306, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7308 = and(ic_valid_ff, _T_7307) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7309 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7310 = and(_T_7308, _T_7309) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7311 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7312 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7313 = and(_T_7311, _T_7312) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7314 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7315 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7316 = and(_T_7314, _T_7315) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7317 = or(_T_7313, _T_7316) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7318 = or(_T_7317, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7319 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7320 = and(_T_7318, _T_7319) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7321 = bits(_T_7320, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][41] <= _T_7305 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7306 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7307 = eq(_T_7306, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7308 = and(ic_valid_ff, _T_7307) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7309 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7310 = and(_T_7308, _T_7309) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7311 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7312 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7313 = and(_T_7311, _T_7312) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7314 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7315 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7316 = and(_T_7314, _T_7315) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7317 = or(_T_7313, _T_7316) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7318 = or(_T_7317, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7319 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7320 = and(_T_7318, _T_7319) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7321 = bits(_T_7320, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7322 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7321 : @[Reg.scala 28:19] _T_7322 <= _T_7310 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][42] <= _T_7322 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7323 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7324 = eq(_T_7323, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7325 = and(ic_valid_ff, _T_7324) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7326 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7327 = and(_T_7325, _T_7326) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7328 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7329 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7330 = and(_T_7328, _T_7329) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7331 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7332 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7333 = and(_T_7331, _T_7332) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7334 = or(_T_7330, _T_7333) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7335 = or(_T_7334, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7336 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7337 = and(_T_7335, _T_7336) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7338 = bits(_T_7337, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][42] <= _T_7322 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7323 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7324 = eq(_T_7323, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7325 = and(ic_valid_ff, _T_7324) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7326 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7327 = and(_T_7325, _T_7326) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7328 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7329 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7330 = and(_T_7328, _T_7329) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7331 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7332 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7333 = and(_T_7331, _T_7332) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7334 = or(_T_7330, _T_7333) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7335 = or(_T_7334, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7336 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7337 = and(_T_7335, _T_7336) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7338 = bits(_T_7337, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7339 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7338 : @[Reg.scala 28:19] _T_7339 <= _T_7327 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][43] <= _T_7339 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7340 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7341 = eq(_T_7340, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7342 = and(ic_valid_ff, _T_7341) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7343 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7344 = and(_T_7342, _T_7343) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7345 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7346 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7347 = and(_T_7345, _T_7346) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7348 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7349 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7350 = and(_T_7348, _T_7349) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7351 = or(_T_7347, _T_7350) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7352 = or(_T_7351, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7353 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7354 = and(_T_7352, _T_7353) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7355 = bits(_T_7354, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][43] <= _T_7339 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7340 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7341 = eq(_T_7340, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7342 = and(ic_valid_ff, _T_7341) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7343 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7344 = and(_T_7342, _T_7343) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7345 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7346 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7347 = and(_T_7345, _T_7346) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7348 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7349 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7350 = and(_T_7348, _T_7349) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7351 = or(_T_7347, _T_7350) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7352 = or(_T_7351, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7353 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7354 = and(_T_7352, _T_7353) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7355 = bits(_T_7354, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7356 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7355 : @[Reg.scala 28:19] _T_7356 <= _T_7344 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][44] <= _T_7356 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7357 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7358 = eq(_T_7357, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7359 = and(ic_valid_ff, _T_7358) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7360 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7361 = and(_T_7359, _T_7360) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7362 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7363 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7364 = and(_T_7362, _T_7363) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7365 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7366 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7367 = and(_T_7365, _T_7366) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7368 = or(_T_7364, _T_7367) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7369 = or(_T_7368, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7370 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7371 = and(_T_7369, _T_7370) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7372 = bits(_T_7371, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][44] <= _T_7356 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7357 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7358 = eq(_T_7357, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7359 = and(ic_valid_ff, _T_7358) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7360 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7361 = and(_T_7359, _T_7360) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7362 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7363 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7364 = and(_T_7362, _T_7363) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7365 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7366 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7367 = and(_T_7365, _T_7366) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7368 = or(_T_7364, _T_7367) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7369 = or(_T_7368, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7370 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7371 = and(_T_7369, _T_7370) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7372 = bits(_T_7371, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7373 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7372 : @[Reg.scala 28:19] _T_7373 <= _T_7361 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][45] <= _T_7373 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7374 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7375 = eq(_T_7374, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7376 = and(ic_valid_ff, _T_7375) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7377 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7378 = and(_T_7376, _T_7377) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7379 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7380 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7381 = and(_T_7379, _T_7380) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7382 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7383 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7384 = and(_T_7382, _T_7383) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7385 = or(_T_7381, _T_7384) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7386 = or(_T_7385, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7387 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7388 = and(_T_7386, _T_7387) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7389 = bits(_T_7388, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][45] <= _T_7373 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7374 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7375 = eq(_T_7374, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7376 = and(ic_valid_ff, _T_7375) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7377 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7378 = and(_T_7376, _T_7377) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7379 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7380 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7381 = and(_T_7379, _T_7380) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7382 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7383 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7384 = and(_T_7382, _T_7383) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7385 = or(_T_7381, _T_7384) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7386 = or(_T_7385, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7387 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7388 = and(_T_7386, _T_7387) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7389 = bits(_T_7388, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7390 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7389 : @[Reg.scala 28:19] _T_7390 <= _T_7378 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][46] <= _T_7390 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7391 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7392 = eq(_T_7391, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7393 = and(ic_valid_ff, _T_7392) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7394 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7395 = and(_T_7393, _T_7394) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7396 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7397 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7398 = and(_T_7396, _T_7397) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7399 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7400 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7401 = and(_T_7399, _T_7400) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7402 = or(_T_7398, _T_7401) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7403 = or(_T_7402, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7404 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7405 = and(_T_7403, _T_7404) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7406 = bits(_T_7405, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][46] <= _T_7390 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7391 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7392 = eq(_T_7391, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7393 = and(ic_valid_ff, _T_7392) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7394 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7395 = and(_T_7393, _T_7394) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7396 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7397 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7398 = and(_T_7396, _T_7397) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7399 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7400 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7401 = and(_T_7399, _T_7400) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7402 = or(_T_7398, _T_7401) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7403 = or(_T_7402, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7404 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7405 = and(_T_7403, _T_7404) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7406 = bits(_T_7405, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7407 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7406 : @[Reg.scala 28:19] _T_7407 <= _T_7395 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][47] <= _T_7407 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7408 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7409 = eq(_T_7408, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7410 = and(ic_valid_ff, _T_7409) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7411 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7412 = and(_T_7410, _T_7411) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7413 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7414 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7415 = and(_T_7413, _T_7414) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7416 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7417 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7418 = and(_T_7416, _T_7417) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7419 = or(_T_7415, _T_7418) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7420 = or(_T_7419, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7421 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7422 = and(_T_7420, _T_7421) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7423 = bits(_T_7422, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][47] <= _T_7407 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7408 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7409 = eq(_T_7408, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7410 = and(ic_valid_ff, _T_7409) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7411 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7412 = and(_T_7410, _T_7411) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7413 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7414 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7415 = and(_T_7413, _T_7414) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7416 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7417 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7418 = and(_T_7416, _T_7417) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7419 = or(_T_7415, _T_7418) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7420 = or(_T_7419, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7421 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7422 = and(_T_7420, _T_7421) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7423 = bits(_T_7422, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7424 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7423 : @[Reg.scala 28:19] _T_7424 <= _T_7412 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][48] <= _T_7424 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7425 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7426 = eq(_T_7425, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7427 = and(ic_valid_ff, _T_7426) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7428 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7429 = and(_T_7427, _T_7428) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7430 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7431 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7432 = and(_T_7430, _T_7431) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7433 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7434 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7435 = and(_T_7433, _T_7434) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7436 = or(_T_7432, _T_7435) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7437 = or(_T_7436, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7438 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7439 = and(_T_7437, _T_7438) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7440 = bits(_T_7439, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][48] <= _T_7424 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7425 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7426 = eq(_T_7425, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7427 = and(ic_valid_ff, _T_7426) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7428 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7429 = and(_T_7427, _T_7428) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7430 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7431 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7432 = and(_T_7430, _T_7431) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7433 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7434 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7435 = and(_T_7433, _T_7434) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7436 = or(_T_7432, _T_7435) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7437 = or(_T_7436, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7438 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7439 = and(_T_7437, _T_7438) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7440 = bits(_T_7439, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7441 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7440 : @[Reg.scala 28:19] _T_7441 <= _T_7429 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][49] <= _T_7441 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7442 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7443 = eq(_T_7442, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7444 = and(ic_valid_ff, _T_7443) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7445 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7446 = and(_T_7444, _T_7445) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7447 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7448 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7449 = and(_T_7447, _T_7448) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7450 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7451 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7452 = and(_T_7450, _T_7451) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7453 = or(_T_7449, _T_7452) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7454 = or(_T_7453, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7455 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7456 = and(_T_7454, _T_7455) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7457 = bits(_T_7456, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][49] <= _T_7441 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7442 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7443 = eq(_T_7442, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7444 = and(ic_valid_ff, _T_7443) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7445 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7446 = and(_T_7444, _T_7445) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7447 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7448 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7449 = and(_T_7447, _T_7448) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7450 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7451 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7452 = and(_T_7450, _T_7451) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7453 = or(_T_7449, _T_7452) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7454 = or(_T_7453, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7455 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7456 = and(_T_7454, _T_7455) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7457 = bits(_T_7456, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7458 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7457 : @[Reg.scala 28:19] _T_7458 <= _T_7446 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][50] <= _T_7458 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7459 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7460 = eq(_T_7459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7461 = and(ic_valid_ff, _T_7460) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7462 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7463 = and(_T_7461, _T_7462) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7464 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7465 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7466 = and(_T_7464, _T_7465) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7467 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7468 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7469 = and(_T_7467, _T_7468) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7470 = or(_T_7466, _T_7469) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7471 = or(_T_7470, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7472 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7473 = and(_T_7471, _T_7472) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7474 = bits(_T_7473, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][50] <= _T_7458 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7459 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7460 = eq(_T_7459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7461 = and(ic_valid_ff, _T_7460) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7462 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7463 = and(_T_7461, _T_7462) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7464 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7465 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7466 = and(_T_7464, _T_7465) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7467 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7468 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7469 = and(_T_7467, _T_7468) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7470 = or(_T_7466, _T_7469) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7471 = or(_T_7470, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7472 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7473 = and(_T_7471, _T_7472) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7474 = bits(_T_7473, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7475 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7474 : @[Reg.scala 28:19] _T_7475 <= _T_7463 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][51] <= _T_7475 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7476 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7477 = eq(_T_7476, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7478 = and(ic_valid_ff, _T_7477) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7479 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7480 = and(_T_7478, _T_7479) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7481 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7482 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7483 = and(_T_7481, _T_7482) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7484 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7485 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7486 = and(_T_7484, _T_7485) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7487 = or(_T_7483, _T_7486) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7488 = or(_T_7487, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7489 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7490 = and(_T_7488, _T_7489) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7491 = bits(_T_7490, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][51] <= _T_7475 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7476 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7477 = eq(_T_7476, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7478 = and(ic_valid_ff, _T_7477) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7479 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7480 = and(_T_7478, _T_7479) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7481 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7482 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7483 = and(_T_7481, _T_7482) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7484 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7485 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7486 = and(_T_7484, _T_7485) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7487 = or(_T_7483, _T_7486) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7488 = or(_T_7487, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7489 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7490 = and(_T_7488, _T_7489) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7491 = bits(_T_7490, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7492 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7491 : @[Reg.scala 28:19] _T_7492 <= _T_7480 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][52] <= _T_7492 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7493 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7494 = eq(_T_7493, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7495 = and(ic_valid_ff, _T_7494) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7496 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7497 = and(_T_7495, _T_7496) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7498 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7499 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7500 = and(_T_7498, _T_7499) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7501 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7502 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7503 = and(_T_7501, _T_7502) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7504 = or(_T_7500, _T_7503) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7505 = or(_T_7504, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7506 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7507 = and(_T_7505, _T_7506) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7508 = bits(_T_7507, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][52] <= _T_7492 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7493 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7494 = eq(_T_7493, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7495 = and(ic_valid_ff, _T_7494) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7496 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7497 = and(_T_7495, _T_7496) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7498 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7499 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7500 = and(_T_7498, _T_7499) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7501 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7502 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7503 = and(_T_7501, _T_7502) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7504 = or(_T_7500, _T_7503) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7505 = or(_T_7504, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7506 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7507 = and(_T_7505, _T_7506) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7508 = bits(_T_7507, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7509 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7508 : @[Reg.scala 28:19] _T_7509 <= _T_7497 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][53] <= _T_7509 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7510 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7511 = eq(_T_7510, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7512 = and(ic_valid_ff, _T_7511) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7513 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7514 = and(_T_7512, _T_7513) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7515 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7516 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7517 = and(_T_7515, _T_7516) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7518 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7519 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7520 = and(_T_7518, _T_7519) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7521 = or(_T_7517, _T_7520) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7522 = or(_T_7521, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7523 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7524 = and(_T_7522, _T_7523) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7525 = bits(_T_7524, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][53] <= _T_7509 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7510 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7511 = eq(_T_7510, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7512 = and(ic_valid_ff, _T_7511) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7513 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7514 = and(_T_7512, _T_7513) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7515 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7516 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7517 = and(_T_7515, _T_7516) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7518 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7519 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7520 = and(_T_7518, _T_7519) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7521 = or(_T_7517, _T_7520) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7522 = or(_T_7521, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7523 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7524 = and(_T_7522, _T_7523) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7525 = bits(_T_7524, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7526 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7525 : @[Reg.scala 28:19] _T_7526 <= _T_7514 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][54] <= _T_7526 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7527 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7528 = eq(_T_7527, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7529 = and(ic_valid_ff, _T_7528) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7530 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7531 = and(_T_7529, _T_7530) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7532 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7533 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7534 = and(_T_7532, _T_7533) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7535 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7536 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7537 = and(_T_7535, _T_7536) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7538 = or(_T_7534, _T_7537) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7539 = or(_T_7538, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7540 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7541 = and(_T_7539, _T_7540) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7542 = bits(_T_7541, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][54] <= _T_7526 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7527 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7528 = eq(_T_7527, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7529 = and(ic_valid_ff, _T_7528) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7530 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7531 = and(_T_7529, _T_7530) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7532 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7533 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7534 = and(_T_7532, _T_7533) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7535 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7536 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7537 = and(_T_7535, _T_7536) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7538 = or(_T_7534, _T_7537) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7539 = or(_T_7538, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7540 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7541 = and(_T_7539, _T_7540) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7542 = bits(_T_7541, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7543 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7542 : @[Reg.scala 28:19] _T_7543 <= _T_7531 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][55] <= _T_7543 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7544 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7545 = eq(_T_7544, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7546 = and(ic_valid_ff, _T_7545) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7547 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7548 = and(_T_7546, _T_7547) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7549 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7550 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7551 = and(_T_7549, _T_7550) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7552 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7553 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7554 = and(_T_7552, _T_7553) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7555 = or(_T_7551, _T_7554) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7556 = or(_T_7555, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7557 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7558 = and(_T_7556, _T_7557) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7559 = bits(_T_7558, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][55] <= _T_7543 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7544 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7545 = eq(_T_7544, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7546 = and(ic_valid_ff, _T_7545) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7547 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7548 = and(_T_7546, _T_7547) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7549 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7550 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7551 = and(_T_7549, _T_7550) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7552 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7553 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7554 = and(_T_7552, _T_7553) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7555 = or(_T_7551, _T_7554) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7556 = or(_T_7555, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7557 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7558 = and(_T_7556, _T_7557) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7559 = bits(_T_7558, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7560 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7559 : @[Reg.scala 28:19] _T_7560 <= _T_7548 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][56] <= _T_7560 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7561 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7562 = eq(_T_7561, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7563 = and(ic_valid_ff, _T_7562) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7564 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7565 = and(_T_7563, _T_7564) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7566 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7567 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7568 = and(_T_7566, _T_7567) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7569 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7570 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7571 = and(_T_7569, _T_7570) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7572 = or(_T_7568, _T_7571) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7573 = or(_T_7572, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7574 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7575 = and(_T_7573, _T_7574) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7576 = bits(_T_7575, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][56] <= _T_7560 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7561 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7562 = eq(_T_7561, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7563 = and(ic_valid_ff, _T_7562) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7564 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7565 = and(_T_7563, _T_7564) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7566 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7567 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7568 = and(_T_7566, _T_7567) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7569 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7570 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7571 = and(_T_7569, _T_7570) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7572 = or(_T_7568, _T_7571) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7573 = or(_T_7572, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7574 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7575 = and(_T_7573, _T_7574) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7576 = bits(_T_7575, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7577 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7576 : @[Reg.scala 28:19] _T_7577 <= _T_7565 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][57] <= _T_7577 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7578 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7579 = eq(_T_7578, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7580 = and(ic_valid_ff, _T_7579) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7581 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7582 = and(_T_7580, _T_7581) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7583 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7584 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7585 = and(_T_7583, _T_7584) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7586 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7587 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7588 = and(_T_7586, _T_7587) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7589 = or(_T_7585, _T_7588) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7590 = or(_T_7589, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7591 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7592 = and(_T_7590, _T_7591) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7593 = bits(_T_7592, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][57] <= _T_7577 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7578 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7579 = eq(_T_7578, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7580 = and(ic_valid_ff, _T_7579) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7581 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7582 = and(_T_7580, _T_7581) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7583 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7584 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7585 = and(_T_7583, _T_7584) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7586 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7587 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7588 = and(_T_7586, _T_7587) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7589 = or(_T_7585, _T_7588) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7590 = or(_T_7589, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7591 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7592 = and(_T_7590, _T_7591) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7593 = bits(_T_7592, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7594 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7593 : @[Reg.scala 28:19] _T_7594 <= _T_7582 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][58] <= _T_7594 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7595 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7596 = eq(_T_7595, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7597 = and(ic_valid_ff, _T_7596) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7598 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7599 = and(_T_7597, _T_7598) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7600 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7601 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7602 = and(_T_7600, _T_7601) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7603 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7604 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7605 = and(_T_7603, _T_7604) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7606 = or(_T_7602, _T_7605) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7607 = or(_T_7606, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7608 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7609 = and(_T_7607, _T_7608) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7610 = bits(_T_7609, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][58] <= _T_7594 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7595 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7596 = eq(_T_7595, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7597 = and(ic_valid_ff, _T_7596) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7598 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7599 = and(_T_7597, _T_7598) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7600 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7601 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7602 = and(_T_7600, _T_7601) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7603 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7604 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7605 = and(_T_7603, _T_7604) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7606 = or(_T_7602, _T_7605) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7607 = or(_T_7606, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7608 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7609 = and(_T_7607, _T_7608) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7610 = bits(_T_7609, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7611 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7610 : @[Reg.scala 28:19] _T_7611 <= _T_7599 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][59] <= _T_7611 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7612 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7613 = eq(_T_7612, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7614 = and(ic_valid_ff, _T_7613) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7615 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7616 = and(_T_7614, _T_7615) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7617 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7618 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7619 = and(_T_7617, _T_7618) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7620 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7621 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7622 = and(_T_7620, _T_7621) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7623 = or(_T_7619, _T_7622) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7624 = or(_T_7623, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7625 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7626 = and(_T_7624, _T_7625) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7627 = bits(_T_7626, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][59] <= _T_7611 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7612 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7613 = eq(_T_7612, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7614 = and(ic_valid_ff, _T_7613) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7615 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7616 = and(_T_7614, _T_7615) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7617 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7618 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7619 = and(_T_7617, _T_7618) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7620 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7621 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7622 = and(_T_7620, _T_7621) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7623 = or(_T_7619, _T_7622) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7624 = or(_T_7623, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7625 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7626 = and(_T_7624, _T_7625) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7627 = bits(_T_7626, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7628 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7627 : @[Reg.scala 28:19] _T_7628 <= _T_7616 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][60] <= _T_7628 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7629 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7630 = eq(_T_7629, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7631 = and(ic_valid_ff, _T_7630) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7632 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7633 = and(_T_7631, _T_7632) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7634 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7635 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7636 = and(_T_7634, _T_7635) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7637 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7638 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7639 = and(_T_7637, _T_7638) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7640 = or(_T_7636, _T_7639) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7641 = or(_T_7640, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7642 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7643 = and(_T_7641, _T_7642) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7644 = bits(_T_7643, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][60] <= _T_7628 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7629 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7630 = eq(_T_7629, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7631 = and(ic_valid_ff, _T_7630) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7632 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7633 = and(_T_7631, _T_7632) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7634 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7635 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7636 = and(_T_7634, _T_7635) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7637 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7638 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7639 = and(_T_7637, _T_7638) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7640 = or(_T_7636, _T_7639) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7641 = or(_T_7640, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7642 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7643 = and(_T_7641, _T_7642) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7644 = bits(_T_7643, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7645 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7644 : @[Reg.scala 28:19] _T_7645 <= _T_7633 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][61] <= _T_7645 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7646 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7647 = eq(_T_7646, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7648 = and(ic_valid_ff, _T_7647) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7649 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7650 = and(_T_7648, _T_7649) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7651 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7652 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7653 = and(_T_7651, _T_7652) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7654 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7655 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7656 = and(_T_7654, _T_7655) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7657 = or(_T_7653, _T_7656) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7658 = or(_T_7657, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7659 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7660 = and(_T_7658, _T_7659) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7661 = bits(_T_7660, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][61] <= _T_7645 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7646 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7647 = eq(_T_7646, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7648 = and(ic_valid_ff, _T_7647) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7649 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7650 = and(_T_7648, _T_7649) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7651 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7652 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7653 = and(_T_7651, _T_7652) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7654 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7655 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7656 = and(_T_7654, _T_7655) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7657 = or(_T_7653, _T_7656) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7658 = or(_T_7657, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7659 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7660 = and(_T_7658, _T_7659) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7661 = bits(_T_7660, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7662 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7661 : @[Reg.scala 28:19] _T_7662 <= _T_7650 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][62] <= _T_7662 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7663 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7664 = eq(_T_7663, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7665 = and(ic_valid_ff, _T_7664) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7666 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7667 = and(_T_7665, _T_7666) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7668 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7669 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7670 = and(_T_7668, _T_7669) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7671 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7672 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7673 = and(_T_7671, _T_7672) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7674 = or(_T_7670, _T_7673) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7675 = or(_T_7674, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7676 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7677 = and(_T_7675, _T_7676) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7678 = bits(_T_7677, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][62] <= _T_7662 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7663 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7664 = eq(_T_7663, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7665 = and(ic_valid_ff, _T_7664) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7666 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7667 = and(_T_7665, _T_7666) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7668 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7669 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7670 = and(_T_7668, _T_7669) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7671 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7672 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7673 = and(_T_7671, _T_7672) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7674 = or(_T_7670, _T_7673) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7675 = or(_T_7674, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7676 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7677 = and(_T_7675, _T_7676) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7678 = bits(_T_7677, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7679 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7678 : @[Reg.scala 28:19] _T_7679 <= _T_7667 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][63] <= _T_7679 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7680 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7681 = eq(_T_7680, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7682 = and(ic_valid_ff, _T_7681) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7683 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7684 = and(_T_7682, _T_7683) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7685 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7686 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7687 = and(_T_7685, _T_7686) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7688 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7689 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7690 = and(_T_7688, _T_7689) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7691 = or(_T_7687, _T_7690) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7692 = or(_T_7691, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7693 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7694 = and(_T_7692, _T_7693) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7695 = bits(_T_7694, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][63] <= _T_7679 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7680 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7681 = eq(_T_7680, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7682 = and(ic_valid_ff, _T_7681) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7683 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7684 = and(_T_7682, _T_7683) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7685 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7686 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7687 = and(_T_7685, _T_7686) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7688 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7689 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7690 = and(_T_7688, _T_7689) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7691 = or(_T_7687, _T_7690) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7692 = or(_T_7691, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7693 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7694 = and(_T_7692, _T_7693) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7695 = bits(_T_7694, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7696 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7695 : @[Reg.scala 28:19] _T_7696 <= _T_7684 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][64] <= _T_7696 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7697 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7698 = eq(_T_7697, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7699 = and(ic_valid_ff, _T_7698) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7700 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7701 = and(_T_7699, _T_7700) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7702 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7703 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7704 = and(_T_7702, _T_7703) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7705 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7706 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7707 = and(_T_7705, _T_7706) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7708 = or(_T_7704, _T_7707) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7709 = or(_T_7708, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7710 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7711 = and(_T_7709, _T_7710) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7712 = bits(_T_7711, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][64] <= _T_7696 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7697 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7698 = eq(_T_7697, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7699 = and(ic_valid_ff, _T_7698) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7700 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7701 = and(_T_7699, _T_7700) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7702 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7703 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7704 = and(_T_7702, _T_7703) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7705 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7706 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7707 = and(_T_7705, _T_7706) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7708 = or(_T_7704, _T_7707) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7709 = or(_T_7708, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7710 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7711 = and(_T_7709, _T_7710) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7712 = bits(_T_7711, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7713 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7712 : @[Reg.scala 28:19] _T_7713 <= _T_7701 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][65] <= _T_7713 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7714 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7715 = eq(_T_7714, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7716 = and(ic_valid_ff, _T_7715) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7717 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7718 = and(_T_7716, _T_7717) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7719 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7720 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7721 = and(_T_7719, _T_7720) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7722 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7723 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7724 = and(_T_7722, _T_7723) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7725 = or(_T_7721, _T_7724) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7726 = or(_T_7725, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7727 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7728 = and(_T_7726, _T_7727) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7729 = bits(_T_7728, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][65] <= _T_7713 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7714 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7715 = eq(_T_7714, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7716 = and(ic_valid_ff, _T_7715) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7717 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7718 = and(_T_7716, _T_7717) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7719 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7720 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7721 = and(_T_7719, _T_7720) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7722 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7723 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7724 = and(_T_7722, _T_7723) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7725 = or(_T_7721, _T_7724) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7726 = or(_T_7725, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7727 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7728 = and(_T_7726, _T_7727) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7729 = bits(_T_7728, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7730 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7729 : @[Reg.scala 28:19] _T_7730 <= _T_7718 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][66] <= _T_7730 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7731 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7732 = eq(_T_7731, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7733 = and(ic_valid_ff, _T_7732) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7734 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7735 = and(_T_7733, _T_7734) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7736 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7737 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7738 = and(_T_7736, _T_7737) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7739 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7740 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7741 = and(_T_7739, _T_7740) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7742 = or(_T_7738, _T_7741) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7743 = or(_T_7742, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7744 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7745 = and(_T_7743, _T_7744) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7746 = bits(_T_7745, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][66] <= _T_7730 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7731 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7732 = eq(_T_7731, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7733 = and(ic_valid_ff, _T_7732) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7734 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7735 = and(_T_7733, _T_7734) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7736 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7737 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7738 = and(_T_7736, _T_7737) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7739 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7740 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7741 = and(_T_7739, _T_7740) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7742 = or(_T_7738, _T_7741) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7743 = or(_T_7742, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7744 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7745 = and(_T_7743, _T_7744) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7746 = bits(_T_7745, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7747 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7746 : @[Reg.scala 28:19] _T_7747 <= _T_7735 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][67] <= _T_7747 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7748 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7749 = eq(_T_7748, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7750 = and(ic_valid_ff, _T_7749) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7751 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7752 = and(_T_7750, _T_7751) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7753 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7754 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7755 = and(_T_7753, _T_7754) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7756 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7757 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7758 = and(_T_7756, _T_7757) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7759 = or(_T_7755, _T_7758) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7760 = or(_T_7759, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7761 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7762 = and(_T_7760, _T_7761) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7763 = bits(_T_7762, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][67] <= _T_7747 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7748 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7749 = eq(_T_7748, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7750 = and(ic_valid_ff, _T_7749) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7751 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7752 = and(_T_7750, _T_7751) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7753 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7754 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7755 = and(_T_7753, _T_7754) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7756 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7757 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7758 = and(_T_7756, _T_7757) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7759 = or(_T_7755, _T_7758) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7760 = or(_T_7759, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7761 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7762 = and(_T_7760, _T_7761) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7763 = bits(_T_7762, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7764 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7763 : @[Reg.scala 28:19] _T_7764 <= _T_7752 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][68] <= _T_7764 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7765 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7766 = eq(_T_7765, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7767 = and(ic_valid_ff, _T_7766) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7768 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7769 = and(_T_7767, _T_7768) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7770 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7771 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7772 = and(_T_7770, _T_7771) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7773 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7774 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7775 = and(_T_7773, _T_7774) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7776 = or(_T_7772, _T_7775) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7777 = or(_T_7776, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7778 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7779 = and(_T_7777, _T_7778) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7780 = bits(_T_7779, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][68] <= _T_7764 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7765 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7766 = eq(_T_7765, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7767 = and(ic_valid_ff, _T_7766) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7768 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7769 = and(_T_7767, _T_7768) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7770 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7771 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7772 = and(_T_7770, _T_7771) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7773 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7774 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7775 = and(_T_7773, _T_7774) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7776 = or(_T_7772, _T_7775) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7777 = or(_T_7776, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7778 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7779 = and(_T_7777, _T_7778) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7780 = bits(_T_7779, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7781 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7780 : @[Reg.scala 28:19] _T_7781 <= _T_7769 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][69] <= _T_7781 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7782 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7783 = eq(_T_7782, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7784 = and(ic_valid_ff, _T_7783) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7785 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7786 = and(_T_7784, _T_7785) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7787 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7788 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7789 = and(_T_7787, _T_7788) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7790 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7791 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7792 = and(_T_7790, _T_7791) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7793 = or(_T_7789, _T_7792) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7794 = or(_T_7793, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7795 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7796 = and(_T_7794, _T_7795) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7797 = bits(_T_7796, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][69] <= _T_7781 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7782 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7783 = eq(_T_7782, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7784 = and(ic_valid_ff, _T_7783) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7785 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7786 = and(_T_7784, _T_7785) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7787 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7788 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7789 = and(_T_7787, _T_7788) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7790 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7791 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7792 = and(_T_7790, _T_7791) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7793 = or(_T_7789, _T_7792) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7794 = or(_T_7793, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7795 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7796 = and(_T_7794, _T_7795) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7797 = bits(_T_7796, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7798 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7797 : @[Reg.scala 28:19] _T_7798 <= _T_7786 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][70] <= _T_7798 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7799 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7800 = eq(_T_7799, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7801 = and(ic_valid_ff, _T_7800) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7802 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7803 = and(_T_7801, _T_7802) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7804 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7805 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7806 = and(_T_7804, _T_7805) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7807 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7808 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7809 = and(_T_7807, _T_7808) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7810 = or(_T_7806, _T_7809) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7811 = or(_T_7810, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7812 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7813 = and(_T_7811, _T_7812) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7814 = bits(_T_7813, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][70] <= _T_7798 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7799 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7800 = eq(_T_7799, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7801 = and(ic_valid_ff, _T_7800) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7802 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7803 = and(_T_7801, _T_7802) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7804 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7805 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7806 = and(_T_7804, _T_7805) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7807 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7808 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7809 = and(_T_7807, _T_7808) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7810 = or(_T_7806, _T_7809) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7811 = or(_T_7810, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7812 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7813 = and(_T_7811, _T_7812) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7814 = bits(_T_7813, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7815 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7814 : @[Reg.scala 28:19] _T_7815 <= _T_7803 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][71] <= _T_7815 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7816 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7817 = eq(_T_7816, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7818 = and(ic_valid_ff, _T_7817) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7819 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7820 = and(_T_7818, _T_7819) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7821 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7822 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7823 = and(_T_7821, _T_7822) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7824 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7825 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7826 = and(_T_7824, _T_7825) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7827 = or(_T_7823, _T_7826) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7828 = or(_T_7827, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7829 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7830 = and(_T_7828, _T_7829) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7831 = bits(_T_7830, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][71] <= _T_7815 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7816 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7817 = eq(_T_7816, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7818 = and(ic_valid_ff, _T_7817) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7819 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7820 = and(_T_7818, _T_7819) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7821 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7822 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7823 = and(_T_7821, _T_7822) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7824 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7825 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7826 = and(_T_7824, _T_7825) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7827 = or(_T_7823, _T_7826) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7828 = or(_T_7827, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7829 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7830 = and(_T_7828, _T_7829) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7831 = bits(_T_7830, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7832 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7831 : @[Reg.scala 28:19] _T_7832 <= _T_7820 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][72] <= _T_7832 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7833 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7834 = eq(_T_7833, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7835 = and(ic_valid_ff, _T_7834) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7836 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7837 = and(_T_7835, _T_7836) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7838 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7839 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7840 = and(_T_7838, _T_7839) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7841 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7842 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7843 = and(_T_7841, _T_7842) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7844 = or(_T_7840, _T_7843) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7845 = or(_T_7844, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7846 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7847 = and(_T_7845, _T_7846) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7848 = bits(_T_7847, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][72] <= _T_7832 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7833 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7834 = eq(_T_7833, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7835 = and(ic_valid_ff, _T_7834) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7836 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7837 = and(_T_7835, _T_7836) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7838 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7839 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7840 = and(_T_7838, _T_7839) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7841 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7842 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7843 = and(_T_7841, _T_7842) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7844 = or(_T_7840, _T_7843) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7845 = or(_T_7844, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7846 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7847 = and(_T_7845, _T_7846) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7848 = bits(_T_7847, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7849 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7848 : @[Reg.scala 28:19] _T_7849 <= _T_7837 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][73] <= _T_7849 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7850 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7851 = eq(_T_7850, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7852 = and(ic_valid_ff, _T_7851) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7853 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7854 = and(_T_7852, _T_7853) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7855 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7856 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7857 = and(_T_7855, _T_7856) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7858 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7859 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7860 = and(_T_7858, _T_7859) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7861 = or(_T_7857, _T_7860) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7862 = or(_T_7861, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7863 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7864 = and(_T_7862, _T_7863) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7865 = bits(_T_7864, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][73] <= _T_7849 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7850 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7851 = eq(_T_7850, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7852 = and(ic_valid_ff, _T_7851) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7853 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7854 = and(_T_7852, _T_7853) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7855 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7856 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7857 = and(_T_7855, _T_7856) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7858 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7859 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7860 = and(_T_7858, _T_7859) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7861 = or(_T_7857, _T_7860) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7862 = or(_T_7861, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7863 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7864 = and(_T_7862, _T_7863) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7865 = bits(_T_7864, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7866 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7865 : @[Reg.scala 28:19] _T_7866 <= _T_7854 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][74] <= _T_7866 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7867 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7868 = eq(_T_7867, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7869 = and(ic_valid_ff, _T_7868) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7870 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7871 = and(_T_7869, _T_7870) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7873 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7874 = and(_T_7872, _T_7873) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7875 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7876 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7877 = and(_T_7875, _T_7876) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7878 = or(_T_7874, _T_7877) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7879 = or(_T_7878, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7880 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7881 = and(_T_7879, _T_7880) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7882 = bits(_T_7881, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][74] <= _T_7866 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7867 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7868 = eq(_T_7867, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7869 = and(ic_valid_ff, _T_7868) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7870 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7871 = and(_T_7869, _T_7870) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7873 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7874 = and(_T_7872, _T_7873) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7875 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7876 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7877 = and(_T_7875, _T_7876) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7878 = or(_T_7874, _T_7877) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7879 = or(_T_7878, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7880 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7881 = and(_T_7879, _T_7880) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7882 = bits(_T_7881, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7883 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7882 : @[Reg.scala 28:19] _T_7883 <= _T_7871 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][75] <= _T_7883 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7884 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7885 = eq(_T_7884, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7886 = and(ic_valid_ff, _T_7885) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7887 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7888 = and(_T_7886, _T_7887) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7889 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7890 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7891 = and(_T_7889, _T_7890) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7892 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7893 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7894 = and(_T_7892, _T_7893) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7895 = or(_T_7891, _T_7894) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7896 = or(_T_7895, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7897 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7898 = and(_T_7896, _T_7897) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7899 = bits(_T_7898, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][75] <= _T_7883 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7884 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7885 = eq(_T_7884, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7886 = and(ic_valid_ff, _T_7885) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7887 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7888 = and(_T_7886, _T_7887) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7889 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7890 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7891 = and(_T_7889, _T_7890) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7892 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7893 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7894 = and(_T_7892, _T_7893) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7895 = or(_T_7891, _T_7894) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7896 = or(_T_7895, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7897 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7898 = and(_T_7896, _T_7897) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7899 = bits(_T_7898, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7900 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7899 : @[Reg.scala 28:19] _T_7900 <= _T_7888 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][76] <= _T_7900 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7901 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7902 = eq(_T_7901, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7903 = and(ic_valid_ff, _T_7902) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7904 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7905 = and(_T_7903, _T_7904) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7906 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7907 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7908 = and(_T_7906, _T_7907) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7909 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7910 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7911 = and(_T_7909, _T_7910) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7912 = or(_T_7908, _T_7911) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7913 = or(_T_7912, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7914 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7915 = and(_T_7913, _T_7914) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7916 = bits(_T_7915, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][76] <= _T_7900 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7901 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7902 = eq(_T_7901, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7903 = and(ic_valid_ff, _T_7902) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7904 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7905 = and(_T_7903, _T_7904) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7906 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7907 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7908 = and(_T_7906, _T_7907) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7909 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7910 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7911 = and(_T_7909, _T_7910) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7912 = or(_T_7908, _T_7911) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7913 = or(_T_7912, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7914 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7915 = and(_T_7913, _T_7914) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7916 = bits(_T_7915, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7917 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7916 : @[Reg.scala 28:19] _T_7917 <= _T_7905 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][77] <= _T_7917 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7918 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7919 = eq(_T_7918, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7920 = and(ic_valid_ff, _T_7919) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7921 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7922 = and(_T_7920, _T_7921) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7923 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7924 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7925 = and(_T_7923, _T_7924) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7926 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7927 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7928 = and(_T_7926, _T_7927) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7929 = or(_T_7925, _T_7928) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7930 = or(_T_7929, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7931 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7932 = and(_T_7930, _T_7931) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7933 = bits(_T_7932, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][77] <= _T_7917 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7918 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7919 = eq(_T_7918, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7920 = and(ic_valid_ff, _T_7919) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7921 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7922 = and(_T_7920, _T_7921) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7923 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7924 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7925 = and(_T_7923, _T_7924) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7926 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7927 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7928 = and(_T_7926, _T_7927) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7929 = or(_T_7925, _T_7928) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7930 = or(_T_7929, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7931 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7932 = and(_T_7930, _T_7931) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7933 = bits(_T_7932, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7934 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7933 : @[Reg.scala 28:19] _T_7934 <= _T_7922 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][78] <= _T_7934 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7935 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7936 = eq(_T_7935, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7937 = and(ic_valid_ff, _T_7936) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7938 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7939 = and(_T_7937, _T_7938) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7940 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7941 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7942 = and(_T_7940, _T_7941) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7943 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7944 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7945 = and(_T_7943, _T_7944) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7946 = or(_T_7942, _T_7945) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7947 = or(_T_7946, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7948 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7949 = and(_T_7947, _T_7948) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7950 = bits(_T_7949, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][78] <= _T_7934 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7935 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7936 = eq(_T_7935, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7937 = and(ic_valid_ff, _T_7936) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7938 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7939 = and(_T_7937, _T_7938) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7940 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7941 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7942 = and(_T_7940, _T_7941) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7943 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7944 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7945 = and(_T_7943, _T_7944) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7946 = or(_T_7942, _T_7945) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7947 = or(_T_7946, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7948 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7949 = and(_T_7947, _T_7948) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7950 = bits(_T_7949, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7951 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7950 : @[Reg.scala 28:19] _T_7951 <= _T_7939 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][79] <= _T_7951 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7952 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7953 = eq(_T_7952, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7954 = and(ic_valid_ff, _T_7953) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7955 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7956 = and(_T_7954, _T_7955) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7957 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7958 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7959 = and(_T_7957, _T_7958) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7960 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7961 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7962 = and(_T_7960, _T_7961) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7963 = or(_T_7959, _T_7962) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7964 = or(_T_7963, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7965 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7966 = and(_T_7964, _T_7965) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7967 = bits(_T_7966, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][79] <= _T_7951 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7952 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7953 = eq(_T_7952, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7954 = and(ic_valid_ff, _T_7953) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7955 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7956 = and(_T_7954, _T_7955) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7957 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7958 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7959 = and(_T_7957, _T_7958) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7960 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7961 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7962 = and(_T_7960, _T_7961) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7963 = or(_T_7959, _T_7962) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7964 = or(_T_7963, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7965 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7966 = and(_T_7964, _T_7965) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7967 = bits(_T_7966, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7968 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7967 : @[Reg.scala 28:19] _T_7968 <= _T_7956 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][80] <= _T_7968 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7969 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7970 = eq(_T_7969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7971 = and(ic_valid_ff, _T_7970) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7972 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7973 = and(_T_7971, _T_7972) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7974 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7975 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7976 = and(_T_7974, _T_7975) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7977 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7978 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7979 = and(_T_7977, _T_7978) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7980 = or(_T_7976, _T_7979) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7981 = or(_T_7980, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7982 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_7983 = and(_T_7981, _T_7982) @[el2_ifu_mem_ctl.scala 751:165] - node _T_7984 = bits(_T_7983, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][80] <= _T_7968 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7969 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7970 = eq(_T_7969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7971 = and(ic_valid_ff, _T_7970) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7972 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7973 = and(_T_7971, _T_7972) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7974 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7975 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7976 = and(_T_7974, _T_7975) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7977 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7978 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7979 = and(_T_7977, _T_7978) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7980 = or(_T_7976, _T_7979) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7981 = or(_T_7980, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7982 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_7983 = and(_T_7981, _T_7982) @[el2_ifu_mem_ctl.scala 752:165] + node _T_7984 = bits(_T_7983, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_7985 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7984 : @[Reg.scala 28:19] _T_7985 <= _T_7973 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][81] <= _T_7985 @[el2_ifu_mem_ctl.scala 750:41] - node _T_7986 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_7987 = eq(_T_7986, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_7988 = and(ic_valid_ff, _T_7987) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7989 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_7990 = and(_T_7988, _T_7989) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7991 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_7992 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_7993 = and(_T_7991, _T_7992) @[el2_ifu_mem_ctl.scala 751:59] - node _T_7994 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_7995 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_7996 = and(_T_7994, _T_7995) @[el2_ifu_mem_ctl.scala 751:124] - node _T_7997 = or(_T_7993, _T_7996) @[el2_ifu_mem_ctl.scala 751:81] - node _T_7998 = or(_T_7997, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_7999 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8000 = and(_T_7998, _T_7999) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8001 = bits(_T_8000, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][81] <= _T_7985 @[el2_ifu_mem_ctl.scala 751:41] + node _T_7986 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_7987 = eq(_T_7986, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_7988 = and(ic_valid_ff, _T_7987) @[el2_ifu_mem_ctl.scala 751:66] + node _T_7989 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_7990 = and(_T_7988, _T_7989) @[el2_ifu_mem_ctl.scala 751:91] + node _T_7991 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_7992 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_7993 = and(_T_7991, _T_7992) @[el2_ifu_mem_ctl.scala 752:59] + node _T_7994 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_7995 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_7996 = and(_T_7994, _T_7995) @[el2_ifu_mem_ctl.scala 752:124] + node _T_7997 = or(_T_7993, _T_7996) @[el2_ifu_mem_ctl.scala 752:81] + node _T_7998 = or(_T_7997, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_7999 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8000 = and(_T_7998, _T_7999) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8001 = bits(_T_8000, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8002 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8001 : @[Reg.scala 28:19] _T_8002 <= _T_7990 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][82] <= _T_8002 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8003 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8004 = eq(_T_8003, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8005 = and(ic_valid_ff, _T_8004) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8006 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8007 = and(_T_8005, _T_8006) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8008 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8009 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8010 = and(_T_8008, _T_8009) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8011 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8012 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8013 = and(_T_8011, _T_8012) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8014 = or(_T_8010, _T_8013) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8015 = or(_T_8014, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8016 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8017 = and(_T_8015, _T_8016) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8018 = bits(_T_8017, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][82] <= _T_8002 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8003 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8004 = eq(_T_8003, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8005 = and(ic_valid_ff, _T_8004) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8006 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8007 = and(_T_8005, _T_8006) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8008 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8009 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8010 = and(_T_8008, _T_8009) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8011 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8012 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8013 = and(_T_8011, _T_8012) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8014 = or(_T_8010, _T_8013) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8015 = or(_T_8014, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8016 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8017 = and(_T_8015, _T_8016) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8018 = bits(_T_8017, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8019 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8018 : @[Reg.scala 28:19] _T_8019 <= _T_8007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][83] <= _T_8019 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8020 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8021 = eq(_T_8020, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8022 = and(ic_valid_ff, _T_8021) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8023 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8024 = and(_T_8022, _T_8023) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8025 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8026 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8027 = and(_T_8025, _T_8026) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8028 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8029 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8030 = and(_T_8028, _T_8029) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8031 = or(_T_8027, _T_8030) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8032 = or(_T_8031, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8033 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8034 = and(_T_8032, _T_8033) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8035 = bits(_T_8034, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][83] <= _T_8019 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8020 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8021 = eq(_T_8020, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8022 = and(ic_valid_ff, _T_8021) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8023 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8024 = and(_T_8022, _T_8023) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8025 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8026 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8027 = and(_T_8025, _T_8026) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8028 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8029 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8030 = and(_T_8028, _T_8029) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8031 = or(_T_8027, _T_8030) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8032 = or(_T_8031, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8033 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8034 = and(_T_8032, _T_8033) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8035 = bits(_T_8034, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8036 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8035 : @[Reg.scala 28:19] _T_8036 <= _T_8024 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][84] <= _T_8036 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8037 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8038 = eq(_T_8037, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8039 = and(ic_valid_ff, _T_8038) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8040 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8041 = and(_T_8039, _T_8040) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8042 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8043 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8044 = and(_T_8042, _T_8043) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8045 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8046 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8047 = and(_T_8045, _T_8046) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8048 = or(_T_8044, _T_8047) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8049 = or(_T_8048, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8050 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8051 = and(_T_8049, _T_8050) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8052 = bits(_T_8051, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][84] <= _T_8036 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8037 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8038 = eq(_T_8037, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8039 = and(ic_valid_ff, _T_8038) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8040 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8041 = and(_T_8039, _T_8040) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8042 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8043 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8044 = and(_T_8042, _T_8043) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8045 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8046 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8047 = and(_T_8045, _T_8046) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8048 = or(_T_8044, _T_8047) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8049 = or(_T_8048, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8050 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8051 = and(_T_8049, _T_8050) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8052 = bits(_T_8051, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8053 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8052 : @[Reg.scala 28:19] _T_8053 <= _T_8041 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][85] <= _T_8053 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8054 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8055 = eq(_T_8054, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8056 = and(ic_valid_ff, _T_8055) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8057 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8058 = and(_T_8056, _T_8057) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8059 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8060 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8061 = and(_T_8059, _T_8060) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8062 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8063 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8064 = and(_T_8062, _T_8063) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8065 = or(_T_8061, _T_8064) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8066 = or(_T_8065, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8067 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8068 = and(_T_8066, _T_8067) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8069 = bits(_T_8068, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][85] <= _T_8053 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8054 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8055 = eq(_T_8054, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8056 = and(ic_valid_ff, _T_8055) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8057 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8058 = and(_T_8056, _T_8057) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8059 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8060 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8061 = and(_T_8059, _T_8060) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8062 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8063 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8064 = and(_T_8062, _T_8063) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8065 = or(_T_8061, _T_8064) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8066 = or(_T_8065, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8067 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8068 = and(_T_8066, _T_8067) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8069 = bits(_T_8068, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8070 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8069 : @[Reg.scala 28:19] _T_8070 <= _T_8058 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][86] <= _T_8070 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8071 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8072 = eq(_T_8071, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8073 = and(ic_valid_ff, _T_8072) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8074 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8075 = and(_T_8073, _T_8074) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8076 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8077 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8078 = and(_T_8076, _T_8077) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8079 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8080 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8081 = and(_T_8079, _T_8080) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8082 = or(_T_8078, _T_8081) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8083 = or(_T_8082, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8084 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8085 = and(_T_8083, _T_8084) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8086 = bits(_T_8085, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][86] <= _T_8070 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8071 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8072 = eq(_T_8071, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8073 = and(ic_valid_ff, _T_8072) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8074 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8075 = and(_T_8073, _T_8074) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8076 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8077 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8078 = and(_T_8076, _T_8077) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8079 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8080 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8081 = and(_T_8079, _T_8080) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8082 = or(_T_8078, _T_8081) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8083 = or(_T_8082, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8084 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8085 = and(_T_8083, _T_8084) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8086 = bits(_T_8085, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8087 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8086 : @[Reg.scala 28:19] _T_8087 <= _T_8075 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][87] <= _T_8087 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8088 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8089 = eq(_T_8088, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8090 = and(ic_valid_ff, _T_8089) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8091 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8092 = and(_T_8090, _T_8091) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8093 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8094 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8095 = and(_T_8093, _T_8094) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8096 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8097 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8098 = and(_T_8096, _T_8097) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8099 = or(_T_8095, _T_8098) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8100 = or(_T_8099, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8101 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8102 = and(_T_8100, _T_8101) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8103 = bits(_T_8102, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][87] <= _T_8087 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8088 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8089 = eq(_T_8088, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8090 = and(ic_valid_ff, _T_8089) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8091 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8092 = and(_T_8090, _T_8091) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8093 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8094 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8095 = and(_T_8093, _T_8094) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8096 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8097 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8098 = and(_T_8096, _T_8097) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8099 = or(_T_8095, _T_8098) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8100 = or(_T_8099, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8101 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8102 = and(_T_8100, _T_8101) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8103 = bits(_T_8102, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8104 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8103 : @[Reg.scala 28:19] _T_8104 <= _T_8092 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][88] <= _T_8104 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8105 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8106 = eq(_T_8105, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8107 = and(ic_valid_ff, _T_8106) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8108 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8109 = and(_T_8107, _T_8108) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8110 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8111 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8112 = and(_T_8110, _T_8111) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8113 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8114 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8115 = and(_T_8113, _T_8114) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8116 = or(_T_8112, _T_8115) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8117 = or(_T_8116, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8118 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8119 = and(_T_8117, _T_8118) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8120 = bits(_T_8119, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][88] <= _T_8104 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8105 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8106 = eq(_T_8105, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8107 = and(ic_valid_ff, _T_8106) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8108 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8109 = and(_T_8107, _T_8108) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8110 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8111 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8112 = and(_T_8110, _T_8111) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8113 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8114 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8115 = and(_T_8113, _T_8114) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8116 = or(_T_8112, _T_8115) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8117 = or(_T_8116, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8118 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8119 = and(_T_8117, _T_8118) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8120 = bits(_T_8119, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8121 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8120 : @[Reg.scala 28:19] _T_8121 <= _T_8109 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][89] <= _T_8121 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8122 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8123 = eq(_T_8122, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8124 = and(ic_valid_ff, _T_8123) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8125 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8126 = and(_T_8124, _T_8125) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8127 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8128 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8129 = and(_T_8127, _T_8128) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8130 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8131 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8132 = and(_T_8130, _T_8131) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8133 = or(_T_8129, _T_8132) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8134 = or(_T_8133, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8135 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8136 = and(_T_8134, _T_8135) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8137 = bits(_T_8136, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][89] <= _T_8121 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8122 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8123 = eq(_T_8122, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8124 = and(ic_valid_ff, _T_8123) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8125 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8126 = and(_T_8124, _T_8125) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8127 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8128 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8129 = and(_T_8127, _T_8128) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8130 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8131 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8132 = and(_T_8130, _T_8131) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8133 = or(_T_8129, _T_8132) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8134 = or(_T_8133, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8135 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8136 = and(_T_8134, _T_8135) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8137 = bits(_T_8136, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8138 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8137 : @[Reg.scala 28:19] _T_8138 <= _T_8126 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][90] <= _T_8138 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8139 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8140 = eq(_T_8139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8141 = and(ic_valid_ff, _T_8140) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8142 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8143 = and(_T_8141, _T_8142) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8144 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8145 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8146 = and(_T_8144, _T_8145) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8147 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8148 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8149 = and(_T_8147, _T_8148) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8150 = or(_T_8146, _T_8149) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8151 = or(_T_8150, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8152 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8153 = and(_T_8151, _T_8152) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8154 = bits(_T_8153, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][90] <= _T_8138 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8139 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8140 = eq(_T_8139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8141 = and(ic_valid_ff, _T_8140) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8142 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8143 = and(_T_8141, _T_8142) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8144 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8145 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8146 = and(_T_8144, _T_8145) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8147 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8148 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8149 = and(_T_8147, _T_8148) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8150 = or(_T_8146, _T_8149) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8151 = or(_T_8150, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8152 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8153 = and(_T_8151, _T_8152) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8154 = bits(_T_8153, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8155 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8154 : @[Reg.scala 28:19] _T_8155 <= _T_8143 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][91] <= _T_8155 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8156 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8157 = eq(_T_8156, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8158 = and(ic_valid_ff, _T_8157) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8159 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8160 = and(_T_8158, _T_8159) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8161 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8162 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8163 = and(_T_8161, _T_8162) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8164 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8165 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8166 = and(_T_8164, _T_8165) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8167 = or(_T_8163, _T_8166) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8168 = or(_T_8167, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8169 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8170 = and(_T_8168, _T_8169) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8171 = bits(_T_8170, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][91] <= _T_8155 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8156 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8157 = eq(_T_8156, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8158 = and(ic_valid_ff, _T_8157) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8159 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8160 = and(_T_8158, _T_8159) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8161 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8162 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8163 = and(_T_8161, _T_8162) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8164 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8165 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8166 = and(_T_8164, _T_8165) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8167 = or(_T_8163, _T_8166) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8168 = or(_T_8167, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8169 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8170 = and(_T_8168, _T_8169) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8171 = bits(_T_8170, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8172 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8171 : @[Reg.scala 28:19] _T_8172 <= _T_8160 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][92] <= _T_8172 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8173 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8174 = eq(_T_8173, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8175 = and(ic_valid_ff, _T_8174) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8176 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8177 = and(_T_8175, _T_8176) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8178 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8179 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8180 = and(_T_8178, _T_8179) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8181 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8182 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8183 = and(_T_8181, _T_8182) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8184 = or(_T_8180, _T_8183) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8185 = or(_T_8184, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8186 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8187 = and(_T_8185, _T_8186) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8188 = bits(_T_8187, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][92] <= _T_8172 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8173 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8174 = eq(_T_8173, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8175 = and(ic_valid_ff, _T_8174) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8176 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8177 = and(_T_8175, _T_8176) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8178 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8179 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8180 = and(_T_8178, _T_8179) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8181 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8182 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8183 = and(_T_8181, _T_8182) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8184 = or(_T_8180, _T_8183) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8185 = or(_T_8184, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8186 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8187 = and(_T_8185, _T_8186) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8188 = bits(_T_8187, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8189 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8188 : @[Reg.scala 28:19] _T_8189 <= _T_8177 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][93] <= _T_8189 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8190 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8191 = eq(_T_8190, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8192 = and(ic_valid_ff, _T_8191) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8193 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8194 = and(_T_8192, _T_8193) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8195 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8196 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8197 = and(_T_8195, _T_8196) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8198 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8199 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8200 = and(_T_8198, _T_8199) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8201 = or(_T_8197, _T_8200) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8202 = or(_T_8201, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8203 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8204 = and(_T_8202, _T_8203) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8205 = bits(_T_8204, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][93] <= _T_8189 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8190 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8191 = eq(_T_8190, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8192 = and(ic_valid_ff, _T_8191) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8193 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8194 = and(_T_8192, _T_8193) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8195 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8196 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8197 = and(_T_8195, _T_8196) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8198 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8199 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8200 = and(_T_8198, _T_8199) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8201 = or(_T_8197, _T_8200) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8202 = or(_T_8201, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8203 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8204 = and(_T_8202, _T_8203) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8205 = bits(_T_8204, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8206 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8205 : @[Reg.scala 28:19] _T_8206 <= _T_8194 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][94] <= _T_8206 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8207 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8208 = eq(_T_8207, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8209 = and(ic_valid_ff, _T_8208) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8210 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8211 = and(_T_8209, _T_8210) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8212 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8213 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8214 = and(_T_8212, _T_8213) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8215 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8216 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8217 = and(_T_8215, _T_8216) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8218 = or(_T_8214, _T_8217) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8219 = or(_T_8218, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8220 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8221 = and(_T_8219, _T_8220) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8222 = bits(_T_8221, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][94] <= _T_8206 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8207 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8208 = eq(_T_8207, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8209 = and(ic_valid_ff, _T_8208) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8210 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8211 = and(_T_8209, _T_8210) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8212 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8213 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8214 = and(_T_8212, _T_8213) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8215 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8216 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8217 = and(_T_8215, _T_8216) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8218 = or(_T_8214, _T_8217) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8219 = or(_T_8218, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8220 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8221 = and(_T_8219, _T_8220) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8222 = bits(_T_8221, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8223 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8222 : @[Reg.scala 28:19] _T_8223 <= _T_8211 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][95] <= _T_8223 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8224 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8225 = eq(_T_8224, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8226 = and(ic_valid_ff, _T_8225) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8227 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8228 = and(_T_8226, _T_8227) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8229 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8230 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8231 = and(_T_8229, _T_8230) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8232 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8233 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8234 = and(_T_8232, _T_8233) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8235 = or(_T_8231, _T_8234) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8236 = or(_T_8235, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8237 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8238 = and(_T_8236, _T_8237) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8239 = bits(_T_8238, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][95] <= _T_8223 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8224 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8225 = eq(_T_8224, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8226 = and(ic_valid_ff, _T_8225) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8227 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8228 = and(_T_8226, _T_8227) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8229 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8230 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8231 = and(_T_8229, _T_8230) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8232 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8233 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8234 = and(_T_8232, _T_8233) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8235 = or(_T_8231, _T_8234) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8236 = or(_T_8235, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8237 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8238 = and(_T_8236, _T_8237) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8239 = bits(_T_8238, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8240 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8239 : @[Reg.scala 28:19] _T_8240 <= _T_8228 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][64] <= _T_8240 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8241 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8242 = eq(_T_8241, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8243 = and(ic_valid_ff, _T_8242) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8244 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8245 = and(_T_8243, _T_8244) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8246 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8247 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8248 = and(_T_8246, _T_8247) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8249 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8250 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8251 = and(_T_8249, _T_8250) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8252 = or(_T_8248, _T_8251) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8253 = or(_T_8252, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8254 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8255 = and(_T_8253, _T_8254) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8256 = bits(_T_8255, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][64] <= _T_8240 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8241 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8242 = eq(_T_8241, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8243 = and(ic_valid_ff, _T_8242) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8244 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8245 = and(_T_8243, _T_8244) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8246 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8247 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8248 = and(_T_8246, _T_8247) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8249 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8250 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8251 = and(_T_8249, _T_8250) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8252 = or(_T_8248, _T_8251) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8253 = or(_T_8252, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8254 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8255 = and(_T_8253, _T_8254) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8256 = bits(_T_8255, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8257 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8256 : @[Reg.scala 28:19] _T_8257 <= _T_8245 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][65] <= _T_8257 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8258 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8259 = eq(_T_8258, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8260 = and(ic_valid_ff, _T_8259) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8261 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8262 = and(_T_8260, _T_8261) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8263 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8264 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8265 = and(_T_8263, _T_8264) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8266 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8267 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8268 = and(_T_8266, _T_8267) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8269 = or(_T_8265, _T_8268) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8270 = or(_T_8269, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8271 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8272 = and(_T_8270, _T_8271) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8273 = bits(_T_8272, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][65] <= _T_8257 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8258 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8259 = eq(_T_8258, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8260 = and(ic_valid_ff, _T_8259) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8261 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8262 = and(_T_8260, _T_8261) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8263 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8264 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8265 = and(_T_8263, _T_8264) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8266 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8267 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8268 = and(_T_8266, _T_8267) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8269 = or(_T_8265, _T_8268) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8270 = or(_T_8269, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8271 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8272 = and(_T_8270, _T_8271) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8273 = bits(_T_8272, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8274 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8273 : @[Reg.scala 28:19] _T_8274 <= _T_8262 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][66] <= _T_8274 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8275 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8276 = eq(_T_8275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8277 = and(ic_valid_ff, _T_8276) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8278 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8279 = and(_T_8277, _T_8278) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8280 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8281 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8282 = and(_T_8280, _T_8281) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8283 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8284 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8285 = and(_T_8283, _T_8284) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8286 = or(_T_8282, _T_8285) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8287 = or(_T_8286, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8288 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8289 = and(_T_8287, _T_8288) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8290 = bits(_T_8289, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][66] <= _T_8274 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8275 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8276 = eq(_T_8275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8277 = and(ic_valid_ff, _T_8276) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8278 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8279 = and(_T_8277, _T_8278) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8280 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8281 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8282 = and(_T_8280, _T_8281) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8283 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8284 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8285 = and(_T_8283, _T_8284) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8286 = or(_T_8282, _T_8285) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8287 = or(_T_8286, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8288 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8289 = and(_T_8287, _T_8288) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8290 = bits(_T_8289, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8291 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8290 : @[Reg.scala 28:19] _T_8291 <= _T_8279 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][67] <= _T_8291 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8292 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8293 = eq(_T_8292, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8294 = and(ic_valid_ff, _T_8293) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8295 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8296 = and(_T_8294, _T_8295) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8297 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8298 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8299 = and(_T_8297, _T_8298) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8300 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8301 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8302 = and(_T_8300, _T_8301) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8303 = or(_T_8299, _T_8302) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8304 = or(_T_8303, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8305 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8306 = and(_T_8304, _T_8305) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8307 = bits(_T_8306, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][67] <= _T_8291 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8292 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8293 = eq(_T_8292, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8294 = and(ic_valid_ff, _T_8293) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8295 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8296 = and(_T_8294, _T_8295) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8297 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8298 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8299 = and(_T_8297, _T_8298) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8300 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8301 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8302 = and(_T_8300, _T_8301) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8303 = or(_T_8299, _T_8302) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8304 = or(_T_8303, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8305 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8306 = and(_T_8304, _T_8305) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8307 = bits(_T_8306, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8308 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8307 : @[Reg.scala 28:19] _T_8308 <= _T_8296 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][68] <= _T_8308 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8309 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8310 = eq(_T_8309, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8311 = and(ic_valid_ff, _T_8310) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8312 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8313 = and(_T_8311, _T_8312) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8314 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8315 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8316 = and(_T_8314, _T_8315) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8317 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8318 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8319 = and(_T_8317, _T_8318) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8320 = or(_T_8316, _T_8319) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8321 = or(_T_8320, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8322 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8323 = and(_T_8321, _T_8322) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8324 = bits(_T_8323, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][68] <= _T_8308 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8309 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8310 = eq(_T_8309, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8311 = and(ic_valid_ff, _T_8310) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8312 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8313 = and(_T_8311, _T_8312) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8314 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8315 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8316 = and(_T_8314, _T_8315) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8317 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8318 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8319 = and(_T_8317, _T_8318) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8320 = or(_T_8316, _T_8319) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8321 = or(_T_8320, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8322 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8323 = and(_T_8321, _T_8322) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8324 = bits(_T_8323, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8325 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8324 : @[Reg.scala 28:19] _T_8325 <= _T_8313 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][69] <= _T_8325 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8326 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8327 = eq(_T_8326, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8328 = and(ic_valid_ff, _T_8327) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8329 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8330 = and(_T_8328, _T_8329) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8331 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8332 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8333 = and(_T_8331, _T_8332) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8334 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8335 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8336 = and(_T_8334, _T_8335) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8337 = or(_T_8333, _T_8336) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8338 = or(_T_8337, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8339 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8340 = and(_T_8338, _T_8339) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8341 = bits(_T_8340, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][69] <= _T_8325 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8326 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8327 = eq(_T_8326, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8328 = and(ic_valid_ff, _T_8327) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8329 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8330 = and(_T_8328, _T_8329) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8331 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8332 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8333 = and(_T_8331, _T_8332) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8334 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8335 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8336 = and(_T_8334, _T_8335) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8337 = or(_T_8333, _T_8336) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8338 = or(_T_8337, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8339 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8340 = and(_T_8338, _T_8339) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8341 = bits(_T_8340, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8342 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8341 : @[Reg.scala 28:19] _T_8342 <= _T_8330 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][70] <= _T_8342 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8343 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8344 = eq(_T_8343, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8345 = and(ic_valid_ff, _T_8344) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8346 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8347 = and(_T_8345, _T_8346) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8348 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8349 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8350 = and(_T_8348, _T_8349) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8351 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8352 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8353 = and(_T_8351, _T_8352) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8354 = or(_T_8350, _T_8353) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8355 = or(_T_8354, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8356 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8357 = and(_T_8355, _T_8356) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8358 = bits(_T_8357, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][70] <= _T_8342 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8343 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8344 = eq(_T_8343, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8345 = and(ic_valid_ff, _T_8344) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8346 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8347 = and(_T_8345, _T_8346) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8348 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8349 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8350 = and(_T_8348, _T_8349) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8351 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8352 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8353 = and(_T_8351, _T_8352) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8354 = or(_T_8350, _T_8353) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8355 = or(_T_8354, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8356 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8357 = and(_T_8355, _T_8356) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8358 = bits(_T_8357, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8359 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8358 : @[Reg.scala 28:19] _T_8359 <= _T_8347 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][71] <= _T_8359 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8360 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8361 = eq(_T_8360, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8362 = and(ic_valid_ff, _T_8361) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8363 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8364 = and(_T_8362, _T_8363) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8365 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8366 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8367 = and(_T_8365, _T_8366) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8368 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8369 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8370 = and(_T_8368, _T_8369) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8371 = or(_T_8367, _T_8370) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8372 = or(_T_8371, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8373 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8374 = and(_T_8372, _T_8373) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8375 = bits(_T_8374, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][71] <= _T_8359 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8360 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8361 = eq(_T_8360, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8362 = and(ic_valid_ff, _T_8361) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8363 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8364 = and(_T_8362, _T_8363) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8365 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8366 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8367 = and(_T_8365, _T_8366) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8368 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8369 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8370 = and(_T_8368, _T_8369) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8371 = or(_T_8367, _T_8370) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8372 = or(_T_8371, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8373 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8374 = and(_T_8372, _T_8373) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8375 = bits(_T_8374, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8376 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8375 : @[Reg.scala 28:19] _T_8376 <= _T_8364 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][72] <= _T_8376 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8377 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8378 = eq(_T_8377, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8379 = and(ic_valid_ff, _T_8378) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8380 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8381 = and(_T_8379, _T_8380) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8382 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8383 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8384 = and(_T_8382, _T_8383) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8385 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8386 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8387 = and(_T_8385, _T_8386) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8388 = or(_T_8384, _T_8387) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8389 = or(_T_8388, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8390 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8391 = and(_T_8389, _T_8390) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8392 = bits(_T_8391, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][72] <= _T_8376 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8377 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8378 = eq(_T_8377, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8379 = and(ic_valid_ff, _T_8378) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8380 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8381 = and(_T_8379, _T_8380) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8382 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8383 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8384 = and(_T_8382, _T_8383) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8385 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8386 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8387 = and(_T_8385, _T_8386) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8388 = or(_T_8384, _T_8387) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8389 = or(_T_8388, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8390 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8391 = and(_T_8389, _T_8390) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8392 = bits(_T_8391, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8393 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8392 : @[Reg.scala 28:19] _T_8393 <= _T_8381 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][73] <= _T_8393 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8394 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8395 = eq(_T_8394, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8396 = and(ic_valid_ff, _T_8395) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8397 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8398 = and(_T_8396, _T_8397) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8399 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8400 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8401 = and(_T_8399, _T_8400) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8402 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8403 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8404 = and(_T_8402, _T_8403) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8405 = or(_T_8401, _T_8404) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8406 = or(_T_8405, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8407 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8408 = and(_T_8406, _T_8407) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8409 = bits(_T_8408, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][73] <= _T_8393 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8394 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8395 = eq(_T_8394, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8396 = and(ic_valid_ff, _T_8395) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8397 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8398 = and(_T_8396, _T_8397) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8399 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8400 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8401 = and(_T_8399, _T_8400) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8402 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8403 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8404 = and(_T_8402, _T_8403) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8405 = or(_T_8401, _T_8404) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8406 = or(_T_8405, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8407 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8408 = and(_T_8406, _T_8407) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8409 = bits(_T_8408, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8410 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8409 : @[Reg.scala 28:19] _T_8410 <= _T_8398 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][74] <= _T_8410 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8411 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8412 = eq(_T_8411, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8413 = and(ic_valid_ff, _T_8412) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8414 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8415 = and(_T_8413, _T_8414) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8416 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8417 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8418 = and(_T_8416, _T_8417) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8419 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8420 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8421 = and(_T_8419, _T_8420) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8422 = or(_T_8418, _T_8421) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8423 = or(_T_8422, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8424 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8425 = and(_T_8423, _T_8424) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8426 = bits(_T_8425, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][74] <= _T_8410 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8411 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8412 = eq(_T_8411, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8413 = and(ic_valid_ff, _T_8412) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8414 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8415 = and(_T_8413, _T_8414) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8416 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8417 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8418 = and(_T_8416, _T_8417) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8419 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8420 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8421 = and(_T_8419, _T_8420) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8422 = or(_T_8418, _T_8421) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8423 = or(_T_8422, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8424 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8425 = and(_T_8423, _T_8424) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8426 = bits(_T_8425, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8427 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8426 : @[Reg.scala 28:19] _T_8427 <= _T_8415 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][75] <= _T_8427 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8428 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8429 = eq(_T_8428, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8430 = and(ic_valid_ff, _T_8429) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8431 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8432 = and(_T_8430, _T_8431) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8433 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8434 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8435 = and(_T_8433, _T_8434) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8436 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8437 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8438 = and(_T_8436, _T_8437) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8439 = or(_T_8435, _T_8438) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8440 = or(_T_8439, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8441 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8442 = and(_T_8440, _T_8441) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8443 = bits(_T_8442, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][75] <= _T_8427 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8428 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8429 = eq(_T_8428, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8430 = and(ic_valid_ff, _T_8429) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8431 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8432 = and(_T_8430, _T_8431) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8433 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8434 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8435 = and(_T_8433, _T_8434) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8436 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8437 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8438 = and(_T_8436, _T_8437) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8439 = or(_T_8435, _T_8438) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8440 = or(_T_8439, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8441 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8442 = and(_T_8440, _T_8441) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8443 = bits(_T_8442, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8444 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8443 : @[Reg.scala 28:19] _T_8444 <= _T_8432 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][76] <= _T_8444 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8445 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8446 = eq(_T_8445, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8447 = and(ic_valid_ff, _T_8446) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8448 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8449 = and(_T_8447, _T_8448) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8450 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8451 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8452 = and(_T_8450, _T_8451) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8453 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8454 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8455 = and(_T_8453, _T_8454) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8456 = or(_T_8452, _T_8455) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8457 = or(_T_8456, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8458 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8459 = and(_T_8457, _T_8458) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8460 = bits(_T_8459, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][76] <= _T_8444 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8445 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8446 = eq(_T_8445, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8447 = and(ic_valid_ff, _T_8446) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8448 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8449 = and(_T_8447, _T_8448) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8450 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8451 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8452 = and(_T_8450, _T_8451) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8453 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8454 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8455 = and(_T_8453, _T_8454) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8456 = or(_T_8452, _T_8455) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8457 = or(_T_8456, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8458 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8459 = and(_T_8457, _T_8458) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8460 = bits(_T_8459, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8461 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8460 : @[Reg.scala 28:19] _T_8461 <= _T_8449 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][77] <= _T_8461 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8462 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8463 = eq(_T_8462, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8464 = and(ic_valid_ff, _T_8463) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8465 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8466 = and(_T_8464, _T_8465) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8467 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8468 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8469 = and(_T_8467, _T_8468) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8470 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8471 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8472 = and(_T_8470, _T_8471) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8473 = or(_T_8469, _T_8472) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8474 = or(_T_8473, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8475 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8476 = and(_T_8474, _T_8475) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8477 = bits(_T_8476, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][77] <= _T_8461 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8462 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8463 = eq(_T_8462, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8464 = and(ic_valid_ff, _T_8463) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8465 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8466 = and(_T_8464, _T_8465) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8467 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8468 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8469 = and(_T_8467, _T_8468) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8470 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8471 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8472 = and(_T_8470, _T_8471) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8473 = or(_T_8469, _T_8472) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8474 = or(_T_8473, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8475 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8476 = and(_T_8474, _T_8475) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8477 = bits(_T_8476, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8478 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8477 : @[Reg.scala 28:19] _T_8478 <= _T_8466 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][78] <= _T_8478 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8479 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8480 = eq(_T_8479, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8481 = and(ic_valid_ff, _T_8480) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8482 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8483 = and(_T_8481, _T_8482) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8484 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8485 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8486 = and(_T_8484, _T_8485) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8487 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8488 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8489 = and(_T_8487, _T_8488) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8490 = or(_T_8486, _T_8489) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8491 = or(_T_8490, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8492 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8493 = and(_T_8491, _T_8492) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8494 = bits(_T_8493, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][78] <= _T_8478 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8479 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8480 = eq(_T_8479, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8481 = and(ic_valid_ff, _T_8480) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8482 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8483 = and(_T_8481, _T_8482) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8484 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8485 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8486 = and(_T_8484, _T_8485) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8487 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8488 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8489 = and(_T_8487, _T_8488) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8490 = or(_T_8486, _T_8489) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8491 = or(_T_8490, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8492 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8493 = and(_T_8491, _T_8492) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8494 = bits(_T_8493, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8495 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8494 : @[Reg.scala 28:19] _T_8495 <= _T_8483 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][79] <= _T_8495 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8496 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8497 = eq(_T_8496, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8498 = and(ic_valid_ff, _T_8497) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8499 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8500 = and(_T_8498, _T_8499) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8501 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8502 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8503 = and(_T_8501, _T_8502) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8504 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8505 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8506 = and(_T_8504, _T_8505) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8507 = or(_T_8503, _T_8506) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8508 = or(_T_8507, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8509 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8510 = and(_T_8508, _T_8509) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8511 = bits(_T_8510, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][79] <= _T_8495 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8496 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8497 = eq(_T_8496, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8498 = and(ic_valid_ff, _T_8497) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8499 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8500 = and(_T_8498, _T_8499) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8501 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8502 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8503 = and(_T_8501, _T_8502) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8504 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8505 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8506 = and(_T_8504, _T_8505) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8507 = or(_T_8503, _T_8506) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8508 = or(_T_8507, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8509 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8510 = and(_T_8508, _T_8509) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8511 = bits(_T_8510, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8512 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8511 : @[Reg.scala 28:19] _T_8512 <= _T_8500 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][80] <= _T_8512 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8513 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8514 = eq(_T_8513, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8515 = and(ic_valid_ff, _T_8514) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8516 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8517 = and(_T_8515, _T_8516) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8518 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8519 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8520 = and(_T_8518, _T_8519) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8521 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8522 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8523 = and(_T_8521, _T_8522) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8524 = or(_T_8520, _T_8523) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8525 = or(_T_8524, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8526 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8527 = and(_T_8525, _T_8526) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8528 = bits(_T_8527, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][80] <= _T_8512 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8513 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8514 = eq(_T_8513, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8515 = and(ic_valid_ff, _T_8514) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8516 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8517 = and(_T_8515, _T_8516) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8518 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8519 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8520 = and(_T_8518, _T_8519) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8521 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8522 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8523 = and(_T_8521, _T_8522) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8524 = or(_T_8520, _T_8523) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8525 = or(_T_8524, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8526 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8527 = and(_T_8525, _T_8526) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8528 = bits(_T_8527, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8529 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8528 : @[Reg.scala 28:19] _T_8529 <= _T_8517 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][81] <= _T_8529 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8530 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8531 = eq(_T_8530, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8532 = and(ic_valid_ff, _T_8531) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8533 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8534 = and(_T_8532, _T_8533) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8535 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8536 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8537 = and(_T_8535, _T_8536) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8538 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8539 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8540 = and(_T_8538, _T_8539) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8541 = or(_T_8537, _T_8540) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8542 = or(_T_8541, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8543 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8544 = and(_T_8542, _T_8543) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8545 = bits(_T_8544, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][81] <= _T_8529 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8530 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8531 = eq(_T_8530, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8532 = and(ic_valid_ff, _T_8531) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8533 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8534 = and(_T_8532, _T_8533) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8535 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8536 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8537 = and(_T_8535, _T_8536) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8538 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8539 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8540 = and(_T_8538, _T_8539) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8541 = or(_T_8537, _T_8540) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8542 = or(_T_8541, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8543 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8544 = and(_T_8542, _T_8543) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8545 = bits(_T_8544, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8546 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8545 : @[Reg.scala 28:19] _T_8546 <= _T_8534 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][82] <= _T_8546 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8547 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8548 = eq(_T_8547, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8549 = and(ic_valid_ff, _T_8548) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8550 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8551 = and(_T_8549, _T_8550) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8552 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8553 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8554 = and(_T_8552, _T_8553) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8555 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8556 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8557 = and(_T_8555, _T_8556) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8558 = or(_T_8554, _T_8557) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8559 = or(_T_8558, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8560 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8561 = and(_T_8559, _T_8560) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8562 = bits(_T_8561, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][82] <= _T_8546 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8547 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8548 = eq(_T_8547, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8549 = and(ic_valid_ff, _T_8548) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8550 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8551 = and(_T_8549, _T_8550) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8552 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8553 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8554 = and(_T_8552, _T_8553) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8555 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8556 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8557 = and(_T_8555, _T_8556) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8558 = or(_T_8554, _T_8557) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8559 = or(_T_8558, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8560 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8561 = and(_T_8559, _T_8560) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8562 = bits(_T_8561, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8563 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8562 : @[Reg.scala 28:19] _T_8563 <= _T_8551 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][83] <= _T_8563 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8564 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8565 = eq(_T_8564, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8566 = and(ic_valid_ff, _T_8565) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8567 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8568 = and(_T_8566, _T_8567) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8569 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8570 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8571 = and(_T_8569, _T_8570) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8572 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8573 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8574 = and(_T_8572, _T_8573) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8575 = or(_T_8571, _T_8574) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8576 = or(_T_8575, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8577 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8578 = and(_T_8576, _T_8577) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8579 = bits(_T_8578, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][83] <= _T_8563 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8564 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8565 = eq(_T_8564, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8566 = and(ic_valid_ff, _T_8565) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8567 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8568 = and(_T_8566, _T_8567) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8569 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8570 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8571 = and(_T_8569, _T_8570) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8572 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8573 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8574 = and(_T_8572, _T_8573) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8575 = or(_T_8571, _T_8574) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8576 = or(_T_8575, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8577 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8578 = and(_T_8576, _T_8577) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8579 = bits(_T_8578, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8580 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8579 : @[Reg.scala 28:19] _T_8580 <= _T_8568 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][84] <= _T_8580 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8581 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8582 = eq(_T_8581, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8583 = and(ic_valid_ff, _T_8582) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8584 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8585 = and(_T_8583, _T_8584) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8586 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8587 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8588 = and(_T_8586, _T_8587) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8589 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8590 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8591 = and(_T_8589, _T_8590) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8592 = or(_T_8588, _T_8591) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8593 = or(_T_8592, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8594 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8595 = and(_T_8593, _T_8594) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8596 = bits(_T_8595, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][84] <= _T_8580 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8581 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8582 = eq(_T_8581, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8583 = and(ic_valid_ff, _T_8582) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8584 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8585 = and(_T_8583, _T_8584) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8586 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8587 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8588 = and(_T_8586, _T_8587) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8589 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8590 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8591 = and(_T_8589, _T_8590) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8592 = or(_T_8588, _T_8591) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8593 = or(_T_8592, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8594 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8595 = and(_T_8593, _T_8594) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8596 = bits(_T_8595, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8597 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8596 : @[Reg.scala 28:19] _T_8597 <= _T_8585 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][85] <= _T_8597 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8598 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8599 = eq(_T_8598, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8600 = and(ic_valid_ff, _T_8599) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8601 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8602 = and(_T_8600, _T_8601) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8603 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8604 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8605 = and(_T_8603, _T_8604) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8606 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8607 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8608 = and(_T_8606, _T_8607) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8609 = or(_T_8605, _T_8608) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8610 = or(_T_8609, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8611 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8612 = and(_T_8610, _T_8611) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8613 = bits(_T_8612, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][85] <= _T_8597 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8598 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8599 = eq(_T_8598, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8600 = and(ic_valid_ff, _T_8599) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8601 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8602 = and(_T_8600, _T_8601) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8603 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8604 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8605 = and(_T_8603, _T_8604) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8606 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8607 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8608 = and(_T_8606, _T_8607) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8609 = or(_T_8605, _T_8608) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8610 = or(_T_8609, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8611 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8612 = and(_T_8610, _T_8611) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8613 = bits(_T_8612, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8614 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8613 : @[Reg.scala 28:19] _T_8614 <= _T_8602 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][86] <= _T_8614 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8615 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8616 = eq(_T_8615, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8617 = and(ic_valid_ff, _T_8616) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8618 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8619 = and(_T_8617, _T_8618) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8620 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8621 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8622 = and(_T_8620, _T_8621) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8623 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8624 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8625 = and(_T_8623, _T_8624) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8626 = or(_T_8622, _T_8625) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8627 = or(_T_8626, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8628 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8629 = and(_T_8627, _T_8628) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8630 = bits(_T_8629, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][86] <= _T_8614 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8615 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8616 = eq(_T_8615, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8617 = and(ic_valid_ff, _T_8616) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8618 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8619 = and(_T_8617, _T_8618) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8620 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8621 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8622 = and(_T_8620, _T_8621) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8623 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8624 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8625 = and(_T_8623, _T_8624) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8626 = or(_T_8622, _T_8625) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8627 = or(_T_8626, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8628 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8629 = and(_T_8627, _T_8628) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8630 = bits(_T_8629, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8631 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8630 : @[Reg.scala 28:19] _T_8631 <= _T_8619 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][87] <= _T_8631 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8632 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8633 = eq(_T_8632, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8634 = and(ic_valid_ff, _T_8633) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8635 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8636 = and(_T_8634, _T_8635) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8637 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8638 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8639 = and(_T_8637, _T_8638) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8640 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8641 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8642 = and(_T_8640, _T_8641) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8643 = or(_T_8639, _T_8642) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8644 = or(_T_8643, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8645 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8646 = and(_T_8644, _T_8645) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8647 = bits(_T_8646, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][87] <= _T_8631 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8632 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8633 = eq(_T_8632, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8634 = and(ic_valid_ff, _T_8633) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8635 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8636 = and(_T_8634, _T_8635) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8637 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8638 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8639 = and(_T_8637, _T_8638) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8640 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8641 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8642 = and(_T_8640, _T_8641) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8643 = or(_T_8639, _T_8642) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8644 = or(_T_8643, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8645 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8646 = and(_T_8644, _T_8645) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8647 = bits(_T_8646, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8648 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8647 : @[Reg.scala 28:19] _T_8648 <= _T_8636 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][88] <= _T_8648 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8649 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8650 = eq(_T_8649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8651 = and(ic_valid_ff, _T_8650) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8652 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8653 = and(_T_8651, _T_8652) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8654 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8655 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8656 = and(_T_8654, _T_8655) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8657 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8658 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8659 = and(_T_8657, _T_8658) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8660 = or(_T_8656, _T_8659) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8661 = or(_T_8660, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8662 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8663 = and(_T_8661, _T_8662) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8664 = bits(_T_8663, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][88] <= _T_8648 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8649 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8650 = eq(_T_8649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8651 = and(ic_valid_ff, _T_8650) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8652 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8653 = and(_T_8651, _T_8652) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8654 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8655 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8656 = and(_T_8654, _T_8655) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8657 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8658 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8659 = and(_T_8657, _T_8658) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8660 = or(_T_8656, _T_8659) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8661 = or(_T_8660, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8662 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8663 = and(_T_8661, _T_8662) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8664 = bits(_T_8663, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8665 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8664 : @[Reg.scala 28:19] _T_8665 <= _T_8653 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][89] <= _T_8665 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8666 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8667 = eq(_T_8666, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8668 = and(ic_valid_ff, _T_8667) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8669 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8670 = and(_T_8668, _T_8669) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8671 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8672 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8673 = and(_T_8671, _T_8672) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8674 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8675 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8676 = and(_T_8674, _T_8675) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8677 = or(_T_8673, _T_8676) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8678 = or(_T_8677, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8679 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8680 = and(_T_8678, _T_8679) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8681 = bits(_T_8680, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][89] <= _T_8665 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8666 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8667 = eq(_T_8666, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8668 = and(ic_valid_ff, _T_8667) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8669 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8670 = and(_T_8668, _T_8669) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8671 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8672 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8673 = and(_T_8671, _T_8672) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8674 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8675 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8676 = and(_T_8674, _T_8675) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8677 = or(_T_8673, _T_8676) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8678 = or(_T_8677, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8679 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8680 = and(_T_8678, _T_8679) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8681 = bits(_T_8680, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8682 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8681 : @[Reg.scala 28:19] _T_8682 <= _T_8670 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][90] <= _T_8682 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8683 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8684 = eq(_T_8683, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8685 = and(ic_valid_ff, _T_8684) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8686 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8687 = and(_T_8685, _T_8686) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8688 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8689 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8690 = and(_T_8688, _T_8689) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8691 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8692 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8693 = and(_T_8691, _T_8692) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8694 = or(_T_8690, _T_8693) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8695 = or(_T_8694, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8696 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8697 = and(_T_8695, _T_8696) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8698 = bits(_T_8697, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][90] <= _T_8682 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8683 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8684 = eq(_T_8683, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8685 = and(ic_valid_ff, _T_8684) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8686 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8687 = and(_T_8685, _T_8686) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8688 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8689 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8690 = and(_T_8688, _T_8689) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8691 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8692 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8693 = and(_T_8691, _T_8692) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8694 = or(_T_8690, _T_8693) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8695 = or(_T_8694, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8696 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8697 = and(_T_8695, _T_8696) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8698 = bits(_T_8697, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8699 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8698 : @[Reg.scala 28:19] _T_8699 <= _T_8687 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][91] <= _T_8699 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8700 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8701 = eq(_T_8700, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8702 = and(ic_valid_ff, _T_8701) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8703 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8704 = and(_T_8702, _T_8703) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8705 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8706 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8707 = and(_T_8705, _T_8706) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8708 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8709 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8710 = and(_T_8708, _T_8709) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8711 = or(_T_8707, _T_8710) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8712 = or(_T_8711, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8713 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8714 = and(_T_8712, _T_8713) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8715 = bits(_T_8714, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][91] <= _T_8699 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8700 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8701 = eq(_T_8700, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8702 = and(ic_valid_ff, _T_8701) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8703 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8704 = and(_T_8702, _T_8703) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8705 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8706 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8707 = and(_T_8705, _T_8706) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8708 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8709 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8710 = and(_T_8708, _T_8709) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8711 = or(_T_8707, _T_8710) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8712 = or(_T_8711, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8713 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8714 = and(_T_8712, _T_8713) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8715 = bits(_T_8714, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8716 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8715 : @[Reg.scala 28:19] _T_8716 <= _T_8704 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][92] <= _T_8716 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8717 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8718 = eq(_T_8717, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8719 = and(ic_valid_ff, _T_8718) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8720 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8721 = and(_T_8719, _T_8720) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8722 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8723 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8724 = and(_T_8722, _T_8723) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8725 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8726 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8727 = and(_T_8725, _T_8726) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8728 = or(_T_8724, _T_8727) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8729 = or(_T_8728, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8730 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8731 = and(_T_8729, _T_8730) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8732 = bits(_T_8731, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][92] <= _T_8716 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8717 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8718 = eq(_T_8717, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8719 = and(ic_valid_ff, _T_8718) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8720 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8721 = and(_T_8719, _T_8720) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8722 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8723 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8724 = and(_T_8722, _T_8723) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8725 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8726 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8727 = and(_T_8725, _T_8726) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8728 = or(_T_8724, _T_8727) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8729 = or(_T_8728, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8730 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8731 = and(_T_8729, _T_8730) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8732 = bits(_T_8731, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8733 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8732 : @[Reg.scala 28:19] _T_8733 <= _T_8721 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][93] <= _T_8733 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8734 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8735 = eq(_T_8734, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8736 = and(ic_valid_ff, _T_8735) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8737 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8738 = and(_T_8736, _T_8737) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8739 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8740 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8741 = and(_T_8739, _T_8740) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8742 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8743 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8744 = and(_T_8742, _T_8743) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8745 = or(_T_8741, _T_8744) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8746 = or(_T_8745, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8747 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8748 = and(_T_8746, _T_8747) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8749 = bits(_T_8748, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][93] <= _T_8733 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8734 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8735 = eq(_T_8734, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8736 = and(ic_valid_ff, _T_8735) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8737 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8738 = and(_T_8736, _T_8737) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8739 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8740 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8741 = and(_T_8739, _T_8740) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8742 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8743 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8744 = and(_T_8742, _T_8743) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8745 = or(_T_8741, _T_8744) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8746 = or(_T_8745, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8747 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8748 = and(_T_8746, _T_8747) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8749 = bits(_T_8748, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8750 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8749 : @[Reg.scala 28:19] _T_8750 <= _T_8738 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][94] <= _T_8750 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8751 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8752 = eq(_T_8751, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8753 = and(ic_valid_ff, _T_8752) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8754 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8755 = and(_T_8753, _T_8754) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8756 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8757 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8758 = and(_T_8756, _T_8757) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8759 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8760 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8761 = and(_T_8759, _T_8760) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8762 = or(_T_8758, _T_8761) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8763 = or(_T_8762, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8764 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8765 = and(_T_8763, _T_8764) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8766 = bits(_T_8765, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][94] <= _T_8750 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8751 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8752 = eq(_T_8751, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8753 = and(ic_valid_ff, _T_8752) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8754 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8755 = and(_T_8753, _T_8754) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8756 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8757 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8758 = and(_T_8756, _T_8757) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8759 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8760 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8761 = and(_T_8759, _T_8760) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8762 = or(_T_8758, _T_8761) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8763 = or(_T_8762, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8764 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8765 = and(_T_8763, _T_8764) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8766 = bits(_T_8765, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8767 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8766 : @[Reg.scala 28:19] _T_8767 <= _T_8755 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][95] <= _T_8767 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8768 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8769 = eq(_T_8768, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8770 = and(ic_valid_ff, _T_8769) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8771 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8772 = and(_T_8770, _T_8771) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8773 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8774 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8775 = and(_T_8773, _T_8774) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8776 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8777 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8778 = and(_T_8776, _T_8777) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8779 = or(_T_8775, _T_8778) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8780 = or(_T_8779, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8781 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8782 = and(_T_8780, _T_8781) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8783 = bits(_T_8782, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][95] <= _T_8767 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8768 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8769 = eq(_T_8768, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8770 = and(ic_valid_ff, _T_8769) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8771 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8772 = and(_T_8770, _T_8771) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8773 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8774 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8775 = and(_T_8773, _T_8774) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8776 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8777 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8778 = and(_T_8776, _T_8777) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8779 = or(_T_8775, _T_8778) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8780 = or(_T_8779, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8781 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8782 = and(_T_8780, _T_8781) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8783 = bits(_T_8782, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8784 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8783 : @[Reg.scala 28:19] _T_8784 <= _T_8772 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][96] <= _T_8784 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8785 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8786 = eq(_T_8785, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8787 = and(ic_valid_ff, _T_8786) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8788 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8789 = and(_T_8787, _T_8788) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8790 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8791 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8792 = and(_T_8790, _T_8791) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8793 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8794 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8795 = and(_T_8793, _T_8794) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8796 = or(_T_8792, _T_8795) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8797 = or(_T_8796, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8798 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8799 = and(_T_8797, _T_8798) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8800 = bits(_T_8799, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][96] <= _T_8784 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8785 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8786 = eq(_T_8785, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8787 = and(ic_valid_ff, _T_8786) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8788 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8789 = and(_T_8787, _T_8788) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8790 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8791 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8792 = and(_T_8790, _T_8791) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8793 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8794 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8795 = and(_T_8793, _T_8794) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8796 = or(_T_8792, _T_8795) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8797 = or(_T_8796, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8798 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8799 = and(_T_8797, _T_8798) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8800 = bits(_T_8799, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8801 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8800 : @[Reg.scala 28:19] _T_8801 <= _T_8789 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][97] <= _T_8801 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8802 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8803 = eq(_T_8802, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8804 = and(ic_valid_ff, _T_8803) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8805 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8806 = and(_T_8804, _T_8805) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8807 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8808 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8809 = and(_T_8807, _T_8808) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8810 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8811 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8812 = and(_T_8810, _T_8811) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8813 = or(_T_8809, _T_8812) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8814 = or(_T_8813, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8815 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8816 = and(_T_8814, _T_8815) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8817 = bits(_T_8816, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][97] <= _T_8801 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8802 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8803 = eq(_T_8802, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8804 = and(ic_valid_ff, _T_8803) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8805 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8806 = and(_T_8804, _T_8805) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8807 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8808 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8809 = and(_T_8807, _T_8808) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8810 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8811 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8812 = and(_T_8810, _T_8811) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8813 = or(_T_8809, _T_8812) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8814 = or(_T_8813, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8815 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8816 = and(_T_8814, _T_8815) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8817 = bits(_T_8816, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8818 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8817 : @[Reg.scala 28:19] _T_8818 <= _T_8806 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][98] <= _T_8818 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8819 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8820 = eq(_T_8819, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8821 = and(ic_valid_ff, _T_8820) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8822 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8823 = and(_T_8821, _T_8822) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8824 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8825 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8826 = and(_T_8824, _T_8825) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8827 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8828 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8829 = and(_T_8827, _T_8828) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8830 = or(_T_8826, _T_8829) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8831 = or(_T_8830, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8832 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8833 = and(_T_8831, _T_8832) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8834 = bits(_T_8833, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][98] <= _T_8818 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8819 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8820 = eq(_T_8819, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8821 = and(ic_valid_ff, _T_8820) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8822 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8823 = and(_T_8821, _T_8822) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8824 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8825 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8826 = and(_T_8824, _T_8825) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8827 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8828 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8829 = and(_T_8827, _T_8828) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8830 = or(_T_8826, _T_8829) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8831 = or(_T_8830, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8832 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8833 = and(_T_8831, _T_8832) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8834 = bits(_T_8833, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8835 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8834 : @[Reg.scala 28:19] _T_8835 <= _T_8823 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][99] <= _T_8835 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8836 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8837 = eq(_T_8836, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8838 = and(ic_valid_ff, _T_8837) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8839 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8840 = and(_T_8838, _T_8839) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8841 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8842 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8843 = and(_T_8841, _T_8842) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8844 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8845 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8846 = and(_T_8844, _T_8845) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8847 = or(_T_8843, _T_8846) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8848 = or(_T_8847, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8849 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8850 = and(_T_8848, _T_8849) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8851 = bits(_T_8850, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][99] <= _T_8835 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8836 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8837 = eq(_T_8836, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8838 = and(ic_valid_ff, _T_8837) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8839 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8840 = and(_T_8838, _T_8839) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8841 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8842 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8843 = and(_T_8841, _T_8842) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8844 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8845 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8846 = and(_T_8844, _T_8845) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8847 = or(_T_8843, _T_8846) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8848 = or(_T_8847, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8849 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8850 = and(_T_8848, _T_8849) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8851 = bits(_T_8850, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8852 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8851 : @[Reg.scala 28:19] _T_8852 <= _T_8840 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][100] <= _T_8852 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8853 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8854 = eq(_T_8853, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8855 = and(ic_valid_ff, _T_8854) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8856 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8857 = and(_T_8855, _T_8856) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8859 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8860 = and(_T_8858, _T_8859) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8861 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8862 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8863 = and(_T_8861, _T_8862) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8864 = or(_T_8860, _T_8863) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8865 = or(_T_8864, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8866 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8867 = and(_T_8865, _T_8866) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8868 = bits(_T_8867, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][100] <= _T_8852 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8853 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8854 = eq(_T_8853, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8855 = and(ic_valid_ff, _T_8854) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8856 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8857 = and(_T_8855, _T_8856) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8859 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8860 = and(_T_8858, _T_8859) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8861 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8862 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8863 = and(_T_8861, _T_8862) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8864 = or(_T_8860, _T_8863) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8865 = or(_T_8864, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8866 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8867 = and(_T_8865, _T_8866) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8868 = bits(_T_8867, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8869 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8868 : @[Reg.scala 28:19] _T_8869 <= _T_8857 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][101] <= _T_8869 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8870 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8871 = eq(_T_8870, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8872 = and(ic_valid_ff, _T_8871) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8873 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8874 = and(_T_8872, _T_8873) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8875 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8876 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8877 = and(_T_8875, _T_8876) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8878 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8879 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8880 = and(_T_8878, _T_8879) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8881 = or(_T_8877, _T_8880) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8882 = or(_T_8881, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8883 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8884 = and(_T_8882, _T_8883) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8885 = bits(_T_8884, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][101] <= _T_8869 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8870 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8871 = eq(_T_8870, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8872 = and(ic_valid_ff, _T_8871) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8873 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8874 = and(_T_8872, _T_8873) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8875 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8876 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8877 = and(_T_8875, _T_8876) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8878 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8879 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8880 = and(_T_8878, _T_8879) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8881 = or(_T_8877, _T_8880) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8882 = or(_T_8881, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8883 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8884 = and(_T_8882, _T_8883) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8885 = bits(_T_8884, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8886 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8885 : @[Reg.scala 28:19] _T_8886 <= _T_8874 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][102] <= _T_8886 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8887 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8888 = eq(_T_8887, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8889 = and(ic_valid_ff, _T_8888) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8890 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8891 = and(_T_8889, _T_8890) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8892 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8893 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8894 = and(_T_8892, _T_8893) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8895 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8896 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8897 = and(_T_8895, _T_8896) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8898 = or(_T_8894, _T_8897) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8899 = or(_T_8898, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8900 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8901 = and(_T_8899, _T_8900) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8902 = bits(_T_8901, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][102] <= _T_8886 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8887 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8888 = eq(_T_8887, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8889 = and(ic_valid_ff, _T_8888) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8890 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8891 = and(_T_8889, _T_8890) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8892 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8893 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8894 = and(_T_8892, _T_8893) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8895 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8896 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8897 = and(_T_8895, _T_8896) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8898 = or(_T_8894, _T_8897) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8899 = or(_T_8898, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8900 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8901 = and(_T_8899, _T_8900) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8902 = bits(_T_8901, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8903 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8902 : @[Reg.scala 28:19] _T_8903 <= _T_8891 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][103] <= _T_8903 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8904 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8905 = eq(_T_8904, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8906 = and(ic_valid_ff, _T_8905) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8907 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8908 = and(_T_8906, _T_8907) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8909 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8910 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8911 = and(_T_8909, _T_8910) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8912 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8913 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8914 = and(_T_8912, _T_8913) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8915 = or(_T_8911, _T_8914) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8916 = or(_T_8915, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8917 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8918 = and(_T_8916, _T_8917) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8919 = bits(_T_8918, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][103] <= _T_8903 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8904 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8905 = eq(_T_8904, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8906 = and(ic_valid_ff, _T_8905) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8907 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8908 = and(_T_8906, _T_8907) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8909 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8910 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8911 = and(_T_8909, _T_8910) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8912 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8913 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8914 = and(_T_8912, _T_8913) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8915 = or(_T_8911, _T_8914) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8916 = or(_T_8915, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8917 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8918 = and(_T_8916, _T_8917) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8919 = bits(_T_8918, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8920 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8919 : @[Reg.scala 28:19] _T_8920 <= _T_8908 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][104] <= _T_8920 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8921 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8922 = eq(_T_8921, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8923 = and(ic_valid_ff, _T_8922) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8924 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8925 = and(_T_8923, _T_8924) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8926 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8927 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8928 = and(_T_8926, _T_8927) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8929 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8930 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8931 = and(_T_8929, _T_8930) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8932 = or(_T_8928, _T_8931) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8933 = or(_T_8932, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8934 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8935 = and(_T_8933, _T_8934) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8936 = bits(_T_8935, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][104] <= _T_8920 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8921 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8922 = eq(_T_8921, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8923 = and(ic_valid_ff, _T_8922) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8924 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8925 = and(_T_8923, _T_8924) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8926 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8927 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8928 = and(_T_8926, _T_8927) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8929 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8930 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8931 = and(_T_8929, _T_8930) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8932 = or(_T_8928, _T_8931) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8933 = or(_T_8932, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8934 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8935 = and(_T_8933, _T_8934) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8936 = bits(_T_8935, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8937 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8936 : @[Reg.scala 28:19] _T_8937 <= _T_8925 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][105] <= _T_8937 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8938 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8939 = eq(_T_8938, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8940 = and(ic_valid_ff, _T_8939) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8941 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8942 = and(_T_8940, _T_8941) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8943 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8944 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8945 = and(_T_8943, _T_8944) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8946 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8947 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8948 = and(_T_8946, _T_8947) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8949 = or(_T_8945, _T_8948) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8950 = or(_T_8949, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8951 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8952 = and(_T_8950, _T_8951) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8953 = bits(_T_8952, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][105] <= _T_8937 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8938 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8939 = eq(_T_8938, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8940 = and(ic_valid_ff, _T_8939) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8941 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8942 = and(_T_8940, _T_8941) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8943 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8944 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8945 = and(_T_8943, _T_8944) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8946 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8947 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8948 = and(_T_8946, _T_8947) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8949 = or(_T_8945, _T_8948) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8950 = or(_T_8949, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8951 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8952 = and(_T_8950, _T_8951) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8953 = bits(_T_8952, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8954 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8953 : @[Reg.scala 28:19] _T_8954 <= _T_8942 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][106] <= _T_8954 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8955 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8956 = eq(_T_8955, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8957 = and(ic_valid_ff, _T_8956) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8958 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8959 = and(_T_8957, _T_8958) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8960 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8961 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8962 = and(_T_8960, _T_8961) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8963 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8964 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8965 = and(_T_8963, _T_8964) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8966 = or(_T_8962, _T_8965) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8967 = or(_T_8966, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8968 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8969 = and(_T_8967, _T_8968) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8970 = bits(_T_8969, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][106] <= _T_8954 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8955 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8956 = eq(_T_8955, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8957 = and(ic_valid_ff, _T_8956) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8958 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8959 = and(_T_8957, _T_8958) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8960 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8961 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8962 = and(_T_8960, _T_8961) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8963 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8964 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8965 = and(_T_8963, _T_8964) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8966 = or(_T_8962, _T_8965) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8967 = or(_T_8966, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8968 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8969 = and(_T_8967, _T_8968) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8970 = bits(_T_8969, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8971 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8970 : @[Reg.scala 28:19] _T_8971 <= _T_8959 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][107] <= _T_8971 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8972 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8973 = eq(_T_8972, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8974 = and(ic_valid_ff, _T_8973) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8975 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8976 = and(_T_8974, _T_8975) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8977 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8978 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8979 = and(_T_8977, _T_8978) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8980 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8981 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8982 = and(_T_8980, _T_8981) @[el2_ifu_mem_ctl.scala 751:124] - node _T_8983 = or(_T_8979, _T_8982) @[el2_ifu_mem_ctl.scala 751:81] - node _T_8984 = or(_T_8983, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_8985 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_8986 = and(_T_8984, _T_8985) @[el2_ifu_mem_ctl.scala 751:165] - node _T_8987 = bits(_T_8986, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][107] <= _T_8971 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8972 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8973 = eq(_T_8972, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8974 = and(ic_valid_ff, _T_8973) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8975 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8976 = and(_T_8974, _T_8975) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8977 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8978 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8979 = and(_T_8977, _T_8978) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8980 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8981 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8982 = and(_T_8980, _T_8981) @[el2_ifu_mem_ctl.scala 752:124] + node _T_8983 = or(_T_8979, _T_8982) @[el2_ifu_mem_ctl.scala 752:81] + node _T_8984 = or(_T_8983, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_8985 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_8986 = and(_T_8984, _T_8985) @[el2_ifu_mem_ctl.scala 752:165] + node _T_8987 = bits(_T_8986, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_8988 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8987 : @[Reg.scala 28:19] _T_8988 <= _T_8976 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][108] <= _T_8988 @[el2_ifu_mem_ctl.scala 750:41] - node _T_8989 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_8990 = eq(_T_8989, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_8991 = and(ic_valid_ff, _T_8990) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8992 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_8993 = and(_T_8991, _T_8992) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8994 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_8995 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_8996 = and(_T_8994, _T_8995) @[el2_ifu_mem_ctl.scala 751:59] - node _T_8997 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_8998 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_8999 = and(_T_8997, _T_8998) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9000 = or(_T_8996, _T_8999) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9001 = or(_T_9000, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9002 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9003 = and(_T_9001, _T_9002) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9004 = bits(_T_9003, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][108] <= _T_8988 @[el2_ifu_mem_ctl.scala 751:41] + node _T_8989 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_8990 = eq(_T_8989, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_8991 = and(ic_valid_ff, _T_8990) @[el2_ifu_mem_ctl.scala 751:66] + node _T_8992 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_8993 = and(_T_8991, _T_8992) @[el2_ifu_mem_ctl.scala 751:91] + node _T_8994 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_8995 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_8996 = and(_T_8994, _T_8995) @[el2_ifu_mem_ctl.scala 752:59] + node _T_8997 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_8998 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_8999 = and(_T_8997, _T_8998) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9000 = or(_T_8996, _T_8999) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9001 = or(_T_9000, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9002 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9003 = and(_T_9001, _T_9002) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9004 = bits(_T_9003, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9005 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9004 : @[Reg.scala 28:19] _T_9005 <= _T_8993 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][109] <= _T_9005 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9006 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9007 = eq(_T_9006, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9008 = and(ic_valid_ff, _T_9007) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9009 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9010 = and(_T_9008, _T_9009) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9011 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9012 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9013 = and(_T_9011, _T_9012) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9014 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9015 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9016 = and(_T_9014, _T_9015) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9017 = or(_T_9013, _T_9016) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9018 = or(_T_9017, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9019 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9020 = and(_T_9018, _T_9019) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9021 = bits(_T_9020, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][109] <= _T_9005 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9006 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9007 = eq(_T_9006, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9008 = and(ic_valid_ff, _T_9007) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9009 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9010 = and(_T_9008, _T_9009) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9011 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9012 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9013 = and(_T_9011, _T_9012) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9014 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9015 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9016 = and(_T_9014, _T_9015) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9017 = or(_T_9013, _T_9016) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9018 = or(_T_9017, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9019 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9020 = and(_T_9018, _T_9019) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9021 = bits(_T_9020, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9022 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9021 : @[Reg.scala 28:19] _T_9022 <= _T_9010 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][110] <= _T_9022 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9023 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9024 = eq(_T_9023, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9025 = and(ic_valid_ff, _T_9024) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9026 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9027 = and(_T_9025, _T_9026) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9028 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9029 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9030 = and(_T_9028, _T_9029) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9031 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9032 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9033 = and(_T_9031, _T_9032) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9034 = or(_T_9030, _T_9033) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9035 = or(_T_9034, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9036 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9037 = and(_T_9035, _T_9036) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9038 = bits(_T_9037, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][110] <= _T_9022 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9023 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9024 = eq(_T_9023, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9025 = and(ic_valid_ff, _T_9024) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9026 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9027 = and(_T_9025, _T_9026) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9028 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9029 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9030 = and(_T_9028, _T_9029) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9031 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9032 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9033 = and(_T_9031, _T_9032) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9034 = or(_T_9030, _T_9033) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9035 = or(_T_9034, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9036 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9037 = and(_T_9035, _T_9036) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9038 = bits(_T_9037, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9039 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9038 : @[Reg.scala 28:19] _T_9039 <= _T_9027 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][111] <= _T_9039 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9040 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9041 = eq(_T_9040, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9042 = and(ic_valid_ff, _T_9041) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9043 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9044 = and(_T_9042, _T_9043) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9045 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9046 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9047 = and(_T_9045, _T_9046) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9048 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9049 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9050 = and(_T_9048, _T_9049) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9051 = or(_T_9047, _T_9050) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9052 = or(_T_9051, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9053 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9054 = and(_T_9052, _T_9053) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9055 = bits(_T_9054, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][111] <= _T_9039 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9040 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9041 = eq(_T_9040, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9042 = and(ic_valid_ff, _T_9041) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9043 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9044 = and(_T_9042, _T_9043) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9045 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9046 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9047 = and(_T_9045, _T_9046) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9048 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9049 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9050 = and(_T_9048, _T_9049) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9051 = or(_T_9047, _T_9050) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9052 = or(_T_9051, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9053 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9054 = and(_T_9052, _T_9053) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9055 = bits(_T_9054, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9056 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9055 : @[Reg.scala 28:19] _T_9056 <= _T_9044 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][112] <= _T_9056 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9057 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9058 = eq(_T_9057, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9059 = and(ic_valid_ff, _T_9058) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9060 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9061 = and(_T_9059, _T_9060) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9062 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9063 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9064 = and(_T_9062, _T_9063) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9065 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9066 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9067 = and(_T_9065, _T_9066) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9068 = or(_T_9064, _T_9067) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9069 = or(_T_9068, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9070 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9071 = and(_T_9069, _T_9070) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9072 = bits(_T_9071, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][112] <= _T_9056 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9057 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9058 = eq(_T_9057, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9059 = and(ic_valid_ff, _T_9058) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9060 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9061 = and(_T_9059, _T_9060) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9062 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9063 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9064 = and(_T_9062, _T_9063) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9065 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9066 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9067 = and(_T_9065, _T_9066) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9068 = or(_T_9064, _T_9067) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9069 = or(_T_9068, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9070 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9071 = and(_T_9069, _T_9070) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9072 = bits(_T_9071, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9073 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9072 : @[Reg.scala 28:19] _T_9073 <= _T_9061 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][113] <= _T_9073 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9074 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9075 = eq(_T_9074, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9076 = and(ic_valid_ff, _T_9075) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9077 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9078 = and(_T_9076, _T_9077) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9079 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9080 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9081 = and(_T_9079, _T_9080) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9082 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9083 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9084 = and(_T_9082, _T_9083) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9085 = or(_T_9081, _T_9084) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9086 = or(_T_9085, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9087 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9088 = and(_T_9086, _T_9087) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9089 = bits(_T_9088, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][113] <= _T_9073 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9074 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9075 = eq(_T_9074, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9076 = and(ic_valid_ff, _T_9075) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9077 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9078 = and(_T_9076, _T_9077) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9079 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9080 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9081 = and(_T_9079, _T_9080) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9082 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9083 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9084 = and(_T_9082, _T_9083) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9085 = or(_T_9081, _T_9084) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9086 = or(_T_9085, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9087 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9088 = and(_T_9086, _T_9087) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9089 = bits(_T_9088, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9090 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9089 : @[Reg.scala 28:19] _T_9090 <= _T_9078 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][114] <= _T_9090 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9091 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9092 = eq(_T_9091, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9093 = and(ic_valid_ff, _T_9092) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9094 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9095 = and(_T_9093, _T_9094) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9096 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9097 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9098 = and(_T_9096, _T_9097) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9099 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9100 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9101 = and(_T_9099, _T_9100) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9102 = or(_T_9098, _T_9101) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9103 = or(_T_9102, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9104 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9105 = and(_T_9103, _T_9104) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9106 = bits(_T_9105, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][114] <= _T_9090 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9091 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9092 = eq(_T_9091, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9093 = and(ic_valid_ff, _T_9092) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9094 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9095 = and(_T_9093, _T_9094) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9096 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9097 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9098 = and(_T_9096, _T_9097) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9099 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9100 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9101 = and(_T_9099, _T_9100) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9102 = or(_T_9098, _T_9101) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9103 = or(_T_9102, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9104 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9105 = and(_T_9103, _T_9104) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9106 = bits(_T_9105, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9107 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9106 : @[Reg.scala 28:19] _T_9107 <= _T_9095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][115] <= _T_9107 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9108 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9109 = eq(_T_9108, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9110 = and(ic_valid_ff, _T_9109) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9111 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9112 = and(_T_9110, _T_9111) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9113 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9114 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9115 = and(_T_9113, _T_9114) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9116 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9117 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9118 = and(_T_9116, _T_9117) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9119 = or(_T_9115, _T_9118) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9120 = or(_T_9119, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9121 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9122 = and(_T_9120, _T_9121) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9123 = bits(_T_9122, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][115] <= _T_9107 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9108 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9109 = eq(_T_9108, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9110 = and(ic_valid_ff, _T_9109) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9111 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9112 = and(_T_9110, _T_9111) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9113 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9114 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9115 = and(_T_9113, _T_9114) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9116 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9117 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9118 = and(_T_9116, _T_9117) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9119 = or(_T_9115, _T_9118) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9120 = or(_T_9119, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9121 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9122 = and(_T_9120, _T_9121) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9123 = bits(_T_9122, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9124 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9123 : @[Reg.scala 28:19] _T_9124 <= _T_9112 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][116] <= _T_9124 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9125 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9126 = eq(_T_9125, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9127 = and(ic_valid_ff, _T_9126) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9128 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9129 = and(_T_9127, _T_9128) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9130 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9131 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9132 = and(_T_9130, _T_9131) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9133 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9134 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9135 = and(_T_9133, _T_9134) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9136 = or(_T_9132, _T_9135) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9137 = or(_T_9136, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9138 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9139 = and(_T_9137, _T_9138) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9140 = bits(_T_9139, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][116] <= _T_9124 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9125 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9126 = eq(_T_9125, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9127 = and(ic_valid_ff, _T_9126) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9128 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9129 = and(_T_9127, _T_9128) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9130 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9131 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9132 = and(_T_9130, _T_9131) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9133 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9134 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9135 = and(_T_9133, _T_9134) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9136 = or(_T_9132, _T_9135) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9137 = or(_T_9136, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9138 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9139 = and(_T_9137, _T_9138) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9140 = bits(_T_9139, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9141 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9140 : @[Reg.scala 28:19] _T_9141 <= _T_9129 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][117] <= _T_9141 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9142 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9143 = eq(_T_9142, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9144 = and(ic_valid_ff, _T_9143) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9145 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9146 = and(_T_9144, _T_9145) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9147 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9148 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9149 = and(_T_9147, _T_9148) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9150 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9151 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9152 = and(_T_9150, _T_9151) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9153 = or(_T_9149, _T_9152) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9154 = or(_T_9153, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9155 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9156 = and(_T_9154, _T_9155) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9157 = bits(_T_9156, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][117] <= _T_9141 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9142 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9143 = eq(_T_9142, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9144 = and(ic_valid_ff, _T_9143) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9145 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9146 = and(_T_9144, _T_9145) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9147 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9148 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9149 = and(_T_9147, _T_9148) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9150 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9151 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9152 = and(_T_9150, _T_9151) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9153 = or(_T_9149, _T_9152) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9154 = or(_T_9153, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9155 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9156 = and(_T_9154, _T_9155) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9157 = bits(_T_9156, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9158 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9157 : @[Reg.scala 28:19] _T_9158 <= _T_9146 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][118] <= _T_9158 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9159 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9160 = eq(_T_9159, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9161 = and(ic_valid_ff, _T_9160) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9162 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9163 = and(_T_9161, _T_9162) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9164 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9165 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9166 = and(_T_9164, _T_9165) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9167 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9168 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9169 = and(_T_9167, _T_9168) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9170 = or(_T_9166, _T_9169) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9171 = or(_T_9170, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9172 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9173 = and(_T_9171, _T_9172) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9174 = bits(_T_9173, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][118] <= _T_9158 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9159 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9160 = eq(_T_9159, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9161 = and(ic_valid_ff, _T_9160) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9162 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9163 = and(_T_9161, _T_9162) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9164 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9165 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9166 = and(_T_9164, _T_9165) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9167 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9168 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9169 = and(_T_9167, _T_9168) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9170 = or(_T_9166, _T_9169) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9171 = or(_T_9170, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9172 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9173 = and(_T_9171, _T_9172) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9174 = bits(_T_9173, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9175 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9174 : @[Reg.scala 28:19] _T_9175 <= _T_9163 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][119] <= _T_9175 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9176 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9177 = eq(_T_9176, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9178 = and(ic_valid_ff, _T_9177) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9179 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9180 = and(_T_9178, _T_9179) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9181 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9182 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9183 = and(_T_9181, _T_9182) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9184 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9185 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9186 = and(_T_9184, _T_9185) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9187 = or(_T_9183, _T_9186) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9188 = or(_T_9187, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9189 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9190 = and(_T_9188, _T_9189) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9191 = bits(_T_9190, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][119] <= _T_9175 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9176 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9177 = eq(_T_9176, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9178 = and(ic_valid_ff, _T_9177) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9179 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9180 = and(_T_9178, _T_9179) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9181 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9182 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9183 = and(_T_9181, _T_9182) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9184 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9185 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9186 = and(_T_9184, _T_9185) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9187 = or(_T_9183, _T_9186) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9188 = or(_T_9187, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9189 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9190 = and(_T_9188, _T_9189) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9191 = bits(_T_9190, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9192 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9191 : @[Reg.scala 28:19] _T_9192 <= _T_9180 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][120] <= _T_9192 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9193 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9194 = eq(_T_9193, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9195 = and(ic_valid_ff, _T_9194) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9196 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9197 = and(_T_9195, _T_9196) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9198 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9199 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9200 = and(_T_9198, _T_9199) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9201 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9202 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9203 = and(_T_9201, _T_9202) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9204 = or(_T_9200, _T_9203) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9205 = or(_T_9204, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9206 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9207 = and(_T_9205, _T_9206) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9208 = bits(_T_9207, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][120] <= _T_9192 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9193 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9194 = eq(_T_9193, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9195 = and(ic_valid_ff, _T_9194) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9196 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9197 = and(_T_9195, _T_9196) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9198 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9199 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9200 = and(_T_9198, _T_9199) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9201 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9202 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9203 = and(_T_9201, _T_9202) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9204 = or(_T_9200, _T_9203) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9205 = or(_T_9204, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9206 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9207 = and(_T_9205, _T_9206) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9208 = bits(_T_9207, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9209 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9208 : @[Reg.scala 28:19] _T_9209 <= _T_9197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][121] <= _T_9209 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9210 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9211 = eq(_T_9210, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9212 = and(ic_valid_ff, _T_9211) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9213 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9214 = and(_T_9212, _T_9213) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9215 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9216 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9217 = and(_T_9215, _T_9216) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9218 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9219 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9220 = and(_T_9218, _T_9219) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9221 = or(_T_9217, _T_9220) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9222 = or(_T_9221, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9223 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9224 = and(_T_9222, _T_9223) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9225 = bits(_T_9224, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][121] <= _T_9209 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9210 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9211 = eq(_T_9210, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9212 = and(ic_valid_ff, _T_9211) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9213 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9214 = and(_T_9212, _T_9213) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9215 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9216 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9217 = and(_T_9215, _T_9216) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9218 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9219 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9220 = and(_T_9218, _T_9219) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9221 = or(_T_9217, _T_9220) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9222 = or(_T_9221, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9223 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9224 = and(_T_9222, _T_9223) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9225 = bits(_T_9224, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9226 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9225 : @[Reg.scala 28:19] _T_9226 <= _T_9214 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][122] <= _T_9226 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9227 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9228 = eq(_T_9227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9229 = and(ic_valid_ff, _T_9228) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9230 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9231 = and(_T_9229, _T_9230) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9232 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9233 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9234 = and(_T_9232, _T_9233) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9235 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9236 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9237 = and(_T_9235, _T_9236) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9238 = or(_T_9234, _T_9237) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9239 = or(_T_9238, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9240 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9241 = and(_T_9239, _T_9240) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9242 = bits(_T_9241, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][122] <= _T_9226 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9227 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9228 = eq(_T_9227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9229 = and(ic_valid_ff, _T_9228) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9230 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9231 = and(_T_9229, _T_9230) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9232 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9233 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9234 = and(_T_9232, _T_9233) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9235 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9236 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9237 = and(_T_9235, _T_9236) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9238 = or(_T_9234, _T_9237) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9239 = or(_T_9238, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9240 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9241 = and(_T_9239, _T_9240) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9242 = bits(_T_9241, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9243 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9242 : @[Reg.scala 28:19] _T_9243 <= _T_9231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][123] <= _T_9243 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9244 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9245 = eq(_T_9244, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9246 = and(ic_valid_ff, _T_9245) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9247 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9248 = and(_T_9246, _T_9247) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9249 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9250 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9251 = and(_T_9249, _T_9250) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9252 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9253 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9254 = and(_T_9252, _T_9253) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9255 = or(_T_9251, _T_9254) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9256 = or(_T_9255, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9257 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9258 = and(_T_9256, _T_9257) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9259 = bits(_T_9258, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][123] <= _T_9243 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9244 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9245 = eq(_T_9244, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9246 = and(ic_valid_ff, _T_9245) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9247 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9248 = and(_T_9246, _T_9247) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9249 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9250 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9251 = and(_T_9249, _T_9250) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9252 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9253 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9254 = and(_T_9252, _T_9253) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9255 = or(_T_9251, _T_9254) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9256 = or(_T_9255, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9257 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9258 = and(_T_9256, _T_9257) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9259 = bits(_T_9258, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9260 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9259 : @[Reg.scala 28:19] _T_9260 <= _T_9248 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][124] <= _T_9260 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9261 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9262 = eq(_T_9261, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9263 = and(ic_valid_ff, _T_9262) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9264 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9265 = and(_T_9263, _T_9264) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9266 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9267 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9268 = and(_T_9266, _T_9267) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9269 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9270 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9271 = and(_T_9269, _T_9270) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9272 = or(_T_9268, _T_9271) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9273 = or(_T_9272, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9274 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9275 = and(_T_9273, _T_9274) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9276 = bits(_T_9275, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][124] <= _T_9260 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9261 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9262 = eq(_T_9261, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9263 = and(ic_valid_ff, _T_9262) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9264 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9265 = and(_T_9263, _T_9264) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9266 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9267 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9268 = and(_T_9266, _T_9267) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9269 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9270 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9271 = and(_T_9269, _T_9270) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9272 = or(_T_9268, _T_9271) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9273 = or(_T_9272, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9274 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9275 = and(_T_9273, _T_9274) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9276 = bits(_T_9275, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9277 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9276 : @[Reg.scala 28:19] _T_9277 <= _T_9265 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][125] <= _T_9277 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9278 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9279 = eq(_T_9278, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9280 = and(ic_valid_ff, _T_9279) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9281 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9282 = and(_T_9280, _T_9281) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9283 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9284 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9285 = and(_T_9283, _T_9284) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9286 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9287 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9288 = and(_T_9286, _T_9287) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9289 = or(_T_9285, _T_9288) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9290 = or(_T_9289, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9291 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9292 = and(_T_9290, _T_9291) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9293 = bits(_T_9292, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][125] <= _T_9277 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9278 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9279 = eq(_T_9278, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9280 = and(ic_valid_ff, _T_9279) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9281 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9282 = and(_T_9280, _T_9281) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9283 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9284 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9285 = and(_T_9283, _T_9284) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9286 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9287 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9288 = and(_T_9286, _T_9287) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9289 = or(_T_9285, _T_9288) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9290 = or(_T_9289, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9291 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9292 = and(_T_9290, _T_9291) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9293 = bits(_T_9292, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9294 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9293 : @[Reg.scala 28:19] _T_9294 <= _T_9282 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][126] <= _T_9294 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9295 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9296 = eq(_T_9295, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9297 = and(ic_valid_ff, _T_9296) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9298 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9299 = and(_T_9297, _T_9298) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9300 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9301 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9302 = and(_T_9300, _T_9301) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9303 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9304 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9305 = and(_T_9303, _T_9304) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9306 = or(_T_9302, _T_9305) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9307 = or(_T_9306, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9308 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9309 = and(_T_9307, _T_9308) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9310 = bits(_T_9309, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][126] <= _T_9294 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9295 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9296 = eq(_T_9295, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9297 = and(ic_valid_ff, _T_9296) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9298 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9299 = and(_T_9297, _T_9298) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9300 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9301 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9302 = and(_T_9300, _T_9301) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9303 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9304 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9305 = and(_T_9303, _T_9304) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9306 = or(_T_9302, _T_9305) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9307 = or(_T_9306, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9308 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9309 = and(_T_9307, _T_9308) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9310 = bits(_T_9309, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9311 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9310 : @[Reg.scala 28:19] _T_9311 <= _T_9299 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][127] <= _T_9311 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9312 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9313 = eq(_T_9312, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9314 = and(ic_valid_ff, _T_9313) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9315 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9316 = and(_T_9314, _T_9315) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9317 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9318 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9319 = and(_T_9317, _T_9318) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9320 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9321 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9322 = and(_T_9320, _T_9321) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9323 = or(_T_9319, _T_9322) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9324 = or(_T_9323, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9325 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9326 = and(_T_9324, _T_9325) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9327 = bits(_T_9326, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[0][127] <= _T_9311 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9312 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9313 = eq(_T_9312, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9314 = and(ic_valid_ff, _T_9313) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9315 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9316 = and(_T_9314, _T_9315) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9317 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9318 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9319 = and(_T_9317, _T_9318) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9320 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9321 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9322 = and(_T_9320, _T_9321) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9323 = or(_T_9319, _T_9322) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9324 = or(_T_9323, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9325 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9326 = and(_T_9324, _T_9325) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9327 = bits(_T_9326, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9328 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9327 : @[Reg.scala 28:19] _T_9328 <= _T_9316 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][96] <= _T_9328 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9329 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9330 = eq(_T_9329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9331 = and(ic_valid_ff, _T_9330) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9332 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9333 = and(_T_9331, _T_9332) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9334 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9335 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9336 = and(_T_9334, _T_9335) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9337 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9338 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9339 = and(_T_9337, _T_9338) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9340 = or(_T_9336, _T_9339) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9341 = or(_T_9340, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9342 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9343 = and(_T_9341, _T_9342) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9344 = bits(_T_9343, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][96] <= _T_9328 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9329 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9330 = eq(_T_9329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9331 = and(ic_valid_ff, _T_9330) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9332 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9333 = and(_T_9331, _T_9332) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9334 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9335 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9336 = and(_T_9334, _T_9335) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9337 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9338 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9339 = and(_T_9337, _T_9338) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9340 = or(_T_9336, _T_9339) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9341 = or(_T_9340, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9342 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9343 = and(_T_9341, _T_9342) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9344 = bits(_T_9343, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9345 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9344 : @[Reg.scala 28:19] _T_9345 <= _T_9333 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][97] <= _T_9345 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9346 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9347 = eq(_T_9346, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9348 = and(ic_valid_ff, _T_9347) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9349 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9350 = and(_T_9348, _T_9349) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9351 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9352 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9353 = and(_T_9351, _T_9352) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9354 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9355 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9356 = and(_T_9354, _T_9355) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9357 = or(_T_9353, _T_9356) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9358 = or(_T_9357, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9359 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9360 = and(_T_9358, _T_9359) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9361 = bits(_T_9360, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][97] <= _T_9345 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9346 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9347 = eq(_T_9346, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9348 = and(ic_valid_ff, _T_9347) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9349 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9350 = and(_T_9348, _T_9349) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9351 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9352 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9353 = and(_T_9351, _T_9352) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9354 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9355 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9356 = and(_T_9354, _T_9355) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9357 = or(_T_9353, _T_9356) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9358 = or(_T_9357, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9359 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9360 = and(_T_9358, _T_9359) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9361 = bits(_T_9360, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9362 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9361 : @[Reg.scala 28:19] _T_9362 <= _T_9350 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][98] <= _T_9362 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9363 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9364 = eq(_T_9363, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9365 = and(ic_valid_ff, _T_9364) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9366 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9367 = and(_T_9365, _T_9366) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9368 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9369 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9370 = and(_T_9368, _T_9369) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9371 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9372 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9373 = and(_T_9371, _T_9372) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9374 = or(_T_9370, _T_9373) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9375 = or(_T_9374, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9376 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9377 = and(_T_9375, _T_9376) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9378 = bits(_T_9377, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][98] <= _T_9362 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9363 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9364 = eq(_T_9363, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9365 = and(ic_valid_ff, _T_9364) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9366 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9367 = and(_T_9365, _T_9366) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9368 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9369 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9370 = and(_T_9368, _T_9369) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9371 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9372 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9373 = and(_T_9371, _T_9372) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9374 = or(_T_9370, _T_9373) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9375 = or(_T_9374, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9376 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9377 = and(_T_9375, _T_9376) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9378 = bits(_T_9377, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9379 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9378 : @[Reg.scala 28:19] _T_9379 <= _T_9367 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][99] <= _T_9379 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9380 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9381 = eq(_T_9380, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9382 = and(ic_valid_ff, _T_9381) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9383 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9384 = and(_T_9382, _T_9383) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9385 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9386 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9387 = and(_T_9385, _T_9386) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9388 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9389 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9390 = and(_T_9388, _T_9389) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9391 = or(_T_9387, _T_9390) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9392 = or(_T_9391, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9393 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9394 = and(_T_9392, _T_9393) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9395 = bits(_T_9394, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][99] <= _T_9379 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9380 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9381 = eq(_T_9380, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9382 = and(ic_valid_ff, _T_9381) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9383 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9384 = and(_T_9382, _T_9383) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9385 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9386 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9387 = and(_T_9385, _T_9386) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9388 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9389 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9390 = and(_T_9388, _T_9389) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9391 = or(_T_9387, _T_9390) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9392 = or(_T_9391, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9393 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9394 = and(_T_9392, _T_9393) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9395 = bits(_T_9394, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9396 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9395 : @[Reg.scala 28:19] _T_9396 <= _T_9384 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][100] <= _T_9396 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9397 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9398 = eq(_T_9397, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9399 = and(ic_valid_ff, _T_9398) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9400 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9401 = and(_T_9399, _T_9400) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9402 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9403 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9404 = and(_T_9402, _T_9403) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9405 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9406 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9407 = and(_T_9405, _T_9406) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9408 = or(_T_9404, _T_9407) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9409 = or(_T_9408, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9410 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9411 = and(_T_9409, _T_9410) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9412 = bits(_T_9411, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][100] <= _T_9396 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9397 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9398 = eq(_T_9397, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9399 = and(ic_valid_ff, _T_9398) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9400 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9401 = and(_T_9399, _T_9400) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9402 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9403 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9404 = and(_T_9402, _T_9403) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9405 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9406 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9407 = and(_T_9405, _T_9406) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9408 = or(_T_9404, _T_9407) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9409 = or(_T_9408, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9410 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9411 = and(_T_9409, _T_9410) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9412 = bits(_T_9411, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9413 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9412 : @[Reg.scala 28:19] _T_9413 <= _T_9401 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][101] <= _T_9413 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9414 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9415 = eq(_T_9414, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9416 = and(ic_valid_ff, _T_9415) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9417 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9418 = and(_T_9416, _T_9417) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9419 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9420 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9421 = and(_T_9419, _T_9420) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9422 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9423 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9424 = and(_T_9422, _T_9423) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9425 = or(_T_9421, _T_9424) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9426 = or(_T_9425, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9427 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9428 = and(_T_9426, _T_9427) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9429 = bits(_T_9428, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][101] <= _T_9413 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9414 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9415 = eq(_T_9414, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9416 = and(ic_valid_ff, _T_9415) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9417 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9418 = and(_T_9416, _T_9417) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9419 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9420 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9421 = and(_T_9419, _T_9420) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9422 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9423 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9424 = and(_T_9422, _T_9423) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9425 = or(_T_9421, _T_9424) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9426 = or(_T_9425, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9427 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9428 = and(_T_9426, _T_9427) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9429 = bits(_T_9428, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9430 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9429 : @[Reg.scala 28:19] _T_9430 <= _T_9418 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][102] <= _T_9430 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9431 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9432 = eq(_T_9431, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9433 = and(ic_valid_ff, _T_9432) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9434 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9435 = and(_T_9433, _T_9434) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9436 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9437 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9438 = and(_T_9436, _T_9437) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9439 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9440 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9441 = and(_T_9439, _T_9440) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9442 = or(_T_9438, _T_9441) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9443 = or(_T_9442, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9444 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9445 = and(_T_9443, _T_9444) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9446 = bits(_T_9445, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][102] <= _T_9430 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9431 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9432 = eq(_T_9431, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9433 = and(ic_valid_ff, _T_9432) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9434 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9435 = and(_T_9433, _T_9434) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9436 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9437 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9438 = and(_T_9436, _T_9437) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9439 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9440 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9441 = and(_T_9439, _T_9440) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9442 = or(_T_9438, _T_9441) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9443 = or(_T_9442, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9444 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9445 = and(_T_9443, _T_9444) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9446 = bits(_T_9445, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9447 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9446 : @[Reg.scala 28:19] _T_9447 <= _T_9435 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][103] <= _T_9447 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9448 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9449 = eq(_T_9448, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9450 = and(ic_valid_ff, _T_9449) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9451 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9452 = and(_T_9450, _T_9451) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9453 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9454 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9455 = and(_T_9453, _T_9454) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9456 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9457 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9458 = and(_T_9456, _T_9457) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9459 = or(_T_9455, _T_9458) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9460 = or(_T_9459, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9461 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9462 = and(_T_9460, _T_9461) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9463 = bits(_T_9462, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][103] <= _T_9447 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9448 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9449 = eq(_T_9448, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9450 = and(ic_valid_ff, _T_9449) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9451 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9452 = and(_T_9450, _T_9451) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9453 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9454 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9455 = and(_T_9453, _T_9454) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9456 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9457 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9458 = and(_T_9456, _T_9457) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9459 = or(_T_9455, _T_9458) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9460 = or(_T_9459, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9461 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9462 = and(_T_9460, _T_9461) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9463 = bits(_T_9462, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9464 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9463 : @[Reg.scala 28:19] _T_9464 <= _T_9452 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][104] <= _T_9464 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9465 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9466 = eq(_T_9465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9467 = and(ic_valid_ff, _T_9466) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9468 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9469 = and(_T_9467, _T_9468) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9470 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9471 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9472 = and(_T_9470, _T_9471) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9473 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9474 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9475 = and(_T_9473, _T_9474) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9476 = or(_T_9472, _T_9475) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9477 = or(_T_9476, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9478 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9479 = and(_T_9477, _T_9478) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9480 = bits(_T_9479, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][104] <= _T_9464 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9465 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9466 = eq(_T_9465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9467 = and(ic_valid_ff, _T_9466) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9468 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9469 = and(_T_9467, _T_9468) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9470 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9471 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9472 = and(_T_9470, _T_9471) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9473 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9474 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9475 = and(_T_9473, _T_9474) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9476 = or(_T_9472, _T_9475) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9477 = or(_T_9476, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9478 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9479 = and(_T_9477, _T_9478) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9480 = bits(_T_9479, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9481 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9480 : @[Reg.scala 28:19] _T_9481 <= _T_9469 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][105] <= _T_9481 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9482 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9483 = eq(_T_9482, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9484 = and(ic_valid_ff, _T_9483) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9485 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9486 = and(_T_9484, _T_9485) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9487 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9488 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9489 = and(_T_9487, _T_9488) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9490 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9491 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9492 = and(_T_9490, _T_9491) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9493 = or(_T_9489, _T_9492) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9494 = or(_T_9493, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9495 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9496 = and(_T_9494, _T_9495) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9497 = bits(_T_9496, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][105] <= _T_9481 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9482 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9483 = eq(_T_9482, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9484 = and(ic_valid_ff, _T_9483) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9485 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9486 = and(_T_9484, _T_9485) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9487 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9488 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9489 = and(_T_9487, _T_9488) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9490 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9491 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9492 = and(_T_9490, _T_9491) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9493 = or(_T_9489, _T_9492) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9494 = or(_T_9493, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9495 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9496 = and(_T_9494, _T_9495) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9497 = bits(_T_9496, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9498 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9497 : @[Reg.scala 28:19] _T_9498 <= _T_9486 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][106] <= _T_9498 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9499 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9500 = eq(_T_9499, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9501 = and(ic_valid_ff, _T_9500) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9502 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9503 = and(_T_9501, _T_9502) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9504 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9505 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9506 = and(_T_9504, _T_9505) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9507 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9508 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9509 = and(_T_9507, _T_9508) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9510 = or(_T_9506, _T_9509) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9511 = or(_T_9510, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9512 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9513 = and(_T_9511, _T_9512) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9514 = bits(_T_9513, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][106] <= _T_9498 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9499 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9500 = eq(_T_9499, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9501 = and(ic_valid_ff, _T_9500) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9502 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9503 = and(_T_9501, _T_9502) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9504 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9505 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9506 = and(_T_9504, _T_9505) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9507 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9508 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9509 = and(_T_9507, _T_9508) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9510 = or(_T_9506, _T_9509) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9511 = or(_T_9510, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9512 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9513 = and(_T_9511, _T_9512) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9514 = bits(_T_9513, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9515 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9514 : @[Reg.scala 28:19] _T_9515 <= _T_9503 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][107] <= _T_9515 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9516 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9517 = eq(_T_9516, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9518 = and(ic_valid_ff, _T_9517) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9519 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9520 = and(_T_9518, _T_9519) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9521 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9522 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9523 = and(_T_9521, _T_9522) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9524 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9525 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9526 = and(_T_9524, _T_9525) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9527 = or(_T_9523, _T_9526) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9528 = or(_T_9527, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9529 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9530 = and(_T_9528, _T_9529) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9531 = bits(_T_9530, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][107] <= _T_9515 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9516 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9517 = eq(_T_9516, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9518 = and(ic_valid_ff, _T_9517) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9519 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9520 = and(_T_9518, _T_9519) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9521 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9522 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9523 = and(_T_9521, _T_9522) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9524 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9525 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9526 = and(_T_9524, _T_9525) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9527 = or(_T_9523, _T_9526) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9528 = or(_T_9527, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9529 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9530 = and(_T_9528, _T_9529) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9531 = bits(_T_9530, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9532 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9531 : @[Reg.scala 28:19] _T_9532 <= _T_9520 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][108] <= _T_9532 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9533 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9534 = eq(_T_9533, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9535 = and(ic_valid_ff, _T_9534) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9536 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9537 = and(_T_9535, _T_9536) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9538 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9539 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9540 = and(_T_9538, _T_9539) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9541 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9542 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9543 = and(_T_9541, _T_9542) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9544 = or(_T_9540, _T_9543) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9545 = or(_T_9544, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9546 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9547 = and(_T_9545, _T_9546) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9548 = bits(_T_9547, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][108] <= _T_9532 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9533 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9534 = eq(_T_9533, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9535 = and(ic_valid_ff, _T_9534) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9536 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9537 = and(_T_9535, _T_9536) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9538 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9539 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9540 = and(_T_9538, _T_9539) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9541 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9542 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9543 = and(_T_9541, _T_9542) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9544 = or(_T_9540, _T_9543) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9545 = or(_T_9544, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9546 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9547 = and(_T_9545, _T_9546) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9548 = bits(_T_9547, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9549 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9548 : @[Reg.scala 28:19] _T_9549 <= _T_9537 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][109] <= _T_9549 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9550 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9551 = eq(_T_9550, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9552 = and(ic_valid_ff, _T_9551) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9553 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9554 = and(_T_9552, _T_9553) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9555 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9556 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9557 = and(_T_9555, _T_9556) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9558 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9559 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9560 = and(_T_9558, _T_9559) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9561 = or(_T_9557, _T_9560) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9562 = or(_T_9561, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9563 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9564 = and(_T_9562, _T_9563) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9565 = bits(_T_9564, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][109] <= _T_9549 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9550 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9551 = eq(_T_9550, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9552 = and(ic_valid_ff, _T_9551) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9553 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9554 = and(_T_9552, _T_9553) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9555 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9556 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9557 = and(_T_9555, _T_9556) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9558 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9559 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9560 = and(_T_9558, _T_9559) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9561 = or(_T_9557, _T_9560) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9562 = or(_T_9561, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9563 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9564 = and(_T_9562, _T_9563) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9565 = bits(_T_9564, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9566 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9565 : @[Reg.scala 28:19] _T_9566 <= _T_9554 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][110] <= _T_9566 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9567 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9568 = eq(_T_9567, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9569 = and(ic_valid_ff, _T_9568) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9570 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9571 = and(_T_9569, _T_9570) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9572 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9573 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9574 = and(_T_9572, _T_9573) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9575 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9576 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9577 = and(_T_9575, _T_9576) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9578 = or(_T_9574, _T_9577) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9579 = or(_T_9578, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9580 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9581 = and(_T_9579, _T_9580) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9582 = bits(_T_9581, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][110] <= _T_9566 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9567 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9568 = eq(_T_9567, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9569 = and(ic_valid_ff, _T_9568) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9570 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9571 = and(_T_9569, _T_9570) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9572 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9573 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9574 = and(_T_9572, _T_9573) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9575 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9576 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9577 = and(_T_9575, _T_9576) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9578 = or(_T_9574, _T_9577) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9579 = or(_T_9578, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9580 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9581 = and(_T_9579, _T_9580) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9582 = bits(_T_9581, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9583 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9582 : @[Reg.scala 28:19] _T_9583 <= _T_9571 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][111] <= _T_9583 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9584 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9585 = eq(_T_9584, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9586 = and(ic_valid_ff, _T_9585) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9587 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9588 = and(_T_9586, _T_9587) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9589 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9590 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9591 = and(_T_9589, _T_9590) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9592 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9593 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9594 = and(_T_9592, _T_9593) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9595 = or(_T_9591, _T_9594) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9596 = or(_T_9595, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9597 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9598 = and(_T_9596, _T_9597) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9599 = bits(_T_9598, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][111] <= _T_9583 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9584 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9585 = eq(_T_9584, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9586 = and(ic_valid_ff, _T_9585) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9587 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9588 = and(_T_9586, _T_9587) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9589 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9590 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9591 = and(_T_9589, _T_9590) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9592 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9593 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9594 = and(_T_9592, _T_9593) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9595 = or(_T_9591, _T_9594) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9596 = or(_T_9595, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9597 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9598 = and(_T_9596, _T_9597) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9599 = bits(_T_9598, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9600 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9599 : @[Reg.scala 28:19] _T_9600 <= _T_9588 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][112] <= _T_9600 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9601 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9602 = eq(_T_9601, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9603 = and(ic_valid_ff, _T_9602) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9604 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9605 = and(_T_9603, _T_9604) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9606 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9607 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9608 = and(_T_9606, _T_9607) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9609 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9610 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9611 = and(_T_9609, _T_9610) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9612 = or(_T_9608, _T_9611) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9613 = or(_T_9612, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9614 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9615 = and(_T_9613, _T_9614) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9616 = bits(_T_9615, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][112] <= _T_9600 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9601 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9602 = eq(_T_9601, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9603 = and(ic_valid_ff, _T_9602) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9604 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9605 = and(_T_9603, _T_9604) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9606 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9607 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9608 = and(_T_9606, _T_9607) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9609 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9610 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9611 = and(_T_9609, _T_9610) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9612 = or(_T_9608, _T_9611) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9613 = or(_T_9612, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9614 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9615 = and(_T_9613, _T_9614) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9616 = bits(_T_9615, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9617 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9616 : @[Reg.scala 28:19] _T_9617 <= _T_9605 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][113] <= _T_9617 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9618 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9619 = eq(_T_9618, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9620 = and(ic_valid_ff, _T_9619) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9621 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9622 = and(_T_9620, _T_9621) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9623 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9624 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9625 = and(_T_9623, _T_9624) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9626 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9627 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9628 = and(_T_9626, _T_9627) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9629 = or(_T_9625, _T_9628) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9630 = or(_T_9629, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9631 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9632 = and(_T_9630, _T_9631) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9633 = bits(_T_9632, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][113] <= _T_9617 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9618 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9619 = eq(_T_9618, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9620 = and(ic_valid_ff, _T_9619) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9621 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9622 = and(_T_9620, _T_9621) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9623 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9624 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9625 = and(_T_9623, _T_9624) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9626 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9627 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9628 = and(_T_9626, _T_9627) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9629 = or(_T_9625, _T_9628) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9630 = or(_T_9629, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9631 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9632 = and(_T_9630, _T_9631) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9633 = bits(_T_9632, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9634 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9633 : @[Reg.scala 28:19] _T_9634 <= _T_9622 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][114] <= _T_9634 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9635 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9636 = eq(_T_9635, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9637 = and(ic_valid_ff, _T_9636) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9638 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9639 = and(_T_9637, _T_9638) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9640 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9641 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9642 = and(_T_9640, _T_9641) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9643 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9644 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9645 = and(_T_9643, _T_9644) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9646 = or(_T_9642, _T_9645) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9647 = or(_T_9646, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9648 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9649 = and(_T_9647, _T_9648) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9650 = bits(_T_9649, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][114] <= _T_9634 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9635 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9636 = eq(_T_9635, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9637 = and(ic_valid_ff, _T_9636) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9638 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9639 = and(_T_9637, _T_9638) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9640 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9641 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9642 = and(_T_9640, _T_9641) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9643 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9644 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9645 = and(_T_9643, _T_9644) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9646 = or(_T_9642, _T_9645) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9647 = or(_T_9646, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9648 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9649 = and(_T_9647, _T_9648) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9650 = bits(_T_9649, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9651 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9650 : @[Reg.scala 28:19] _T_9651 <= _T_9639 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][115] <= _T_9651 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9652 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9653 = eq(_T_9652, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9654 = and(ic_valid_ff, _T_9653) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9655 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9656 = and(_T_9654, _T_9655) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9657 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9658 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9659 = and(_T_9657, _T_9658) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9660 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9661 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9662 = and(_T_9660, _T_9661) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9663 = or(_T_9659, _T_9662) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9664 = or(_T_9663, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9665 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9666 = and(_T_9664, _T_9665) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9667 = bits(_T_9666, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][115] <= _T_9651 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9652 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9653 = eq(_T_9652, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9654 = and(ic_valid_ff, _T_9653) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9655 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9656 = and(_T_9654, _T_9655) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9657 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9658 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9659 = and(_T_9657, _T_9658) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9660 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9661 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9662 = and(_T_9660, _T_9661) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9663 = or(_T_9659, _T_9662) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9664 = or(_T_9663, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9665 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9666 = and(_T_9664, _T_9665) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9667 = bits(_T_9666, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9668 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9667 : @[Reg.scala 28:19] _T_9668 <= _T_9656 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][116] <= _T_9668 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9669 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9670 = eq(_T_9669, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9671 = and(ic_valid_ff, _T_9670) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9672 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9673 = and(_T_9671, _T_9672) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9674 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9675 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9676 = and(_T_9674, _T_9675) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9677 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9678 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9679 = and(_T_9677, _T_9678) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9680 = or(_T_9676, _T_9679) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9681 = or(_T_9680, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9682 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9683 = and(_T_9681, _T_9682) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9684 = bits(_T_9683, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][116] <= _T_9668 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9669 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9670 = eq(_T_9669, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9671 = and(ic_valid_ff, _T_9670) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9672 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9673 = and(_T_9671, _T_9672) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9674 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9675 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9676 = and(_T_9674, _T_9675) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9677 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9678 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9679 = and(_T_9677, _T_9678) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9680 = or(_T_9676, _T_9679) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9681 = or(_T_9680, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9682 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9683 = and(_T_9681, _T_9682) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9684 = bits(_T_9683, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9685 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9684 : @[Reg.scala 28:19] _T_9685 <= _T_9673 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][117] <= _T_9685 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9686 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9687 = eq(_T_9686, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9688 = and(ic_valid_ff, _T_9687) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9689 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9690 = and(_T_9688, _T_9689) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9691 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9692 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9693 = and(_T_9691, _T_9692) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9694 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9695 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9696 = and(_T_9694, _T_9695) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9697 = or(_T_9693, _T_9696) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9698 = or(_T_9697, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9699 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9700 = and(_T_9698, _T_9699) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9701 = bits(_T_9700, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][117] <= _T_9685 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9686 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9687 = eq(_T_9686, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9688 = and(ic_valid_ff, _T_9687) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9689 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9690 = and(_T_9688, _T_9689) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9691 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9692 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9693 = and(_T_9691, _T_9692) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9694 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9695 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9696 = and(_T_9694, _T_9695) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9697 = or(_T_9693, _T_9696) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9698 = or(_T_9697, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9699 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9700 = and(_T_9698, _T_9699) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9701 = bits(_T_9700, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9702 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9701 : @[Reg.scala 28:19] _T_9702 <= _T_9690 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][118] <= _T_9702 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9703 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9704 = eq(_T_9703, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9705 = and(ic_valid_ff, _T_9704) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9706 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9707 = and(_T_9705, _T_9706) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9708 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9709 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9710 = and(_T_9708, _T_9709) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9711 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9712 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9713 = and(_T_9711, _T_9712) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9714 = or(_T_9710, _T_9713) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9715 = or(_T_9714, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9716 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9717 = and(_T_9715, _T_9716) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9718 = bits(_T_9717, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][118] <= _T_9702 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9703 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9704 = eq(_T_9703, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9705 = and(ic_valid_ff, _T_9704) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9706 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9707 = and(_T_9705, _T_9706) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9708 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9709 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9710 = and(_T_9708, _T_9709) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9711 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9712 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9713 = and(_T_9711, _T_9712) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9714 = or(_T_9710, _T_9713) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9715 = or(_T_9714, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9716 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9717 = and(_T_9715, _T_9716) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9718 = bits(_T_9717, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9719 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9718 : @[Reg.scala 28:19] _T_9719 <= _T_9707 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][119] <= _T_9719 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9720 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9721 = eq(_T_9720, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9722 = and(ic_valid_ff, _T_9721) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9723 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9724 = and(_T_9722, _T_9723) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9725 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9726 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9727 = and(_T_9725, _T_9726) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9728 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9729 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9730 = and(_T_9728, _T_9729) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9731 = or(_T_9727, _T_9730) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9732 = or(_T_9731, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9733 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9734 = and(_T_9732, _T_9733) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9735 = bits(_T_9734, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][119] <= _T_9719 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9720 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9721 = eq(_T_9720, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9722 = and(ic_valid_ff, _T_9721) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9723 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9724 = and(_T_9722, _T_9723) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9725 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9726 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9727 = and(_T_9725, _T_9726) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9728 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9729 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9730 = and(_T_9728, _T_9729) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9731 = or(_T_9727, _T_9730) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9732 = or(_T_9731, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9733 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9734 = and(_T_9732, _T_9733) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9735 = bits(_T_9734, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9736 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9735 : @[Reg.scala 28:19] _T_9736 <= _T_9724 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][120] <= _T_9736 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9737 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9738 = eq(_T_9737, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9739 = and(ic_valid_ff, _T_9738) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9740 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9741 = and(_T_9739, _T_9740) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9742 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9743 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9744 = and(_T_9742, _T_9743) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9745 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9746 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9747 = and(_T_9745, _T_9746) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9748 = or(_T_9744, _T_9747) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9749 = or(_T_9748, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9750 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9751 = and(_T_9749, _T_9750) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9752 = bits(_T_9751, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][120] <= _T_9736 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9737 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9738 = eq(_T_9737, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9739 = and(ic_valid_ff, _T_9738) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9740 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9741 = and(_T_9739, _T_9740) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9742 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9743 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9744 = and(_T_9742, _T_9743) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9745 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9746 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9747 = and(_T_9745, _T_9746) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9748 = or(_T_9744, _T_9747) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9749 = or(_T_9748, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9750 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9751 = and(_T_9749, _T_9750) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9752 = bits(_T_9751, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9753 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9752 : @[Reg.scala 28:19] _T_9753 <= _T_9741 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][121] <= _T_9753 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9754 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9755 = eq(_T_9754, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9756 = and(ic_valid_ff, _T_9755) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9757 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9758 = and(_T_9756, _T_9757) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9759 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9760 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9761 = and(_T_9759, _T_9760) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9762 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9763 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9764 = and(_T_9762, _T_9763) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9765 = or(_T_9761, _T_9764) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9766 = or(_T_9765, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9767 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9768 = and(_T_9766, _T_9767) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9769 = bits(_T_9768, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][121] <= _T_9753 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9754 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9755 = eq(_T_9754, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9756 = and(ic_valid_ff, _T_9755) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9757 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9758 = and(_T_9756, _T_9757) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9759 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9760 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9761 = and(_T_9759, _T_9760) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9762 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9763 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9764 = and(_T_9762, _T_9763) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9765 = or(_T_9761, _T_9764) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9766 = or(_T_9765, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9767 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9768 = and(_T_9766, _T_9767) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9769 = bits(_T_9768, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9770 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9769 : @[Reg.scala 28:19] _T_9770 <= _T_9758 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][122] <= _T_9770 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9771 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9772 = eq(_T_9771, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9773 = and(ic_valid_ff, _T_9772) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9774 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9775 = and(_T_9773, _T_9774) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9776 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9777 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9778 = and(_T_9776, _T_9777) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9779 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9780 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9781 = and(_T_9779, _T_9780) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9782 = or(_T_9778, _T_9781) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9783 = or(_T_9782, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9784 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9785 = and(_T_9783, _T_9784) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9786 = bits(_T_9785, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][122] <= _T_9770 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9771 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9772 = eq(_T_9771, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9773 = and(ic_valid_ff, _T_9772) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9774 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9775 = and(_T_9773, _T_9774) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9776 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9777 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9778 = and(_T_9776, _T_9777) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9779 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9780 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9781 = and(_T_9779, _T_9780) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9782 = or(_T_9778, _T_9781) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9783 = or(_T_9782, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9784 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9785 = and(_T_9783, _T_9784) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9786 = bits(_T_9785, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9787 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9786 : @[Reg.scala 28:19] _T_9787 <= _T_9775 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][123] <= _T_9787 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9788 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9789 = eq(_T_9788, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9790 = and(ic_valid_ff, _T_9789) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9791 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9792 = and(_T_9790, _T_9791) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9793 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9794 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9795 = and(_T_9793, _T_9794) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9796 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9797 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9798 = and(_T_9796, _T_9797) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9799 = or(_T_9795, _T_9798) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9800 = or(_T_9799, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9801 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9802 = and(_T_9800, _T_9801) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9803 = bits(_T_9802, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][123] <= _T_9787 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9788 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9789 = eq(_T_9788, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9790 = and(ic_valid_ff, _T_9789) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9791 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9792 = and(_T_9790, _T_9791) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9793 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9794 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9795 = and(_T_9793, _T_9794) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9796 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9797 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9798 = and(_T_9796, _T_9797) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9799 = or(_T_9795, _T_9798) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9800 = or(_T_9799, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9801 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9802 = and(_T_9800, _T_9801) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9803 = bits(_T_9802, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9804 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9803 : @[Reg.scala 28:19] _T_9804 <= _T_9792 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][124] <= _T_9804 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9805 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9806 = eq(_T_9805, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9807 = and(ic_valid_ff, _T_9806) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9808 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9809 = and(_T_9807, _T_9808) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9810 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9811 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9812 = and(_T_9810, _T_9811) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9813 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9814 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9815 = and(_T_9813, _T_9814) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9816 = or(_T_9812, _T_9815) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9817 = or(_T_9816, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9818 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9819 = and(_T_9817, _T_9818) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9820 = bits(_T_9819, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][124] <= _T_9804 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9805 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9806 = eq(_T_9805, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9807 = and(ic_valid_ff, _T_9806) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9808 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9809 = and(_T_9807, _T_9808) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9810 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9811 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9812 = and(_T_9810, _T_9811) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9813 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9814 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9815 = and(_T_9813, _T_9814) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9816 = or(_T_9812, _T_9815) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9817 = or(_T_9816, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9818 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9819 = and(_T_9817, _T_9818) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9820 = bits(_T_9819, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9821 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9820 : @[Reg.scala 28:19] _T_9821 <= _T_9809 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][125] <= _T_9821 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9822 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9823 = eq(_T_9822, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9824 = and(ic_valid_ff, _T_9823) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9825 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9826 = and(_T_9824, _T_9825) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9827 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9828 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9829 = and(_T_9827, _T_9828) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9830 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9831 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9832 = and(_T_9830, _T_9831) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9833 = or(_T_9829, _T_9832) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9834 = or(_T_9833, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9835 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9836 = and(_T_9834, _T_9835) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9837 = bits(_T_9836, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][125] <= _T_9821 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9822 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9823 = eq(_T_9822, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9824 = and(ic_valid_ff, _T_9823) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9825 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9826 = and(_T_9824, _T_9825) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9827 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9828 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9829 = and(_T_9827, _T_9828) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9830 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9831 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9832 = and(_T_9830, _T_9831) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9833 = or(_T_9829, _T_9832) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9834 = or(_T_9833, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9835 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9836 = and(_T_9834, _T_9835) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9837 = bits(_T_9836, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9838 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9837 : @[Reg.scala 28:19] _T_9838 <= _T_9826 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][126] <= _T_9838 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9839 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:84] - node _T_9840 = eq(_T_9839, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:68] - node _T_9841 = and(ic_valid_ff, _T_9840) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9842 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:93] - node _T_9843 = and(_T_9841, _T_9842) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9844 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:37] - node _T_9845 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:76] - node _T_9846 = and(_T_9844, _T_9845) @[el2_ifu_mem_ctl.scala 751:59] - node _T_9847 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:102] - node _T_9848 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 751:142] - node _T_9849 = and(_T_9847, _T_9848) @[el2_ifu_mem_ctl.scala 751:124] - node _T_9850 = or(_T_9846, _T_9849) @[el2_ifu_mem_ctl.scala 751:81] - node _T_9851 = or(_T_9850, reset_all_tags) @[el2_ifu_mem_ctl.scala 751:147] - node _T_9852 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:185] - node _T_9853 = and(_T_9851, _T_9852) @[el2_ifu_mem_ctl.scala 751:165] - node _T_9854 = bits(_T_9853, 0, 0) @[el2_ifu_mem_ctl.scala 751:190] + ic_tag_valid_out[1][126] <= _T_9838 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9839 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 751:84] + node _T_9840 = eq(_T_9839, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:68] + node _T_9841 = and(ic_valid_ff, _T_9840) @[el2_ifu_mem_ctl.scala 751:66] + node _T_9842 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:93] + node _T_9843 = and(_T_9841, _T_9842) @[el2_ifu_mem_ctl.scala 751:91] + node _T_9844 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 752:37] + node _T_9845 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 752:76] + node _T_9846 = and(_T_9844, _T_9845) @[el2_ifu_mem_ctl.scala 752:59] + node _T_9847 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 752:102] + node _T_9848 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:142] + node _T_9849 = and(_T_9847, _T_9848) @[el2_ifu_mem_ctl.scala 752:124] + node _T_9850 = or(_T_9846, _T_9849) @[el2_ifu_mem_ctl.scala 752:81] + node _T_9851 = or(_T_9850, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:147] + node _T_9852 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 752:185] + node _T_9853 = and(_T_9851, _T_9852) @[el2_ifu_mem_ctl.scala 752:165] + node _T_9854 = bits(_T_9853, 0, 0) @[el2_ifu_mem_ctl.scala 752:190] reg _T_9855 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9854 : @[Reg.scala 28:19] _T_9855 <= _T_9843 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][127] <= _T_9855 @[el2_ifu_mem_ctl.scala 750:41] - node _T_9856 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9857 = mux(_T_9856, ic_tag_valid_out[0][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9858 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9859 = mux(_T_9858, ic_tag_valid_out[0][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9860 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9861 = mux(_T_9860, ic_tag_valid_out[0][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9862 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9863 = mux(_T_9862, ic_tag_valid_out[0][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9864 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9865 = mux(_T_9864, ic_tag_valid_out[0][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9866 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9867 = mux(_T_9866, ic_tag_valid_out[0][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9868 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9869 = mux(_T_9868, ic_tag_valid_out[0][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9870 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9871 = mux(_T_9870, ic_tag_valid_out[0][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9872 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9873 = mux(_T_9872, ic_tag_valid_out[0][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9874 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9875 = mux(_T_9874, ic_tag_valid_out[0][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9876 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9877 = mux(_T_9876, ic_tag_valid_out[0][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9878 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9879 = mux(_T_9878, ic_tag_valid_out[0][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9880 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9881 = mux(_T_9880, ic_tag_valid_out[0][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9882 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9883 = mux(_T_9882, ic_tag_valid_out[0][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9884 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9885 = mux(_T_9884, ic_tag_valid_out[0][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9886 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9887 = mux(_T_9886, ic_tag_valid_out[0][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9888 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9889 = mux(_T_9888, ic_tag_valid_out[0][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9890 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9891 = mux(_T_9890, ic_tag_valid_out[0][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9892 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9893 = mux(_T_9892, ic_tag_valid_out[0][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9894 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9895 = mux(_T_9894, ic_tag_valid_out[0][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9896 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9897 = mux(_T_9896, ic_tag_valid_out[0][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9898 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9899 = mux(_T_9898, ic_tag_valid_out[0][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9900 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9901 = mux(_T_9900, ic_tag_valid_out[0][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9902 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9903 = mux(_T_9902, ic_tag_valid_out[0][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9904 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9905 = mux(_T_9904, ic_tag_valid_out[0][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9906 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9907 = mux(_T_9906, ic_tag_valid_out[0][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9908 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9909 = mux(_T_9908, ic_tag_valid_out[0][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9910 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9911 = mux(_T_9910, ic_tag_valid_out[0][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9912 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9913 = mux(_T_9912, ic_tag_valid_out[0][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9914 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9915 = mux(_T_9914, ic_tag_valid_out[0][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9916 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9917 = mux(_T_9916, ic_tag_valid_out[0][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9918 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9919 = mux(_T_9918, ic_tag_valid_out[0][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9920 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9921 = mux(_T_9920, ic_tag_valid_out[0][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9922 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9923 = mux(_T_9922, ic_tag_valid_out[0][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9924 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9925 = mux(_T_9924, ic_tag_valid_out[0][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9926 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9927 = mux(_T_9926, ic_tag_valid_out[0][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9928 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9929 = mux(_T_9928, ic_tag_valid_out[0][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9930 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9931 = mux(_T_9930, ic_tag_valid_out[0][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9932 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9933 = mux(_T_9932, ic_tag_valid_out[0][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9934 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9935 = mux(_T_9934, ic_tag_valid_out[0][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9936 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9937 = mux(_T_9936, ic_tag_valid_out[0][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9938 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9939 = mux(_T_9938, ic_tag_valid_out[0][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9940 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9941 = mux(_T_9940, ic_tag_valid_out[0][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9942 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9943 = mux(_T_9942, ic_tag_valid_out[0][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9944 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9945 = mux(_T_9944, ic_tag_valid_out[0][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9946 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9947 = mux(_T_9946, ic_tag_valid_out[0][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9948 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9949 = mux(_T_9948, ic_tag_valid_out[0][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9950 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9951 = mux(_T_9950, ic_tag_valid_out[0][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9952 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9953 = mux(_T_9952, ic_tag_valid_out[0][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9954 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9955 = mux(_T_9954, ic_tag_valid_out[0][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9956 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9957 = mux(_T_9956, ic_tag_valid_out[0][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9958 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9959 = mux(_T_9958, ic_tag_valid_out[0][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9960 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9961 = mux(_T_9960, ic_tag_valid_out[0][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9962 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9963 = mux(_T_9962, ic_tag_valid_out[0][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9964 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9965 = mux(_T_9964, ic_tag_valid_out[0][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9966 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9967 = mux(_T_9966, ic_tag_valid_out[0][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9968 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9969 = mux(_T_9968, ic_tag_valid_out[0][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9970 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9971 = mux(_T_9970, ic_tag_valid_out[0][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9972 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9973 = mux(_T_9972, ic_tag_valid_out[0][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9974 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9975 = mux(_T_9974, ic_tag_valid_out[0][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9976 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9977 = mux(_T_9976, ic_tag_valid_out[0][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9978 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9979 = mux(_T_9978, ic_tag_valid_out[0][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9980 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9981 = mux(_T_9980, ic_tag_valid_out[0][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9982 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9983 = mux(_T_9982, ic_tag_valid_out[0][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9984 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9985 = mux(_T_9984, ic_tag_valid_out[0][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9986 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9987 = mux(_T_9986, ic_tag_valid_out[0][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9988 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9989 = mux(_T_9988, ic_tag_valid_out[0][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9990 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9991 = mux(_T_9990, ic_tag_valid_out[0][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9992 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9993 = mux(_T_9992, ic_tag_valid_out[0][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9994 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9995 = mux(_T_9994, ic_tag_valid_out[0][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9996 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9997 = mux(_T_9996, ic_tag_valid_out[0][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9998 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9999 = mux(_T_9998, ic_tag_valid_out[0][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10000 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10001 = mux(_T_10000, ic_tag_valid_out[0][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10002 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10003 = mux(_T_10002, ic_tag_valid_out[0][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10004 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10005 = mux(_T_10004, ic_tag_valid_out[0][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10006 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10007 = mux(_T_10006, ic_tag_valid_out[0][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10008 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10009 = mux(_T_10008, ic_tag_valid_out[0][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10010 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10011 = mux(_T_10010, ic_tag_valid_out[0][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10012 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10013 = mux(_T_10012, ic_tag_valid_out[0][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10014 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10015 = mux(_T_10014, ic_tag_valid_out[0][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10016 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10017 = mux(_T_10016, ic_tag_valid_out[0][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10018 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10019 = mux(_T_10018, ic_tag_valid_out[0][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10020 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10021 = mux(_T_10020, ic_tag_valid_out[0][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10022 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10023 = mux(_T_10022, ic_tag_valid_out[0][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10024 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10025 = mux(_T_10024, ic_tag_valid_out[0][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10026 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10027 = mux(_T_10026, ic_tag_valid_out[0][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10028 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10029 = mux(_T_10028, ic_tag_valid_out[0][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10030 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10031 = mux(_T_10030, ic_tag_valid_out[0][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10032 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10033 = mux(_T_10032, ic_tag_valid_out[0][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10034 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10035 = mux(_T_10034, ic_tag_valid_out[0][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10036 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10037 = mux(_T_10036, ic_tag_valid_out[0][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10038 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10039 = mux(_T_10038, ic_tag_valid_out[0][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10040 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10041 = mux(_T_10040, ic_tag_valid_out[0][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10042 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10043 = mux(_T_10042, ic_tag_valid_out[0][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10044 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10045 = mux(_T_10044, ic_tag_valid_out[0][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10046 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10047 = mux(_T_10046, ic_tag_valid_out[0][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10048 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10049 = mux(_T_10048, ic_tag_valid_out[0][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10050 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10051 = mux(_T_10050, ic_tag_valid_out[0][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10052 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10053 = mux(_T_10052, ic_tag_valid_out[0][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10054 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10055 = mux(_T_10054, ic_tag_valid_out[0][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10056 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10057 = mux(_T_10056, ic_tag_valid_out[0][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10058 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10059 = mux(_T_10058, ic_tag_valid_out[0][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10060 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10061 = mux(_T_10060, ic_tag_valid_out[0][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10062 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10063 = mux(_T_10062, ic_tag_valid_out[0][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10064 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10065 = mux(_T_10064, ic_tag_valid_out[0][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10066 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10067 = mux(_T_10066, ic_tag_valid_out[0][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10068 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10069 = mux(_T_10068, ic_tag_valid_out[0][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10070 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10071 = mux(_T_10070, ic_tag_valid_out[0][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10072 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10073 = mux(_T_10072, ic_tag_valid_out[0][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10074 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10075 = mux(_T_10074, ic_tag_valid_out[0][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10076 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10077 = mux(_T_10076, ic_tag_valid_out[0][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10078 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10079 = mux(_T_10078, ic_tag_valid_out[0][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10080 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10081 = mux(_T_10080, ic_tag_valid_out[0][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10082 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10083 = mux(_T_10082, ic_tag_valid_out[0][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10084 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10085 = mux(_T_10084, ic_tag_valid_out[0][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10086 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10087 = mux(_T_10086, ic_tag_valid_out[0][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10088 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10089 = mux(_T_10088, ic_tag_valid_out[0][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10090 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10091 = mux(_T_10090, ic_tag_valid_out[0][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10092 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10093 = mux(_T_10092, ic_tag_valid_out[0][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10094 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10095 = mux(_T_10094, ic_tag_valid_out[0][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10096 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10097 = mux(_T_10096, ic_tag_valid_out[0][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10098 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10099 = mux(_T_10098, ic_tag_valid_out[0][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10100 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10101 = mux(_T_10100, ic_tag_valid_out[0][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10102 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10103 = mux(_T_10102, ic_tag_valid_out[0][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10104 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10105 = mux(_T_10104, ic_tag_valid_out[0][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10106 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10107 = mux(_T_10106, ic_tag_valid_out[0][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10108 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10109 = mux(_T_10108, ic_tag_valid_out[0][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10110 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10111 = mux(_T_10110, ic_tag_valid_out[0][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10112 = or(_T_9857, _T_9859) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10113 = or(_T_10112, _T_9861) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10114 = or(_T_10113, _T_9863) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10115 = or(_T_10114, _T_9865) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10116 = or(_T_10115, _T_9867) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10117 = or(_T_10116, _T_9869) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10118 = or(_T_10117, _T_9871) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10119 = or(_T_10118, _T_9873) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10120 = or(_T_10119, _T_9875) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10121 = or(_T_10120, _T_9877) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10122 = or(_T_10121, _T_9879) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10123 = or(_T_10122, _T_9881) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10124 = or(_T_10123, _T_9883) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10125 = or(_T_10124, _T_9885) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10126 = or(_T_10125, _T_9887) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10127 = or(_T_10126, _T_9889) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10128 = or(_T_10127, _T_9891) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10129 = or(_T_10128, _T_9893) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10130 = or(_T_10129, _T_9895) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10131 = or(_T_10130, _T_9897) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10132 = or(_T_10131, _T_9899) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10133 = or(_T_10132, _T_9901) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10134 = or(_T_10133, _T_9903) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10135 = or(_T_10134, _T_9905) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10136 = or(_T_10135, _T_9907) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10137 = or(_T_10136, _T_9909) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10138 = or(_T_10137, _T_9911) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10139 = or(_T_10138, _T_9913) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10140 = or(_T_10139, _T_9915) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10141 = or(_T_10140, _T_9917) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10142 = or(_T_10141, _T_9919) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10143 = or(_T_10142, _T_9921) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10144 = or(_T_10143, _T_9923) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10145 = or(_T_10144, _T_9925) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10146 = or(_T_10145, _T_9927) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10147 = or(_T_10146, _T_9929) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10148 = or(_T_10147, _T_9931) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10149 = or(_T_10148, _T_9933) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10150 = or(_T_10149, _T_9935) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10151 = or(_T_10150, _T_9937) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10152 = or(_T_10151, _T_9939) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10153 = or(_T_10152, _T_9941) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10154 = or(_T_10153, _T_9943) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10155 = or(_T_10154, _T_9945) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10156 = or(_T_10155, _T_9947) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10157 = or(_T_10156, _T_9949) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10158 = or(_T_10157, _T_9951) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10159 = or(_T_10158, _T_9953) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10160 = or(_T_10159, _T_9955) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10161 = or(_T_10160, _T_9957) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10162 = or(_T_10161, _T_9959) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10163 = or(_T_10162, _T_9961) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10164 = or(_T_10163, _T_9963) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10165 = or(_T_10164, _T_9965) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10166 = or(_T_10165, _T_9967) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10167 = or(_T_10166, _T_9969) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10168 = or(_T_10167, _T_9971) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10169 = or(_T_10168, _T_9973) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10170 = or(_T_10169, _T_9975) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10171 = or(_T_10170, _T_9977) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10172 = or(_T_10171, _T_9979) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10173 = or(_T_10172, _T_9981) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10174 = or(_T_10173, _T_9983) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10175 = or(_T_10174, _T_9985) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10176 = or(_T_10175, _T_9987) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10177 = or(_T_10176, _T_9989) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10178 = or(_T_10177, _T_9991) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10179 = or(_T_10178, _T_9993) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10180 = or(_T_10179, _T_9995) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10181 = or(_T_10180, _T_9997) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10182 = or(_T_10181, _T_9999) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10183 = or(_T_10182, _T_10001) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10184 = or(_T_10183, _T_10003) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10185 = or(_T_10184, _T_10005) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10186 = or(_T_10185, _T_10007) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10187 = or(_T_10186, _T_10009) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10188 = or(_T_10187, _T_10011) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10189 = or(_T_10188, _T_10013) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10190 = or(_T_10189, _T_10015) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10191 = or(_T_10190, _T_10017) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10192 = or(_T_10191, _T_10019) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10193 = or(_T_10192, _T_10021) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10194 = or(_T_10193, _T_10023) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10195 = or(_T_10194, _T_10025) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10196 = or(_T_10195, _T_10027) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10197 = or(_T_10196, _T_10029) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10198 = or(_T_10197, _T_10031) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10199 = or(_T_10198, _T_10033) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10200 = or(_T_10199, _T_10035) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10201 = or(_T_10200, _T_10037) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10202 = or(_T_10201, _T_10039) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10203 = or(_T_10202, _T_10041) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10204 = or(_T_10203, _T_10043) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10205 = or(_T_10204, _T_10045) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10206 = or(_T_10205, _T_10047) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10207 = or(_T_10206, _T_10049) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10208 = or(_T_10207, _T_10051) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10209 = or(_T_10208, _T_10053) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10210 = or(_T_10209, _T_10055) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10211 = or(_T_10210, _T_10057) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10212 = or(_T_10211, _T_10059) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10213 = or(_T_10212, _T_10061) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10214 = or(_T_10213, _T_10063) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10215 = or(_T_10214, _T_10065) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10216 = or(_T_10215, _T_10067) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10217 = or(_T_10216, _T_10069) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10218 = or(_T_10217, _T_10071) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10219 = or(_T_10218, _T_10073) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10220 = or(_T_10219, _T_10075) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10221 = or(_T_10220, _T_10077) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10222 = or(_T_10221, _T_10079) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10223 = or(_T_10222, _T_10081) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10224 = or(_T_10223, _T_10083) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10225 = or(_T_10224, _T_10085) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10226 = or(_T_10225, _T_10087) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10227 = or(_T_10226, _T_10089) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10228 = or(_T_10227, _T_10091) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10229 = or(_T_10228, _T_10093) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10230 = or(_T_10229, _T_10095) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10231 = or(_T_10230, _T_10097) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10232 = or(_T_10231, _T_10099) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10233 = or(_T_10232, _T_10101) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10234 = or(_T_10233, _T_10103) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10235 = or(_T_10234, _T_10105) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10236 = or(_T_10235, _T_10107) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10237 = or(_T_10236, _T_10109) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10238 = or(_T_10237, _T_10111) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10239 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10240 = mux(_T_10239, ic_tag_valid_out[1][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10241 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10242 = mux(_T_10241, ic_tag_valid_out[1][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10243 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10244 = mux(_T_10243, ic_tag_valid_out[1][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10245 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10246 = mux(_T_10245, ic_tag_valid_out[1][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10247 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10248 = mux(_T_10247, ic_tag_valid_out[1][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10249 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10250 = mux(_T_10249, ic_tag_valid_out[1][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10251 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10252 = mux(_T_10251, ic_tag_valid_out[1][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10253 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10254 = mux(_T_10253, ic_tag_valid_out[1][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10255 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10256 = mux(_T_10255, ic_tag_valid_out[1][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10257 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10258 = mux(_T_10257, ic_tag_valid_out[1][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10259 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10260 = mux(_T_10259, ic_tag_valid_out[1][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10261 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10262 = mux(_T_10261, ic_tag_valid_out[1][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10263 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10264 = mux(_T_10263, ic_tag_valid_out[1][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10265 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10266 = mux(_T_10265, ic_tag_valid_out[1][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10267 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10268 = mux(_T_10267, ic_tag_valid_out[1][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10269 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10270 = mux(_T_10269, ic_tag_valid_out[1][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10271 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10272 = mux(_T_10271, ic_tag_valid_out[1][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10273 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10274 = mux(_T_10273, ic_tag_valid_out[1][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10275 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10276 = mux(_T_10275, ic_tag_valid_out[1][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10277 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10278 = mux(_T_10277, ic_tag_valid_out[1][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10279 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10280 = mux(_T_10279, ic_tag_valid_out[1][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10281 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10282 = mux(_T_10281, ic_tag_valid_out[1][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10283 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10284 = mux(_T_10283, ic_tag_valid_out[1][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10285 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10286 = mux(_T_10285, ic_tag_valid_out[1][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10287 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10288 = mux(_T_10287, ic_tag_valid_out[1][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10289 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10290 = mux(_T_10289, ic_tag_valid_out[1][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10291 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10292 = mux(_T_10291, ic_tag_valid_out[1][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10293 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10294 = mux(_T_10293, ic_tag_valid_out[1][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10295 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10296 = mux(_T_10295, ic_tag_valid_out[1][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10297 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10298 = mux(_T_10297, ic_tag_valid_out[1][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10299 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10300 = mux(_T_10299, ic_tag_valid_out[1][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10301 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10302 = mux(_T_10301, ic_tag_valid_out[1][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10303 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10304 = mux(_T_10303, ic_tag_valid_out[1][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10305 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10306 = mux(_T_10305, ic_tag_valid_out[1][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10307 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10308 = mux(_T_10307, ic_tag_valid_out[1][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10309 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10310 = mux(_T_10309, ic_tag_valid_out[1][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10311 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10312 = mux(_T_10311, ic_tag_valid_out[1][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10313 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10314 = mux(_T_10313, ic_tag_valid_out[1][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10315 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10316 = mux(_T_10315, ic_tag_valid_out[1][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10317 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10318 = mux(_T_10317, ic_tag_valid_out[1][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10319 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10320 = mux(_T_10319, ic_tag_valid_out[1][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10321 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10322 = mux(_T_10321, ic_tag_valid_out[1][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10323 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10324 = mux(_T_10323, ic_tag_valid_out[1][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10325 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10326 = mux(_T_10325, ic_tag_valid_out[1][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10327 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10328 = mux(_T_10327, ic_tag_valid_out[1][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10329 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10330 = mux(_T_10329, ic_tag_valid_out[1][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10331 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10332 = mux(_T_10331, ic_tag_valid_out[1][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10333 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10334 = mux(_T_10333, ic_tag_valid_out[1][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10335 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10336 = mux(_T_10335, ic_tag_valid_out[1][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10337 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10338 = mux(_T_10337, ic_tag_valid_out[1][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10339 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10340 = mux(_T_10339, ic_tag_valid_out[1][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10341 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10342 = mux(_T_10341, ic_tag_valid_out[1][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10343 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10344 = mux(_T_10343, ic_tag_valid_out[1][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10345 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10346 = mux(_T_10345, ic_tag_valid_out[1][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10347 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10348 = mux(_T_10347, ic_tag_valid_out[1][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10349 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10350 = mux(_T_10349, ic_tag_valid_out[1][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10351 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10352 = mux(_T_10351, ic_tag_valid_out[1][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10353 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10354 = mux(_T_10353, ic_tag_valid_out[1][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10355 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10356 = mux(_T_10355, ic_tag_valid_out[1][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10357 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10358 = mux(_T_10357, ic_tag_valid_out[1][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10359 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10360 = mux(_T_10359, ic_tag_valid_out[1][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10361 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10362 = mux(_T_10361, ic_tag_valid_out[1][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10363 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10364 = mux(_T_10363, ic_tag_valid_out[1][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10365 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10366 = mux(_T_10365, ic_tag_valid_out[1][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10367 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10368 = mux(_T_10367, ic_tag_valid_out[1][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10369 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10370 = mux(_T_10369, ic_tag_valid_out[1][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10371 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10372 = mux(_T_10371, ic_tag_valid_out[1][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10373 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10374 = mux(_T_10373, ic_tag_valid_out[1][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10375 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10376 = mux(_T_10375, ic_tag_valid_out[1][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10377 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10378 = mux(_T_10377, ic_tag_valid_out[1][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10379 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10380 = mux(_T_10379, ic_tag_valid_out[1][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10381 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10382 = mux(_T_10381, ic_tag_valid_out[1][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10383 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10384 = mux(_T_10383, ic_tag_valid_out[1][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10385 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10386 = mux(_T_10385, ic_tag_valid_out[1][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10387 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10388 = mux(_T_10387, ic_tag_valid_out[1][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10389 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10390 = mux(_T_10389, ic_tag_valid_out[1][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10391 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10392 = mux(_T_10391, ic_tag_valid_out[1][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10393 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10394 = mux(_T_10393, ic_tag_valid_out[1][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10395 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10396 = mux(_T_10395, ic_tag_valid_out[1][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10397 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10398 = mux(_T_10397, ic_tag_valid_out[1][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10399 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10400 = mux(_T_10399, ic_tag_valid_out[1][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10401 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10402 = mux(_T_10401, ic_tag_valid_out[1][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10403 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10404 = mux(_T_10403, ic_tag_valid_out[1][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10405 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10406 = mux(_T_10405, ic_tag_valid_out[1][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10407 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10408 = mux(_T_10407, ic_tag_valid_out[1][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10409 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10410 = mux(_T_10409, ic_tag_valid_out[1][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10411 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10412 = mux(_T_10411, ic_tag_valid_out[1][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10413 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10414 = mux(_T_10413, ic_tag_valid_out[1][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10415 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10416 = mux(_T_10415, ic_tag_valid_out[1][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10417 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10418 = mux(_T_10417, ic_tag_valid_out[1][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10419 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10420 = mux(_T_10419, ic_tag_valid_out[1][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10421 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10422 = mux(_T_10421, ic_tag_valid_out[1][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10423 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10424 = mux(_T_10423, ic_tag_valid_out[1][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10425 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10426 = mux(_T_10425, ic_tag_valid_out[1][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10427 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10428 = mux(_T_10427, ic_tag_valid_out[1][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10429 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10430 = mux(_T_10429, ic_tag_valid_out[1][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10431 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10432 = mux(_T_10431, ic_tag_valid_out[1][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10433 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10434 = mux(_T_10433, ic_tag_valid_out[1][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10435 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10436 = mux(_T_10435, ic_tag_valid_out[1][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10437 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10438 = mux(_T_10437, ic_tag_valid_out[1][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10439 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10440 = mux(_T_10439, ic_tag_valid_out[1][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10441 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10442 = mux(_T_10441, ic_tag_valid_out[1][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10443 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10444 = mux(_T_10443, ic_tag_valid_out[1][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10445 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10446 = mux(_T_10445, ic_tag_valid_out[1][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10447 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10448 = mux(_T_10447, ic_tag_valid_out[1][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10449 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10450 = mux(_T_10449, ic_tag_valid_out[1][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10451 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10452 = mux(_T_10451, ic_tag_valid_out[1][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10453 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10454 = mux(_T_10453, ic_tag_valid_out[1][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10455 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10456 = mux(_T_10455, ic_tag_valid_out[1][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10457 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10458 = mux(_T_10457, ic_tag_valid_out[1][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10459 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10460 = mux(_T_10459, ic_tag_valid_out[1][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10461 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10462 = mux(_T_10461, ic_tag_valid_out[1][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10463 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10464 = mux(_T_10463, ic_tag_valid_out[1][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10465 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10466 = mux(_T_10465, ic_tag_valid_out[1][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10467 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10468 = mux(_T_10467, ic_tag_valid_out[1][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10469 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10470 = mux(_T_10469, ic_tag_valid_out[1][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10471 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10472 = mux(_T_10471, ic_tag_valid_out[1][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10473 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10474 = mux(_T_10473, ic_tag_valid_out[1][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10475 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10476 = mux(_T_10475, ic_tag_valid_out[1][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10477 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10478 = mux(_T_10477, ic_tag_valid_out[1][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10479 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10480 = mux(_T_10479, ic_tag_valid_out[1][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10481 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10482 = mux(_T_10481, ic_tag_valid_out[1][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10483 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10484 = mux(_T_10483, ic_tag_valid_out[1][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10485 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10486 = mux(_T_10485, ic_tag_valid_out[1][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10487 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10488 = mux(_T_10487, ic_tag_valid_out[1][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10489 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10490 = mux(_T_10489, ic_tag_valid_out[1][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10491 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10492 = mux(_T_10491, ic_tag_valid_out[1][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10493 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_10494 = mux(_T_10493, ic_tag_valid_out[1][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:10] - node _T_10495 = or(_T_10240, _T_10242) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10496 = or(_T_10495, _T_10244) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10497 = or(_T_10496, _T_10246) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10498 = or(_T_10497, _T_10248) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10499 = or(_T_10498, _T_10250) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10500 = or(_T_10499, _T_10252) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10501 = or(_T_10500, _T_10254) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10502 = or(_T_10501, _T_10256) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10503 = or(_T_10502, _T_10258) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10504 = or(_T_10503, _T_10260) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10505 = or(_T_10504, _T_10262) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10506 = or(_T_10505, _T_10264) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10507 = or(_T_10506, _T_10266) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10508 = or(_T_10507, _T_10268) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10509 = or(_T_10508, _T_10270) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10510 = or(_T_10509, _T_10272) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10511 = or(_T_10510, _T_10274) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10512 = or(_T_10511, _T_10276) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10513 = or(_T_10512, _T_10278) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10514 = or(_T_10513, _T_10280) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10515 = or(_T_10514, _T_10282) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10516 = or(_T_10515, _T_10284) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10517 = or(_T_10516, _T_10286) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10518 = or(_T_10517, _T_10288) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10519 = or(_T_10518, _T_10290) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10520 = or(_T_10519, _T_10292) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10521 = or(_T_10520, _T_10294) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10522 = or(_T_10521, _T_10296) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10523 = or(_T_10522, _T_10298) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10524 = or(_T_10523, _T_10300) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10525 = or(_T_10524, _T_10302) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10526 = or(_T_10525, _T_10304) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10527 = or(_T_10526, _T_10306) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10528 = or(_T_10527, _T_10308) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10529 = or(_T_10528, _T_10310) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10530 = or(_T_10529, _T_10312) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10531 = or(_T_10530, _T_10314) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10532 = or(_T_10531, _T_10316) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10533 = or(_T_10532, _T_10318) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10534 = or(_T_10533, _T_10320) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10535 = or(_T_10534, _T_10322) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10536 = or(_T_10535, _T_10324) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10537 = or(_T_10536, _T_10326) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10538 = or(_T_10537, _T_10328) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10539 = or(_T_10538, _T_10330) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10540 = or(_T_10539, _T_10332) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10541 = or(_T_10540, _T_10334) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10542 = or(_T_10541, _T_10336) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10543 = or(_T_10542, _T_10338) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10544 = or(_T_10543, _T_10340) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10545 = or(_T_10544, _T_10342) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10546 = or(_T_10545, _T_10344) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10547 = or(_T_10546, _T_10346) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10548 = or(_T_10547, _T_10348) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10549 = or(_T_10548, _T_10350) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10550 = or(_T_10549, _T_10352) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10551 = or(_T_10550, _T_10354) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10552 = or(_T_10551, _T_10356) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10553 = or(_T_10552, _T_10358) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10554 = or(_T_10553, _T_10360) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10555 = or(_T_10554, _T_10362) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10556 = or(_T_10555, _T_10364) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10557 = or(_T_10556, _T_10366) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10558 = or(_T_10557, _T_10368) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10559 = or(_T_10558, _T_10370) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10560 = or(_T_10559, _T_10372) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10561 = or(_T_10560, _T_10374) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10562 = or(_T_10561, _T_10376) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10563 = or(_T_10562, _T_10378) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10564 = or(_T_10563, _T_10380) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10565 = or(_T_10564, _T_10382) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10566 = or(_T_10565, _T_10384) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10567 = or(_T_10566, _T_10386) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10568 = or(_T_10567, _T_10388) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10569 = or(_T_10568, _T_10390) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10570 = or(_T_10569, _T_10392) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10571 = or(_T_10570, _T_10394) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10572 = or(_T_10571, _T_10396) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10573 = or(_T_10572, _T_10398) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10574 = or(_T_10573, _T_10400) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10575 = or(_T_10574, _T_10402) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10576 = or(_T_10575, _T_10404) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10577 = or(_T_10576, _T_10406) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10578 = or(_T_10577, _T_10408) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10579 = or(_T_10578, _T_10410) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10580 = or(_T_10579, _T_10412) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10581 = or(_T_10580, _T_10414) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10582 = or(_T_10581, _T_10416) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10583 = or(_T_10582, _T_10418) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10584 = or(_T_10583, _T_10420) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10585 = or(_T_10584, _T_10422) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10586 = or(_T_10585, _T_10424) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10587 = or(_T_10586, _T_10426) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10588 = or(_T_10587, _T_10428) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10589 = or(_T_10588, _T_10430) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10590 = or(_T_10589, _T_10432) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10591 = or(_T_10590, _T_10434) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10592 = or(_T_10591, _T_10436) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10593 = or(_T_10592, _T_10438) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10594 = or(_T_10593, _T_10440) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10595 = or(_T_10594, _T_10442) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10596 = or(_T_10595, _T_10444) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10597 = or(_T_10596, _T_10446) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10598 = or(_T_10597, _T_10448) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10599 = or(_T_10598, _T_10450) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10600 = or(_T_10599, _T_10452) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10601 = or(_T_10600, _T_10454) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10602 = or(_T_10601, _T_10456) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10603 = or(_T_10602, _T_10458) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10604 = or(_T_10603, _T_10460) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10605 = or(_T_10604, _T_10462) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10606 = or(_T_10605, _T_10464) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10607 = or(_T_10606, _T_10466) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10608 = or(_T_10607, _T_10468) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10609 = or(_T_10608, _T_10470) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10610 = or(_T_10609, _T_10472) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10611 = or(_T_10610, _T_10474) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10612 = or(_T_10611, _T_10476) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10613 = or(_T_10612, _T_10478) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10614 = or(_T_10613, _T_10480) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10615 = or(_T_10614, _T_10482) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10616 = or(_T_10615, _T_10484) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10617 = or(_T_10616, _T_10486) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10618 = or(_T_10617, _T_10488) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10619 = or(_T_10618, _T_10490) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10620 = or(_T_10619, _T_10492) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10621 = or(_T_10620, _T_10494) @[el2_ifu_mem_ctl.scala 754:91] + ic_tag_valid_out[1][127] <= _T_9855 @[el2_ifu_mem_ctl.scala 751:41] + node _T_9856 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9857 = mux(_T_9856, ic_tag_valid_out[0][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9858 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9859 = mux(_T_9858, ic_tag_valid_out[0][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9860 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9861 = mux(_T_9860, ic_tag_valid_out[0][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9862 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9863 = mux(_T_9862, ic_tag_valid_out[0][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9864 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9865 = mux(_T_9864, ic_tag_valid_out[0][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9866 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9867 = mux(_T_9866, ic_tag_valid_out[0][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9868 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9869 = mux(_T_9868, ic_tag_valid_out[0][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9870 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9871 = mux(_T_9870, ic_tag_valid_out[0][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9872 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9873 = mux(_T_9872, ic_tag_valid_out[0][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9874 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9875 = mux(_T_9874, ic_tag_valid_out[0][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9876 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9877 = mux(_T_9876, ic_tag_valid_out[0][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9878 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9879 = mux(_T_9878, ic_tag_valid_out[0][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9880 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9881 = mux(_T_9880, ic_tag_valid_out[0][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9882 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9883 = mux(_T_9882, ic_tag_valid_out[0][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9884 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9885 = mux(_T_9884, ic_tag_valid_out[0][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9886 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9887 = mux(_T_9886, ic_tag_valid_out[0][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9888 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9889 = mux(_T_9888, ic_tag_valid_out[0][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9890 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9891 = mux(_T_9890, ic_tag_valid_out[0][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9892 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9893 = mux(_T_9892, ic_tag_valid_out[0][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9894 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9895 = mux(_T_9894, ic_tag_valid_out[0][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9896 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9897 = mux(_T_9896, ic_tag_valid_out[0][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9898 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9899 = mux(_T_9898, ic_tag_valid_out[0][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9900 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9901 = mux(_T_9900, ic_tag_valid_out[0][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9902 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9903 = mux(_T_9902, ic_tag_valid_out[0][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9904 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9905 = mux(_T_9904, ic_tag_valid_out[0][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9906 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9907 = mux(_T_9906, ic_tag_valid_out[0][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9908 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9909 = mux(_T_9908, ic_tag_valid_out[0][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9910 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9911 = mux(_T_9910, ic_tag_valid_out[0][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9912 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9913 = mux(_T_9912, ic_tag_valid_out[0][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9914 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9915 = mux(_T_9914, ic_tag_valid_out[0][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9916 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9917 = mux(_T_9916, ic_tag_valid_out[0][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9918 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9919 = mux(_T_9918, ic_tag_valid_out[0][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9920 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9921 = mux(_T_9920, ic_tag_valid_out[0][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9922 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9923 = mux(_T_9922, ic_tag_valid_out[0][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9924 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9925 = mux(_T_9924, ic_tag_valid_out[0][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9926 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9927 = mux(_T_9926, ic_tag_valid_out[0][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9928 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9929 = mux(_T_9928, ic_tag_valid_out[0][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9930 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9931 = mux(_T_9930, ic_tag_valid_out[0][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9932 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9933 = mux(_T_9932, ic_tag_valid_out[0][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9934 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9935 = mux(_T_9934, ic_tag_valid_out[0][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9936 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9937 = mux(_T_9936, ic_tag_valid_out[0][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9938 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9939 = mux(_T_9938, ic_tag_valid_out[0][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9940 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9941 = mux(_T_9940, ic_tag_valid_out[0][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9942 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9943 = mux(_T_9942, ic_tag_valid_out[0][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9944 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9945 = mux(_T_9944, ic_tag_valid_out[0][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9946 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9947 = mux(_T_9946, ic_tag_valid_out[0][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9948 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9949 = mux(_T_9948, ic_tag_valid_out[0][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9950 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9951 = mux(_T_9950, ic_tag_valid_out[0][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9952 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9953 = mux(_T_9952, ic_tag_valid_out[0][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9954 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9955 = mux(_T_9954, ic_tag_valid_out[0][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9956 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9957 = mux(_T_9956, ic_tag_valid_out[0][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9958 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9959 = mux(_T_9958, ic_tag_valid_out[0][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9960 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9961 = mux(_T_9960, ic_tag_valid_out[0][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9962 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9963 = mux(_T_9962, ic_tag_valid_out[0][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9964 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9965 = mux(_T_9964, ic_tag_valid_out[0][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9966 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9967 = mux(_T_9966, ic_tag_valid_out[0][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9968 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9969 = mux(_T_9968, ic_tag_valid_out[0][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9970 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9971 = mux(_T_9970, ic_tag_valid_out[0][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9972 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9973 = mux(_T_9972, ic_tag_valid_out[0][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9974 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9975 = mux(_T_9974, ic_tag_valid_out[0][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9976 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9977 = mux(_T_9976, ic_tag_valid_out[0][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9978 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9979 = mux(_T_9978, ic_tag_valid_out[0][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9980 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9981 = mux(_T_9980, ic_tag_valid_out[0][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9982 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9983 = mux(_T_9982, ic_tag_valid_out[0][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9984 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9985 = mux(_T_9984, ic_tag_valid_out[0][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9986 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9987 = mux(_T_9986, ic_tag_valid_out[0][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9988 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9989 = mux(_T_9988, ic_tag_valid_out[0][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9990 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9991 = mux(_T_9990, ic_tag_valid_out[0][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9992 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9993 = mux(_T_9992, ic_tag_valid_out[0][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9994 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9995 = mux(_T_9994, ic_tag_valid_out[0][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9996 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9997 = mux(_T_9996, ic_tag_valid_out[0][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_9998 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_9999 = mux(_T_9998, ic_tag_valid_out[0][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10000 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10001 = mux(_T_10000, ic_tag_valid_out[0][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10002 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10003 = mux(_T_10002, ic_tag_valid_out[0][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10004 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10005 = mux(_T_10004, ic_tag_valid_out[0][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10006 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10007 = mux(_T_10006, ic_tag_valid_out[0][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10008 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10009 = mux(_T_10008, ic_tag_valid_out[0][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10010 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10011 = mux(_T_10010, ic_tag_valid_out[0][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10012 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10013 = mux(_T_10012, ic_tag_valid_out[0][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10014 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10015 = mux(_T_10014, ic_tag_valid_out[0][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10016 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10017 = mux(_T_10016, ic_tag_valid_out[0][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10018 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10019 = mux(_T_10018, ic_tag_valid_out[0][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10020 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10021 = mux(_T_10020, ic_tag_valid_out[0][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10022 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10023 = mux(_T_10022, ic_tag_valid_out[0][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10024 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10025 = mux(_T_10024, ic_tag_valid_out[0][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10026 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10027 = mux(_T_10026, ic_tag_valid_out[0][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10028 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10029 = mux(_T_10028, ic_tag_valid_out[0][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10030 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10031 = mux(_T_10030, ic_tag_valid_out[0][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10032 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10033 = mux(_T_10032, ic_tag_valid_out[0][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10034 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10035 = mux(_T_10034, ic_tag_valid_out[0][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10036 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10037 = mux(_T_10036, ic_tag_valid_out[0][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10038 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10039 = mux(_T_10038, ic_tag_valid_out[0][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10040 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10041 = mux(_T_10040, ic_tag_valid_out[0][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10042 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10043 = mux(_T_10042, ic_tag_valid_out[0][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10044 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10045 = mux(_T_10044, ic_tag_valid_out[0][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10046 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10047 = mux(_T_10046, ic_tag_valid_out[0][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10048 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10049 = mux(_T_10048, ic_tag_valid_out[0][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10050 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10051 = mux(_T_10050, ic_tag_valid_out[0][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10052 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10053 = mux(_T_10052, ic_tag_valid_out[0][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10054 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10055 = mux(_T_10054, ic_tag_valid_out[0][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10056 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10057 = mux(_T_10056, ic_tag_valid_out[0][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10058 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10059 = mux(_T_10058, ic_tag_valid_out[0][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10060 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10061 = mux(_T_10060, ic_tag_valid_out[0][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10062 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10063 = mux(_T_10062, ic_tag_valid_out[0][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10064 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10065 = mux(_T_10064, ic_tag_valid_out[0][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10066 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10067 = mux(_T_10066, ic_tag_valid_out[0][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10068 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10069 = mux(_T_10068, ic_tag_valid_out[0][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10070 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10071 = mux(_T_10070, ic_tag_valid_out[0][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10072 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10073 = mux(_T_10072, ic_tag_valid_out[0][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10074 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10075 = mux(_T_10074, ic_tag_valid_out[0][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10076 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10077 = mux(_T_10076, ic_tag_valid_out[0][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10078 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10079 = mux(_T_10078, ic_tag_valid_out[0][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10080 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10081 = mux(_T_10080, ic_tag_valid_out[0][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10082 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10083 = mux(_T_10082, ic_tag_valid_out[0][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10084 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10085 = mux(_T_10084, ic_tag_valid_out[0][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10086 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10087 = mux(_T_10086, ic_tag_valid_out[0][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10088 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10089 = mux(_T_10088, ic_tag_valid_out[0][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10090 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10091 = mux(_T_10090, ic_tag_valid_out[0][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10092 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10093 = mux(_T_10092, ic_tag_valid_out[0][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10094 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10095 = mux(_T_10094, ic_tag_valid_out[0][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10096 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10097 = mux(_T_10096, ic_tag_valid_out[0][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10098 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10099 = mux(_T_10098, ic_tag_valid_out[0][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10100 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10101 = mux(_T_10100, ic_tag_valid_out[0][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10102 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10103 = mux(_T_10102, ic_tag_valid_out[0][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10104 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10105 = mux(_T_10104, ic_tag_valid_out[0][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10106 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10107 = mux(_T_10106, ic_tag_valid_out[0][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10108 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10109 = mux(_T_10108, ic_tag_valid_out[0][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10110 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10111 = mux(_T_10110, ic_tag_valid_out[0][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10112 = or(_T_9857, _T_9859) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10113 = or(_T_10112, _T_9861) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10114 = or(_T_10113, _T_9863) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10115 = or(_T_10114, _T_9865) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10116 = or(_T_10115, _T_9867) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10117 = or(_T_10116, _T_9869) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10118 = or(_T_10117, _T_9871) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10119 = or(_T_10118, _T_9873) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10120 = or(_T_10119, _T_9875) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10121 = or(_T_10120, _T_9877) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10122 = or(_T_10121, _T_9879) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10123 = or(_T_10122, _T_9881) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10124 = or(_T_10123, _T_9883) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10125 = or(_T_10124, _T_9885) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10126 = or(_T_10125, _T_9887) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10127 = or(_T_10126, _T_9889) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10128 = or(_T_10127, _T_9891) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10129 = or(_T_10128, _T_9893) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10130 = or(_T_10129, _T_9895) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10131 = or(_T_10130, _T_9897) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10132 = or(_T_10131, _T_9899) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10133 = or(_T_10132, _T_9901) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10134 = or(_T_10133, _T_9903) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10135 = or(_T_10134, _T_9905) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10136 = or(_T_10135, _T_9907) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10137 = or(_T_10136, _T_9909) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10138 = or(_T_10137, _T_9911) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10139 = or(_T_10138, _T_9913) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10140 = or(_T_10139, _T_9915) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10141 = or(_T_10140, _T_9917) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10142 = or(_T_10141, _T_9919) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10143 = or(_T_10142, _T_9921) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10144 = or(_T_10143, _T_9923) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10145 = or(_T_10144, _T_9925) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10146 = or(_T_10145, _T_9927) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10147 = or(_T_10146, _T_9929) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10148 = or(_T_10147, _T_9931) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10149 = or(_T_10148, _T_9933) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10150 = or(_T_10149, _T_9935) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10151 = or(_T_10150, _T_9937) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10152 = or(_T_10151, _T_9939) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10153 = or(_T_10152, _T_9941) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10154 = or(_T_10153, _T_9943) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10155 = or(_T_10154, _T_9945) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10156 = or(_T_10155, _T_9947) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10157 = or(_T_10156, _T_9949) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10158 = or(_T_10157, _T_9951) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10159 = or(_T_10158, _T_9953) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10160 = or(_T_10159, _T_9955) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10161 = or(_T_10160, _T_9957) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10162 = or(_T_10161, _T_9959) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10163 = or(_T_10162, _T_9961) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10164 = or(_T_10163, _T_9963) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10165 = or(_T_10164, _T_9965) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10166 = or(_T_10165, _T_9967) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10167 = or(_T_10166, _T_9969) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10168 = or(_T_10167, _T_9971) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10169 = or(_T_10168, _T_9973) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10170 = or(_T_10169, _T_9975) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10171 = or(_T_10170, _T_9977) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10172 = or(_T_10171, _T_9979) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10173 = or(_T_10172, _T_9981) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10174 = or(_T_10173, _T_9983) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10175 = or(_T_10174, _T_9985) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10176 = or(_T_10175, _T_9987) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10177 = or(_T_10176, _T_9989) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10178 = or(_T_10177, _T_9991) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10179 = or(_T_10178, _T_9993) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10180 = or(_T_10179, _T_9995) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10181 = or(_T_10180, _T_9997) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10182 = or(_T_10181, _T_9999) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10183 = or(_T_10182, _T_10001) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10184 = or(_T_10183, _T_10003) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10185 = or(_T_10184, _T_10005) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10186 = or(_T_10185, _T_10007) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10187 = or(_T_10186, _T_10009) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10188 = or(_T_10187, _T_10011) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10189 = or(_T_10188, _T_10013) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10190 = or(_T_10189, _T_10015) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10191 = or(_T_10190, _T_10017) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10192 = or(_T_10191, _T_10019) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10193 = or(_T_10192, _T_10021) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10194 = or(_T_10193, _T_10023) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10195 = or(_T_10194, _T_10025) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10196 = or(_T_10195, _T_10027) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10197 = or(_T_10196, _T_10029) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10198 = or(_T_10197, _T_10031) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10199 = or(_T_10198, _T_10033) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10200 = or(_T_10199, _T_10035) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10201 = or(_T_10200, _T_10037) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10202 = or(_T_10201, _T_10039) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10203 = or(_T_10202, _T_10041) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10204 = or(_T_10203, _T_10043) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10205 = or(_T_10204, _T_10045) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10206 = or(_T_10205, _T_10047) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10207 = or(_T_10206, _T_10049) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10208 = or(_T_10207, _T_10051) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10209 = or(_T_10208, _T_10053) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10210 = or(_T_10209, _T_10055) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10211 = or(_T_10210, _T_10057) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10212 = or(_T_10211, _T_10059) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10213 = or(_T_10212, _T_10061) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10214 = or(_T_10213, _T_10063) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10215 = or(_T_10214, _T_10065) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10216 = or(_T_10215, _T_10067) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10217 = or(_T_10216, _T_10069) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10218 = or(_T_10217, _T_10071) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10219 = or(_T_10218, _T_10073) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10220 = or(_T_10219, _T_10075) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10221 = or(_T_10220, _T_10077) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10222 = or(_T_10221, _T_10079) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10223 = or(_T_10222, _T_10081) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10224 = or(_T_10223, _T_10083) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10225 = or(_T_10224, _T_10085) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10226 = or(_T_10225, _T_10087) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10227 = or(_T_10226, _T_10089) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10228 = or(_T_10227, _T_10091) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10229 = or(_T_10228, _T_10093) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10230 = or(_T_10229, _T_10095) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10231 = or(_T_10230, _T_10097) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10232 = or(_T_10231, _T_10099) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10233 = or(_T_10232, _T_10101) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10234 = or(_T_10233, _T_10103) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10235 = or(_T_10234, _T_10105) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10236 = or(_T_10235, _T_10107) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10237 = or(_T_10236, _T_10109) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10238 = or(_T_10237, _T_10111) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10239 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10240 = mux(_T_10239, ic_tag_valid_out[1][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10241 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10242 = mux(_T_10241, ic_tag_valid_out[1][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10243 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10244 = mux(_T_10243, ic_tag_valid_out[1][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10245 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10246 = mux(_T_10245, ic_tag_valid_out[1][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10247 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10248 = mux(_T_10247, ic_tag_valid_out[1][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10249 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10250 = mux(_T_10249, ic_tag_valid_out[1][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10251 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10252 = mux(_T_10251, ic_tag_valid_out[1][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10253 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10254 = mux(_T_10253, ic_tag_valid_out[1][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10255 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10256 = mux(_T_10255, ic_tag_valid_out[1][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10257 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10258 = mux(_T_10257, ic_tag_valid_out[1][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10259 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10260 = mux(_T_10259, ic_tag_valid_out[1][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10261 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10262 = mux(_T_10261, ic_tag_valid_out[1][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10263 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10264 = mux(_T_10263, ic_tag_valid_out[1][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10265 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10266 = mux(_T_10265, ic_tag_valid_out[1][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10267 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10268 = mux(_T_10267, ic_tag_valid_out[1][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10269 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10270 = mux(_T_10269, ic_tag_valid_out[1][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10271 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10272 = mux(_T_10271, ic_tag_valid_out[1][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10273 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10274 = mux(_T_10273, ic_tag_valid_out[1][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10275 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10276 = mux(_T_10275, ic_tag_valid_out[1][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10277 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10278 = mux(_T_10277, ic_tag_valid_out[1][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10279 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10280 = mux(_T_10279, ic_tag_valid_out[1][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10281 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10282 = mux(_T_10281, ic_tag_valid_out[1][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10283 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10284 = mux(_T_10283, ic_tag_valid_out[1][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10285 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10286 = mux(_T_10285, ic_tag_valid_out[1][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10287 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10288 = mux(_T_10287, ic_tag_valid_out[1][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10289 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10290 = mux(_T_10289, ic_tag_valid_out[1][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10291 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10292 = mux(_T_10291, ic_tag_valid_out[1][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10293 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10294 = mux(_T_10293, ic_tag_valid_out[1][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10295 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10296 = mux(_T_10295, ic_tag_valid_out[1][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10297 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10298 = mux(_T_10297, ic_tag_valid_out[1][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10299 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10300 = mux(_T_10299, ic_tag_valid_out[1][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10301 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10302 = mux(_T_10301, ic_tag_valid_out[1][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10303 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10304 = mux(_T_10303, ic_tag_valid_out[1][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10305 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10306 = mux(_T_10305, ic_tag_valid_out[1][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10307 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10308 = mux(_T_10307, ic_tag_valid_out[1][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10309 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10310 = mux(_T_10309, ic_tag_valid_out[1][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10311 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10312 = mux(_T_10311, ic_tag_valid_out[1][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10313 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10314 = mux(_T_10313, ic_tag_valid_out[1][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10315 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10316 = mux(_T_10315, ic_tag_valid_out[1][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10317 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10318 = mux(_T_10317, ic_tag_valid_out[1][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10319 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10320 = mux(_T_10319, ic_tag_valid_out[1][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10321 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10322 = mux(_T_10321, ic_tag_valid_out[1][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10323 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10324 = mux(_T_10323, ic_tag_valid_out[1][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10325 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10326 = mux(_T_10325, ic_tag_valid_out[1][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10327 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10328 = mux(_T_10327, ic_tag_valid_out[1][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10329 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10330 = mux(_T_10329, ic_tag_valid_out[1][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10331 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10332 = mux(_T_10331, ic_tag_valid_out[1][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10333 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10334 = mux(_T_10333, ic_tag_valid_out[1][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10335 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10336 = mux(_T_10335, ic_tag_valid_out[1][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10337 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10338 = mux(_T_10337, ic_tag_valid_out[1][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10339 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10340 = mux(_T_10339, ic_tag_valid_out[1][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10341 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10342 = mux(_T_10341, ic_tag_valid_out[1][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10343 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10344 = mux(_T_10343, ic_tag_valid_out[1][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10345 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10346 = mux(_T_10345, ic_tag_valid_out[1][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10347 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10348 = mux(_T_10347, ic_tag_valid_out[1][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10349 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10350 = mux(_T_10349, ic_tag_valid_out[1][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10351 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10352 = mux(_T_10351, ic_tag_valid_out[1][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10353 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10354 = mux(_T_10353, ic_tag_valid_out[1][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10355 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10356 = mux(_T_10355, ic_tag_valid_out[1][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10357 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10358 = mux(_T_10357, ic_tag_valid_out[1][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10359 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10360 = mux(_T_10359, ic_tag_valid_out[1][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10361 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10362 = mux(_T_10361, ic_tag_valid_out[1][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10363 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10364 = mux(_T_10363, ic_tag_valid_out[1][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10365 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10366 = mux(_T_10365, ic_tag_valid_out[1][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10367 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10368 = mux(_T_10367, ic_tag_valid_out[1][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10369 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10370 = mux(_T_10369, ic_tag_valid_out[1][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10371 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10372 = mux(_T_10371, ic_tag_valid_out[1][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10373 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10374 = mux(_T_10373, ic_tag_valid_out[1][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10375 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10376 = mux(_T_10375, ic_tag_valid_out[1][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10377 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10378 = mux(_T_10377, ic_tag_valid_out[1][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10379 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10380 = mux(_T_10379, ic_tag_valid_out[1][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10381 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10382 = mux(_T_10381, ic_tag_valid_out[1][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10383 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10384 = mux(_T_10383, ic_tag_valid_out[1][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10385 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10386 = mux(_T_10385, ic_tag_valid_out[1][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10387 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10388 = mux(_T_10387, ic_tag_valid_out[1][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10389 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10390 = mux(_T_10389, ic_tag_valid_out[1][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10391 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10392 = mux(_T_10391, ic_tag_valid_out[1][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10393 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10394 = mux(_T_10393, ic_tag_valid_out[1][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10395 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10396 = mux(_T_10395, ic_tag_valid_out[1][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10397 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10398 = mux(_T_10397, ic_tag_valid_out[1][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10399 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10400 = mux(_T_10399, ic_tag_valid_out[1][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10401 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10402 = mux(_T_10401, ic_tag_valid_out[1][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10403 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10404 = mux(_T_10403, ic_tag_valid_out[1][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10405 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10406 = mux(_T_10405, ic_tag_valid_out[1][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10407 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10408 = mux(_T_10407, ic_tag_valid_out[1][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10409 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10410 = mux(_T_10409, ic_tag_valid_out[1][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10411 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10412 = mux(_T_10411, ic_tag_valid_out[1][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10413 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10414 = mux(_T_10413, ic_tag_valid_out[1][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10415 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10416 = mux(_T_10415, ic_tag_valid_out[1][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10417 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10418 = mux(_T_10417, ic_tag_valid_out[1][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10419 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10420 = mux(_T_10419, ic_tag_valid_out[1][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10421 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10422 = mux(_T_10421, ic_tag_valid_out[1][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10423 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10424 = mux(_T_10423, ic_tag_valid_out[1][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10425 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10426 = mux(_T_10425, ic_tag_valid_out[1][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10427 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10428 = mux(_T_10427, ic_tag_valid_out[1][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10429 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10430 = mux(_T_10429, ic_tag_valid_out[1][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10431 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10432 = mux(_T_10431, ic_tag_valid_out[1][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10433 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10434 = mux(_T_10433, ic_tag_valid_out[1][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10435 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10436 = mux(_T_10435, ic_tag_valid_out[1][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10437 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10438 = mux(_T_10437, ic_tag_valid_out[1][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10439 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10440 = mux(_T_10439, ic_tag_valid_out[1][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10441 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10442 = mux(_T_10441, ic_tag_valid_out[1][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10443 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10444 = mux(_T_10443, ic_tag_valid_out[1][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10445 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10446 = mux(_T_10445, ic_tag_valid_out[1][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10447 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10448 = mux(_T_10447, ic_tag_valid_out[1][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10449 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10450 = mux(_T_10449, ic_tag_valid_out[1][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10451 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10452 = mux(_T_10451, ic_tag_valid_out[1][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10453 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10454 = mux(_T_10453, ic_tag_valid_out[1][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10455 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10456 = mux(_T_10455, ic_tag_valid_out[1][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10457 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10458 = mux(_T_10457, ic_tag_valid_out[1][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10459 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10460 = mux(_T_10459, ic_tag_valid_out[1][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10461 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10462 = mux(_T_10461, ic_tag_valid_out[1][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10463 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10464 = mux(_T_10463, ic_tag_valid_out[1][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10465 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10466 = mux(_T_10465, ic_tag_valid_out[1][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10467 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10468 = mux(_T_10467, ic_tag_valid_out[1][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10469 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10470 = mux(_T_10469, ic_tag_valid_out[1][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10471 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10472 = mux(_T_10471, ic_tag_valid_out[1][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10473 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10474 = mux(_T_10473, ic_tag_valid_out[1][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10475 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10476 = mux(_T_10475, ic_tag_valid_out[1][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10477 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10478 = mux(_T_10477, ic_tag_valid_out[1][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10479 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10480 = mux(_T_10479, ic_tag_valid_out[1][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10481 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10482 = mux(_T_10481, ic_tag_valid_out[1][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10483 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10484 = mux(_T_10483, ic_tag_valid_out[1][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10485 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10486 = mux(_T_10485, ic_tag_valid_out[1][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10487 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10488 = mux(_T_10487, ic_tag_valid_out[1][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10489 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10490 = mux(_T_10489, ic_tag_valid_out[1][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10491 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10492 = mux(_T_10491, ic_tag_valid_out[1][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10493 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 755:33] + node _T_10494 = mux(_T_10493, ic_tag_valid_out[1][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:10] + node _T_10495 = or(_T_10240, _T_10242) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10496 = or(_T_10495, _T_10244) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10497 = or(_T_10496, _T_10246) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10498 = or(_T_10497, _T_10248) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10499 = or(_T_10498, _T_10250) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10500 = or(_T_10499, _T_10252) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10501 = or(_T_10500, _T_10254) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10502 = or(_T_10501, _T_10256) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10503 = or(_T_10502, _T_10258) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10504 = or(_T_10503, _T_10260) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10505 = or(_T_10504, _T_10262) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10506 = or(_T_10505, _T_10264) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10507 = or(_T_10506, _T_10266) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10508 = or(_T_10507, _T_10268) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10509 = or(_T_10508, _T_10270) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10510 = or(_T_10509, _T_10272) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10511 = or(_T_10510, _T_10274) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10512 = or(_T_10511, _T_10276) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10513 = or(_T_10512, _T_10278) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10514 = or(_T_10513, _T_10280) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10515 = or(_T_10514, _T_10282) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10516 = or(_T_10515, _T_10284) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10517 = or(_T_10516, _T_10286) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10518 = or(_T_10517, _T_10288) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10519 = or(_T_10518, _T_10290) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10520 = or(_T_10519, _T_10292) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10521 = or(_T_10520, _T_10294) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10522 = or(_T_10521, _T_10296) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10523 = or(_T_10522, _T_10298) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10524 = or(_T_10523, _T_10300) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10525 = or(_T_10524, _T_10302) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10526 = or(_T_10525, _T_10304) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10527 = or(_T_10526, _T_10306) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10528 = or(_T_10527, _T_10308) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10529 = or(_T_10528, _T_10310) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10530 = or(_T_10529, _T_10312) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10531 = or(_T_10530, _T_10314) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10532 = or(_T_10531, _T_10316) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10533 = or(_T_10532, _T_10318) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10534 = or(_T_10533, _T_10320) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10535 = or(_T_10534, _T_10322) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10536 = or(_T_10535, _T_10324) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10537 = or(_T_10536, _T_10326) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10538 = or(_T_10537, _T_10328) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10539 = or(_T_10538, _T_10330) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10540 = or(_T_10539, _T_10332) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10541 = or(_T_10540, _T_10334) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10542 = or(_T_10541, _T_10336) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10543 = or(_T_10542, _T_10338) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10544 = or(_T_10543, _T_10340) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10545 = or(_T_10544, _T_10342) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10546 = or(_T_10545, _T_10344) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10547 = or(_T_10546, _T_10346) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10548 = or(_T_10547, _T_10348) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10549 = or(_T_10548, _T_10350) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10550 = or(_T_10549, _T_10352) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10551 = or(_T_10550, _T_10354) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10552 = or(_T_10551, _T_10356) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10553 = or(_T_10552, _T_10358) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10554 = or(_T_10553, _T_10360) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10555 = or(_T_10554, _T_10362) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10556 = or(_T_10555, _T_10364) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10557 = or(_T_10556, _T_10366) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10558 = or(_T_10557, _T_10368) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10559 = or(_T_10558, _T_10370) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10560 = or(_T_10559, _T_10372) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10561 = or(_T_10560, _T_10374) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10562 = or(_T_10561, _T_10376) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10563 = or(_T_10562, _T_10378) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10564 = or(_T_10563, _T_10380) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10565 = or(_T_10564, _T_10382) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10566 = or(_T_10565, _T_10384) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10567 = or(_T_10566, _T_10386) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10568 = or(_T_10567, _T_10388) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10569 = or(_T_10568, _T_10390) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10570 = or(_T_10569, _T_10392) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10571 = or(_T_10570, _T_10394) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10572 = or(_T_10571, _T_10396) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10573 = or(_T_10572, _T_10398) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10574 = or(_T_10573, _T_10400) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10575 = or(_T_10574, _T_10402) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10576 = or(_T_10575, _T_10404) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10577 = or(_T_10576, _T_10406) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10578 = or(_T_10577, _T_10408) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10579 = or(_T_10578, _T_10410) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10580 = or(_T_10579, _T_10412) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10581 = or(_T_10580, _T_10414) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10582 = or(_T_10581, _T_10416) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10583 = or(_T_10582, _T_10418) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10584 = or(_T_10583, _T_10420) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10585 = or(_T_10584, _T_10422) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10586 = or(_T_10585, _T_10424) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10587 = or(_T_10586, _T_10426) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10588 = or(_T_10587, _T_10428) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10589 = or(_T_10588, _T_10430) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10590 = or(_T_10589, _T_10432) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10591 = or(_T_10590, _T_10434) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10592 = or(_T_10591, _T_10436) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10593 = or(_T_10592, _T_10438) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10594 = or(_T_10593, _T_10440) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10595 = or(_T_10594, _T_10442) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10596 = or(_T_10595, _T_10444) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10597 = or(_T_10596, _T_10446) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10598 = or(_T_10597, _T_10448) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10599 = or(_T_10598, _T_10450) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10600 = or(_T_10599, _T_10452) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10601 = or(_T_10600, _T_10454) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10602 = or(_T_10601, _T_10456) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10603 = or(_T_10602, _T_10458) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10604 = or(_T_10603, _T_10460) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10605 = or(_T_10604, _T_10462) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10606 = or(_T_10605, _T_10464) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10607 = or(_T_10606, _T_10466) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10608 = or(_T_10607, _T_10468) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10609 = or(_T_10608, _T_10470) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10610 = or(_T_10609, _T_10472) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10611 = or(_T_10610, _T_10474) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10612 = or(_T_10611, _T_10476) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10613 = or(_T_10612, _T_10478) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10614 = or(_T_10613, _T_10480) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10615 = or(_T_10614, _T_10482) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10616 = or(_T_10615, _T_10484) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10617 = or(_T_10616, _T_10486) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10618 = or(_T_10617, _T_10488) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10619 = or(_T_10618, _T_10490) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10620 = or(_T_10619, _T_10492) @[el2_ifu_mem_ctl.scala 755:91] + node _T_10621 = or(_T_10620, _T_10494) @[el2_ifu_mem_ctl.scala 755:91] node ic_tag_valid_unq = cat(_T_10621, _T_10238) @[Cat.scala 29:58] wire way_status_hit_new : UInt<1> way_status_hit_new <= UInt<1>("h00") - node _T_10622 = eq(way_status_mb_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 779:33] - node _T_10623 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 779:63] - node _T_10624 = and(_T_10622, _T_10623) @[el2_ifu_mem_ctl.scala 779:51] - node _T_10625 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 779:79] - node _T_10626 = and(_T_10624, _T_10625) @[el2_ifu_mem_ctl.scala 779:67] - node _T_10627 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 779:97] - node _T_10628 = eq(_T_10627, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 779:86] - node _T_10629 = or(_T_10626, _T_10628) @[el2_ifu_mem_ctl.scala 779:84] - replace_way_mb_any[0] <= _T_10629 @[el2_ifu_mem_ctl.scala 779:29] - node _T_10630 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 780:62] - node _T_10631 = and(way_status_mb_ff, _T_10630) @[el2_ifu_mem_ctl.scala 780:50] - node _T_10632 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 780:78] - node _T_10633 = and(_T_10631, _T_10632) @[el2_ifu_mem_ctl.scala 780:66] - node _T_10634 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 780:96] - node _T_10635 = eq(_T_10634, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 780:85] - node _T_10636 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 780:112] - node _T_10637 = and(_T_10635, _T_10636) @[el2_ifu_mem_ctl.scala 780:100] - node _T_10638 = or(_T_10633, _T_10637) @[el2_ifu_mem_ctl.scala 780:83] - replace_way_mb_any[1] <= _T_10638 @[el2_ifu_mem_ctl.scala 780:29] - node _T_10639 = bits(io.ic_rd_hit, 0, 0) @[el2_ifu_mem_ctl.scala 781:41] - way_status_hit_new <= _T_10639 @[el2_ifu_mem_ctl.scala 781:26] - way_status_rep_new <= replace_way_mb_any[0] @[el2_ifu_mem_ctl.scala 782:26] - node _T_10640 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 784:47] - node _T_10641 = bits(_T_10640, 0, 0) @[el2_ifu_mem_ctl.scala 784:60] - node _T_10642 = mux(_T_10641, way_status_rep_new, way_status_hit_new) @[el2_ifu_mem_ctl.scala 784:26] - way_status_new <= _T_10642 @[el2_ifu_mem_ctl.scala 784:20] - node _T_10643 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 785:45] - node _T_10644 = or(_T_10643, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 785:58] - way_status_wr_en <= _T_10644 @[el2_ifu_mem_ctl.scala 785:22] - node _T_10645 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 786:74] - node bus_wren_0 = and(_T_10645, miss_pending) @[el2_ifu_mem_ctl.scala 786:98] - node _T_10646 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 786:74] - node bus_wren_1 = and(_T_10646, miss_pending) @[el2_ifu_mem_ctl.scala 786:98] - node _T_10647 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 788:84] - node _T_10648 = and(_T_10647, miss_pending) @[el2_ifu_mem_ctl.scala 788:108] - node bus_wren_last_0 = and(_T_10648, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 788:123] - node _T_10649 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 788:84] - node _T_10650 = and(_T_10649, miss_pending) @[el2_ifu_mem_ctl.scala 788:108] - node bus_wren_last_1 = and(_T_10650, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 788:123] - node wren_reset_miss_0 = and(replace_way_mb_any[0], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 789:84] - node wren_reset_miss_1 = and(replace_way_mb_any[1], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 789:84] - node _T_10651 = or(bus_wren_last_0, wren_reset_miss_0) @[el2_ifu_mem_ctl.scala 790:73] - node _T_10652 = or(bus_wren_last_1, wren_reset_miss_1) @[el2_ifu_mem_ctl.scala 790:73] + node _T_10622 = eq(way_status_mb_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 780:33] + node _T_10623 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 780:63] + node _T_10624 = and(_T_10622, _T_10623) @[el2_ifu_mem_ctl.scala 780:51] + node _T_10625 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 780:79] + node _T_10626 = and(_T_10624, _T_10625) @[el2_ifu_mem_ctl.scala 780:67] + node _T_10627 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 780:97] + node _T_10628 = eq(_T_10627, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 780:86] + node _T_10629 = or(_T_10626, _T_10628) @[el2_ifu_mem_ctl.scala 780:84] + replace_way_mb_any[0] <= _T_10629 @[el2_ifu_mem_ctl.scala 780:29] + node _T_10630 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 781:62] + node _T_10631 = and(way_status_mb_ff, _T_10630) @[el2_ifu_mem_ctl.scala 781:50] + node _T_10632 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 781:78] + node _T_10633 = and(_T_10631, _T_10632) @[el2_ifu_mem_ctl.scala 781:66] + node _T_10634 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 781:96] + node _T_10635 = eq(_T_10634, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 781:85] + node _T_10636 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 781:112] + node _T_10637 = and(_T_10635, _T_10636) @[el2_ifu_mem_ctl.scala 781:100] + node _T_10638 = or(_T_10633, _T_10637) @[el2_ifu_mem_ctl.scala 781:83] + replace_way_mb_any[1] <= _T_10638 @[el2_ifu_mem_ctl.scala 781:29] + node _T_10639 = bits(io.ic_rd_hit, 0, 0) @[el2_ifu_mem_ctl.scala 782:41] + way_status_hit_new <= _T_10639 @[el2_ifu_mem_ctl.scala 782:26] + way_status_rep_new <= replace_way_mb_any[0] @[el2_ifu_mem_ctl.scala 783:26] + node _T_10640 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 785:47] + node _T_10641 = bits(_T_10640, 0, 0) @[el2_ifu_mem_ctl.scala 785:60] + node _T_10642 = mux(_T_10641, way_status_rep_new, way_status_hit_new) @[el2_ifu_mem_ctl.scala 785:26] + way_status_new <= _T_10642 @[el2_ifu_mem_ctl.scala 785:20] + node _T_10643 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 786:45] + node _T_10644 = or(_T_10643, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 786:58] + way_status_wr_en <= _T_10644 @[el2_ifu_mem_ctl.scala 786:22] + node _T_10645 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 787:74] + node bus_wren_0 = and(_T_10645, miss_pending) @[el2_ifu_mem_ctl.scala 787:98] + node _T_10646 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 787:74] + node bus_wren_1 = and(_T_10646, miss_pending) @[el2_ifu_mem_ctl.scala 787:98] + node _T_10647 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 789:84] + node _T_10648 = and(_T_10647, miss_pending) @[el2_ifu_mem_ctl.scala 789:108] + node bus_wren_last_0 = and(_T_10648, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 789:123] + node _T_10649 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 789:84] + node _T_10650 = and(_T_10649, miss_pending) @[el2_ifu_mem_ctl.scala 789:108] + node bus_wren_last_1 = and(_T_10650, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 789:123] + node wren_reset_miss_0 = and(replace_way_mb_any[0], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 790:84] + node wren_reset_miss_1 = and(replace_way_mb_any[1], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 790:84] + node _T_10651 = or(bus_wren_last_0, wren_reset_miss_0) @[el2_ifu_mem_ctl.scala 791:73] + node _T_10652 = or(bus_wren_last_1, wren_reset_miss_1) @[el2_ifu_mem_ctl.scala 791:73] node _T_10653 = cat(_T_10652, _T_10651) @[Cat.scala 29:58] - ifu_tag_wren <= _T_10653 @[el2_ifu_mem_ctl.scala 790:18] + ifu_tag_wren <= _T_10653 @[el2_ifu_mem_ctl.scala 791:18] node _T_10654 = cat(bus_wren_1, bus_wren_0) @[Cat.scala 29:58] - bus_ic_wr_en <= _T_10654 @[el2_ifu_mem_ctl.scala 792:16] - node _T_10655 = eq(fetch_uncacheable_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 806:63] - node _T_10656 = and(_T_10655, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 806:85] + bus_ic_wr_en <= _T_10654 @[el2_ifu_mem_ctl.scala 793:16] + node _T_10655 = eq(fetch_uncacheable_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 807:63] + node _T_10656 = and(_T_10655, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 807:85] node _T_10657 = bits(_T_10656, 0, 0) @[Bitwise.scala 72:15] node _T_10658 = mux(_T_10657, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10659 = and(ic_tag_valid_unq, _T_10658) @[el2_ifu_mem_ctl.scala 806:39] - io.ic_tag_valid <= _T_10659 @[el2_ifu_mem_ctl.scala 806:19] + node _T_10659 = and(ic_tag_valid_unq, _T_10658) @[el2_ifu_mem_ctl.scala 807:39] + io.ic_tag_valid <= _T_10659 @[el2_ifu_mem_ctl.scala 807:19] wire ic_debug_way_ff : UInt<2> ic_debug_way_ff <= UInt<1>("h00") node _T_10660 = bits(ic_debug_rd_en_ff, 0, 0) @[Bitwise.scala 72:15] node _T_10661 = mux(_T_10660, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10662 = and(ic_debug_way_ff, _T_10661) @[el2_ifu_mem_ctl.scala 809:67] - node _T_10663 = and(ic_tag_valid_unq, _T_10662) @[el2_ifu_mem_ctl.scala 809:48] - node _T_10664 = orr(_T_10663) @[el2_ifu_mem_ctl.scala 809:115] - ic_debug_tag_val_rd_out <= _T_10664 @[el2_ifu_mem_ctl.scala 809:27] - reg _T_10665 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 811:57] - _T_10665 <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 811:57] - io.ifu_pmu_ic_miss <= _T_10665 @[el2_ifu_mem_ctl.scala 811:22] - reg _T_10666 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 812:56] - _T_10666 <= ic_act_hit_f @[el2_ifu_mem_ctl.scala 812:56] - io.ifu_pmu_ic_hit <= _T_10666 @[el2_ifu_mem_ctl.scala 812:21] - reg _T_10667 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 813:59] - _T_10667 <= ifc_bus_acc_fault_f @[el2_ifu_mem_ctl.scala 813:59] - io.ifu_pmu_bus_error <= _T_10667 @[el2_ifu_mem_ctl.scala 813:24] - node _T_10668 = eq(ifu_bus_arready_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 814:80] - node _T_10669 = and(ifu_bus_arvalid_ff, _T_10668) @[el2_ifu_mem_ctl.scala 814:78] - node _T_10670 = and(_T_10669, miss_pending) @[el2_ifu_mem_ctl.scala 814:100] - reg _T_10671 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 814:58] - _T_10671 <= _T_10670 @[el2_ifu_mem_ctl.scala 814:58] - io.ifu_pmu_bus_busy <= _T_10671 @[el2_ifu_mem_ctl.scala 814:23] - reg _T_10672 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 815:58] - _T_10672 <= bus_cmd_sent @[el2_ifu_mem_ctl.scala 815:58] - io.ifu_pmu_bus_trxn <= _T_10672 @[el2_ifu_mem_ctl.scala 815:23] - io.ic_debug_addr <= io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_ifu_mem_ctl.scala 818:20] - node _T_10673 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 16, 16) @[el2_ifu_mem_ctl.scala 819:66] - io.ic_debug_tag_array <= _T_10673 @[el2_ifu_mem_ctl.scala 819:25] - io.ic_debug_rd_en <= io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_ifu_mem_ctl.scala 820:21] - io.ic_debug_wr_en <= io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_ifu_mem_ctl.scala 821:21] - node _T_10674 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 822:64] - node _T_10675 = eq(_T_10674, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 822:71] - node _T_10676 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 822:117] - node _T_10677 = eq(_T_10676, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 822:124] - node _T_10678 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 823:43] - node _T_10679 = eq(_T_10678, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 823:50] - node _T_10680 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 823:96] - node _T_10681 = eq(_T_10680, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 823:103] + node _T_10662 = and(ic_debug_way_ff, _T_10661) @[el2_ifu_mem_ctl.scala 810:67] + node _T_10663 = and(ic_tag_valid_unq, _T_10662) @[el2_ifu_mem_ctl.scala 810:48] + node _T_10664 = orr(_T_10663) @[el2_ifu_mem_ctl.scala 810:115] + ic_debug_tag_val_rd_out <= _T_10664 @[el2_ifu_mem_ctl.scala 810:27] + reg _T_10665 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 812:57] + _T_10665 <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 812:57] + io.ifu_pmu_ic_miss <= _T_10665 @[el2_ifu_mem_ctl.scala 812:22] + reg _T_10666 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 813:56] + _T_10666 <= ic_act_hit_f @[el2_ifu_mem_ctl.scala 813:56] + io.ifu_pmu_ic_hit <= _T_10666 @[el2_ifu_mem_ctl.scala 813:21] + reg _T_10667 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 814:59] + _T_10667 <= ifc_bus_acc_fault_f @[el2_ifu_mem_ctl.scala 814:59] + io.ifu_pmu_bus_error <= _T_10667 @[el2_ifu_mem_ctl.scala 814:24] + node _T_10668 = eq(ifu_bus_arready_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 815:80] + node _T_10669 = and(ifu_bus_arvalid_ff, _T_10668) @[el2_ifu_mem_ctl.scala 815:78] + node _T_10670 = and(_T_10669, miss_pending) @[el2_ifu_mem_ctl.scala 815:100] + reg _T_10671 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 815:58] + _T_10671 <= _T_10670 @[el2_ifu_mem_ctl.scala 815:58] + io.ifu_pmu_bus_busy <= _T_10671 @[el2_ifu_mem_ctl.scala 815:23] + reg _T_10672 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 816:58] + _T_10672 <= bus_cmd_sent @[el2_ifu_mem_ctl.scala 816:58] + io.ifu_pmu_bus_trxn <= _T_10672 @[el2_ifu_mem_ctl.scala 816:23] + io.ic_debug_addr <= io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_ifu_mem_ctl.scala 819:20] + node _T_10673 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 16, 16) @[el2_ifu_mem_ctl.scala 820:66] + io.ic_debug_tag_array <= _T_10673 @[el2_ifu_mem_ctl.scala 820:25] + io.ic_debug_rd_en <= io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_ifu_mem_ctl.scala 821:21] + io.ic_debug_wr_en <= io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_ifu_mem_ctl.scala 822:21] + node _T_10674 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 823:64] + node _T_10675 = eq(_T_10674, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 823:71] + node _T_10676 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 823:117] + node _T_10677 = eq(_T_10676, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 823:124] + node _T_10678 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 824:43] + node _T_10679 = eq(_T_10678, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 824:50] + node _T_10680 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 824:96] + node _T_10681 = eq(_T_10680, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 824:103] node _T_10682 = cat(_T_10679, _T_10681) @[Cat.scala 29:58] node _T_10683 = cat(_T_10675, _T_10677) @[Cat.scala 29:58] node _T_10684 = cat(_T_10683, _T_10682) @[Cat.scala 29:58] - io.ic_debug_way <= _T_10684 @[el2_ifu_mem_ctl.scala 822:19] - node _T_10685 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 824:65] + io.ic_debug_way <= _T_10684 @[el2_ifu_mem_ctl.scala 823:19] + node _T_10685 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 825:65] node _T_10686 = bits(_T_10685, 0, 0) @[Bitwise.scala 72:15] node _T_10687 = mux(_T_10686, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10688 = and(_T_10687, io.ic_debug_way) @[el2_ifu_mem_ctl.scala 824:90] - ic_debug_tag_wr_en <= _T_10688 @[el2_ifu_mem_ctl.scala 824:22] - node ic_debug_ict_array_sel_in = and(io.ic_debug_rd_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 825:53] - node _T_10689 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 826:72] + node _T_10688 = and(_T_10687, io.ic_debug_way) @[el2_ifu_mem_ctl.scala 825:90] + ic_debug_tag_wr_en <= _T_10688 @[el2_ifu_mem_ctl.scala 825:22] + node ic_debug_ict_array_sel_in = and(io.ic_debug_rd_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 826:53] + node _T_10689 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 827:72] reg _T_10690 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_10689 : @[Reg.scala 28:19] _T_10690 <= io.ic_debug_way @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_debug_way_ff <= _T_10690 @[el2_ifu_mem_ctl.scala 826:19] - node _T_10691 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 827:92] + ic_debug_way_ff <= _T_10690 @[el2_ifu_mem_ctl.scala 827:19] + node _T_10691 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 828:92] reg _T_10692 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_10691 : @[Reg.scala 28:19] _T_10692 <= ic_debug_ict_array_sel_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_debug_ict_array_sel_ff <= _T_10692 @[el2_ifu_mem_ctl.scala 827:29] - reg _T_10693 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 828:54] - _T_10693 <= io.ic_debug_rd_en @[el2_ifu_mem_ctl.scala 828:54] - ic_debug_rd_en_ff <= _T_10693 @[el2_ifu_mem_ctl.scala 828:21] - node _T_10694 = bits(ic_debug_rd_en_ff, 0, 0) @[el2_ifu_mem_ctl.scala 829:111] + ic_debug_ict_array_sel_ff <= _T_10692 @[el2_ifu_mem_ctl.scala 828:29] + reg _T_10693 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 829:54] + _T_10693 <= io.ic_debug_rd_en @[el2_ifu_mem_ctl.scala 829:54] + ic_debug_rd_en_ff <= _T_10693 @[el2_ifu_mem_ctl.scala 829:21] + node _T_10694 = bits(ic_debug_rd_en_ff, 0, 0) @[el2_ifu_mem_ctl.scala 830:111] reg _T_10695 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_10694 : @[Reg.scala 28:19] _T_10695 <= ic_debug_rd_en_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.ifu_ic_debug_rd_data_valid <= _T_10695 @[el2_ifu_mem_ctl.scala 829:33] + io.ifu_ic_debug_rd_data_valid <= _T_10695 @[el2_ifu_mem_ctl.scala 830:33] node _T_10696 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] node _T_10697 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] node _T_10698 = cat(_T_10697, _T_10696) @[Cat.scala 29:58] @@ -13951,62 +13951,63 @@ circuit el2_ifu_mem_ctl : node _T_10700 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] node _T_10701 = cat(_T_10700, _T_10699) @[Cat.scala 29:58] node _T_10702 = cat(_T_10701, _T_10698) @[Cat.scala 29:58] - node _T_10703 = orr(_T_10702) @[el2_ifu_mem_ctl.scala 830:213] + node _T_10703 = orr(_T_10702) @[el2_ifu_mem_ctl.scala 831:213] node _T_10704 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10705 = or(_T_10704, UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 831:62] - node _T_10706 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 831:110] - node _T_10707 = eq(_T_10705, _T_10706) @[el2_ifu_mem_ctl.scala 831:85] - node _T_10708 = and(UInt<1>("h01"), _T_10707) @[el2_ifu_mem_ctl.scala 831:27] - node _T_10709 = or(_T_10703, _T_10708) @[el2_ifu_mem_ctl.scala 830:216] + node _T_10705 = or(_T_10704, UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 832:62] + node _T_10706 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 832:110] + node _T_10707 = eq(_T_10705, _T_10706) @[el2_ifu_mem_ctl.scala 832:85] + node _T_10708 = and(UInt<1>("h01"), _T_10707) @[el2_ifu_mem_ctl.scala 832:27] + node _T_10709 = or(_T_10703, _T_10708) @[el2_ifu_mem_ctl.scala 831:216] node _T_10710 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10711 = or(_T_10710, UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 832:62] - node _T_10712 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 832:110] - node _T_10713 = eq(_T_10711, _T_10712) @[el2_ifu_mem_ctl.scala 832:85] - node _T_10714 = and(UInt<1>("h01"), _T_10713) @[el2_ifu_mem_ctl.scala 832:27] - node _T_10715 = or(_T_10709, _T_10714) @[el2_ifu_mem_ctl.scala 831:134] + node _T_10711 = or(_T_10710, UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 833:62] + node _T_10712 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 833:110] + node _T_10713 = eq(_T_10711, _T_10712) @[el2_ifu_mem_ctl.scala 833:85] + node _T_10714 = and(UInt<1>("h01"), _T_10713) @[el2_ifu_mem_ctl.scala 833:27] + node _T_10715 = or(_T_10709, _T_10714) @[el2_ifu_mem_ctl.scala 832:134] node _T_10716 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10717 = or(_T_10716, UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 833:62] - node _T_10718 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 833:110] - node _T_10719 = eq(_T_10717, _T_10718) @[el2_ifu_mem_ctl.scala 833:85] - node _T_10720 = and(UInt<1>("h01"), _T_10719) @[el2_ifu_mem_ctl.scala 833:27] - node _T_10721 = or(_T_10715, _T_10720) @[el2_ifu_mem_ctl.scala 832:134] + node _T_10717 = or(_T_10716, UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 834:62] + node _T_10718 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 834:110] + node _T_10719 = eq(_T_10717, _T_10718) @[el2_ifu_mem_ctl.scala 834:85] + node _T_10720 = and(UInt<1>("h01"), _T_10719) @[el2_ifu_mem_ctl.scala 834:27] + node _T_10721 = or(_T_10715, _T_10720) @[el2_ifu_mem_ctl.scala 833:134] node _T_10722 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10723 = or(_T_10722, UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 834:62] - node _T_10724 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 834:110] - node _T_10725 = eq(_T_10723, _T_10724) @[el2_ifu_mem_ctl.scala 834:85] - node _T_10726 = and(UInt<1>("h01"), _T_10725) @[el2_ifu_mem_ctl.scala 834:27] - node _T_10727 = or(_T_10721, _T_10726) @[el2_ifu_mem_ctl.scala 833:134] + node _T_10723 = or(_T_10722, UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 835:62] + node _T_10724 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 835:110] + node _T_10725 = eq(_T_10723, _T_10724) @[el2_ifu_mem_ctl.scala 835:85] + node _T_10726 = and(UInt<1>("h01"), _T_10725) @[el2_ifu_mem_ctl.scala 835:27] + node _T_10727 = or(_T_10721, _T_10726) @[el2_ifu_mem_ctl.scala 834:134] node _T_10728 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10729 = or(_T_10728, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 835:62] - node _T_10730 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 835:110] - node _T_10731 = eq(_T_10729, _T_10730) @[el2_ifu_mem_ctl.scala 835:85] - node _T_10732 = and(UInt<1>("h00"), _T_10731) @[el2_ifu_mem_ctl.scala 835:27] - node _T_10733 = or(_T_10727, _T_10732) @[el2_ifu_mem_ctl.scala 834:134] + node _T_10729 = or(_T_10728, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:62] + node _T_10730 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:110] + node _T_10731 = eq(_T_10729, _T_10730) @[el2_ifu_mem_ctl.scala 836:85] + node _T_10732 = and(UInt<1>("h00"), _T_10731) @[el2_ifu_mem_ctl.scala 836:27] + node _T_10733 = or(_T_10727, _T_10732) @[el2_ifu_mem_ctl.scala 835:134] node _T_10734 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10735 = or(_T_10734, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:62] - node _T_10736 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:110] - node _T_10737 = eq(_T_10735, _T_10736) @[el2_ifu_mem_ctl.scala 836:85] - node _T_10738 = and(UInt<1>("h00"), _T_10737) @[el2_ifu_mem_ctl.scala 836:27] - node _T_10739 = or(_T_10733, _T_10738) @[el2_ifu_mem_ctl.scala 835:134] + node _T_10735 = or(_T_10734, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 837:62] + node _T_10736 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 837:110] + node _T_10737 = eq(_T_10735, _T_10736) @[el2_ifu_mem_ctl.scala 837:85] + node _T_10738 = and(UInt<1>("h00"), _T_10737) @[el2_ifu_mem_ctl.scala 837:27] + node _T_10739 = or(_T_10733, _T_10738) @[el2_ifu_mem_ctl.scala 836:134] node _T_10740 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10741 = or(_T_10740, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 837:62] - node _T_10742 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 837:110] - node _T_10743 = eq(_T_10741, _T_10742) @[el2_ifu_mem_ctl.scala 837:85] - node _T_10744 = and(UInt<1>("h00"), _T_10743) @[el2_ifu_mem_ctl.scala 837:27] - node _T_10745 = or(_T_10739, _T_10744) @[el2_ifu_mem_ctl.scala 836:134] + node _T_10741 = or(_T_10740, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 838:62] + node _T_10742 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 838:110] + node _T_10743 = eq(_T_10741, _T_10742) @[el2_ifu_mem_ctl.scala 838:85] + node _T_10744 = and(UInt<1>("h00"), _T_10743) @[el2_ifu_mem_ctl.scala 838:27] + node _T_10745 = or(_T_10739, _T_10744) @[el2_ifu_mem_ctl.scala 837:134] node _T_10746 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10747 = or(_T_10746, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 838:62] - node _T_10748 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 838:110] - node _T_10749 = eq(_T_10747, _T_10748) @[el2_ifu_mem_ctl.scala 838:85] - node _T_10750 = and(UInt<1>("h00"), _T_10749) @[el2_ifu_mem_ctl.scala 838:27] - node ifc_region_acc_okay = or(_T_10745, _T_10750) @[el2_ifu_mem_ctl.scala 837:134] - node _T_10751 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 839:40] - node _T_10752 = eq(ifc_region_acc_okay, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 839:65] - node _T_10753 = and(_T_10751, _T_10752) @[el2_ifu_mem_ctl.scala 839:63] - node ifc_region_acc_fault_memory_bf = and(_T_10753, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 839:86] - node _T_10754 = or(io.ifc_region_acc_fault_bf, ifc_region_acc_fault_memory_bf) @[el2_ifu_mem_ctl.scala 840:63] - ifc_region_acc_fault_final_bf <= _T_10754 @[el2_ifu_mem_ctl.scala 840:33] - reg _T_10755 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 841:66] - _T_10755 <= ifc_region_acc_fault_memory_bf @[el2_ifu_mem_ctl.scala 841:66] - ifc_region_acc_fault_memory_f <= _T_10755 @[el2_ifu_mem_ctl.scala 841:33] + node _T_10747 = or(_T_10746, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 839:62] + node _T_10748 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 839:110] + node _T_10749 = eq(_T_10747, _T_10748) @[el2_ifu_mem_ctl.scala 839:85] + node _T_10750 = and(UInt<1>("h00"), _T_10749) @[el2_ifu_mem_ctl.scala 839:27] + node ifc_region_acc_okay = or(_T_10745, _T_10750) @[el2_ifu_mem_ctl.scala 838:134] + node _T_10751 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 840:40] + node _T_10752 = eq(ifc_region_acc_okay, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 840:65] + node _T_10753 = and(_T_10751, _T_10752) @[el2_ifu_mem_ctl.scala 840:63] + node ifc_region_acc_fault_memory_bf = and(_T_10753, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 840:86] + node _T_10754 = or(io.ifc_region_acc_fault_bf, ifc_region_acc_fault_memory_bf) @[el2_ifu_mem_ctl.scala 841:63] + ifc_region_acc_fault_final_bf <= _T_10754 @[el2_ifu_mem_ctl.scala 841:33] + reg _T_10755 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 842:66] + _T_10755 <= ifc_region_acc_fault_memory_bf @[el2_ifu_mem_ctl.scala 842:66] + ifc_region_acc_fault_memory_f <= _T_10755 @[el2_ifu_mem_ctl.scala 842:33] + io.tagv_mb_in <= tagv_mb_in @[el2_ifu_mem_ctl.scala 845:17] diff --git a/el2_ifu_mem_ctl.v b/el2_ifu_mem_ctl.v index 55806f39..32590062 100644 --- a/el2_ifu_mem_ctl.v +++ b/el2_ifu_mem_ctl.v @@ -121,7 +121,8 @@ module el2_ifu_mem_ctl( output io_iccm_buf_correct_ecc, output io_iccm_correction_state, input io_scan_mode, - output [255:0] io_valids + output [255:0] io_valids, + output [1:0] io_tagv_mb_in ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -595,35 +596,35 @@ module el2_ifu_mem_ctl( reg [31:0] _RAND_468; reg [31:0] _RAND_469; `endif // RANDOMIZE_REG_INIT - reg flush_final_f; // @[el2_ifu_mem_ctl.scala 185:30] - reg ifc_fetch_req_f_raw; // @[el2_ifu_mem_ctl.scala 320:36] - wire _T_317 = ~io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 321:44] - wire ifc_fetch_req_f = ifc_fetch_req_f_raw & _T_317; // @[el2_ifu_mem_ctl.scala 321:42] + reg flush_final_f; // @[el2_ifu_mem_ctl.scala 186:30] + reg ifc_fetch_req_f_raw; // @[el2_ifu_mem_ctl.scala 321:36] + wire _T_317 = ~io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 322:44] + wire ifc_fetch_req_f = ifc_fetch_req_f_raw & _T_317; // @[el2_ifu_mem_ctl.scala 322:42] reg [2:0] miss_state; // @[Reg.scala 27:20] - wire miss_pending = miss_state != 3'h0; // @[el2_ifu_mem_ctl.scala 253:30] - reg scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 547:52] - wire scnd_miss_req = scnd_miss_req_q & _T_317; // @[el2_ifu_mem_ctl.scala 549:36] - wire debug_c1_clken = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_mem_ctl.scala 187:42] + wire miss_pending = miss_state != 3'h0; // @[el2_ifu_mem_ctl.scala 254:30] + reg scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 548:52] + wire scnd_miss_req = scnd_miss_req_q & _T_317; // @[el2_ifu_mem_ctl.scala 550:36] + wire debug_c1_clken = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_mem_ctl.scala 188:42] wire [3:0] ic_fetch_val_int_f = {2'h0,io_ic_fetch_val_f}; // @[Cat.scala 29:58] - reg [30:0] ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 308:34] - wire [4:0] _GEN_464 = {{1'd0}, ic_fetch_val_int_f}; // @[el2_ifu_mem_ctl.scala 664:53] - wire [4:0] ic_fetch_val_shift_right = _GEN_464 << ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 664:53] - wire [1:0] _GEN_465 = {{1'd0}, _T_317}; // @[el2_ifu_mem_ctl.scala 667:91] - wire [1:0] _T_3121 = ic_fetch_val_shift_right[3:2] & _GEN_465; // @[el2_ifu_mem_ctl.scala 667:91] - reg ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 322:31] - wire fetch_req_iccm_f = ifc_fetch_req_f & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 275:46] - wire [1:0] _GEN_466 = {{1'd0}, fetch_req_iccm_f}; // @[el2_ifu_mem_ctl.scala 667:113] - wire [1:0] _T_3122 = _T_3121 & _GEN_466; // @[el2_ifu_mem_ctl.scala 667:113] - reg iccm_dma_rvalid_in; // @[el2_ifu_mem_ctl.scala 653:59] - wire [1:0] _GEN_467 = {{1'd0}, iccm_dma_rvalid_in}; // @[el2_ifu_mem_ctl.scala 667:130] - wire [1:0] _T_3123 = _T_3122 | _GEN_467; // @[el2_ifu_mem_ctl.scala 667:130] - wire _T_3124 = ~io_dec_tlu_core_ecc_disable; // @[el2_ifu_mem_ctl.scala 667:154] - wire [1:0] _GEN_468 = {{1'd0}, _T_3124}; // @[el2_ifu_mem_ctl.scala 667:152] - wire [1:0] _T_3125 = _T_3123 & _GEN_468; // @[el2_ifu_mem_ctl.scala 667:152] - wire [1:0] _T_3114 = ic_fetch_val_shift_right[1:0] & _GEN_465; // @[el2_ifu_mem_ctl.scala 667:91] - wire [1:0] _T_3115 = _T_3114 & _GEN_466; // @[el2_ifu_mem_ctl.scala 667:113] - wire [1:0] _T_3116 = _T_3115 | _GEN_467; // @[el2_ifu_mem_ctl.scala 667:130] - wire [1:0] _T_3118 = _T_3116 & _GEN_468; // @[el2_ifu_mem_ctl.scala 667:152] + reg [30:0] ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 309:34] + wire [4:0] _GEN_464 = {{1'd0}, ic_fetch_val_int_f}; // @[el2_ifu_mem_ctl.scala 665:53] + wire [4:0] ic_fetch_val_shift_right = _GEN_464 << ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 665:53] + wire [1:0] _GEN_465 = {{1'd0}, _T_317}; // @[el2_ifu_mem_ctl.scala 668:91] + wire [1:0] _T_3121 = ic_fetch_val_shift_right[3:2] & _GEN_465; // @[el2_ifu_mem_ctl.scala 668:91] + reg ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 323:31] + wire fetch_req_iccm_f = ifc_fetch_req_f & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 276:46] + wire [1:0] _GEN_466 = {{1'd0}, fetch_req_iccm_f}; // @[el2_ifu_mem_ctl.scala 668:113] + wire [1:0] _T_3122 = _T_3121 & _GEN_466; // @[el2_ifu_mem_ctl.scala 668:113] + reg iccm_dma_rvalid_in; // @[el2_ifu_mem_ctl.scala 654:59] + wire [1:0] _GEN_467 = {{1'd0}, iccm_dma_rvalid_in}; // @[el2_ifu_mem_ctl.scala 668:130] + wire [1:0] _T_3123 = _T_3122 | _GEN_467; // @[el2_ifu_mem_ctl.scala 668:130] + wire _T_3124 = ~io_dec_tlu_core_ecc_disable; // @[el2_ifu_mem_ctl.scala 668:154] + wire [1:0] _GEN_468 = {{1'd0}, _T_3124}; // @[el2_ifu_mem_ctl.scala 668:152] + wire [1:0] _T_3125 = _T_3123 & _GEN_468; // @[el2_ifu_mem_ctl.scala 668:152] + wire [1:0] _T_3114 = ic_fetch_val_shift_right[1:0] & _GEN_465; // @[el2_ifu_mem_ctl.scala 668:91] + wire [1:0] _T_3115 = _T_3114 & _GEN_466; // @[el2_ifu_mem_ctl.scala 668:113] + wire [1:0] _T_3116 = _T_3115 | _GEN_467; // @[el2_ifu_mem_ctl.scala 668:130] + wire [1:0] _T_3118 = _T_3116 & _GEN_468; // @[el2_ifu_mem_ctl.scala 668:152] wire [3:0] iccm_ecc_word_enable = {_T_3125,_T_3118}; // @[Cat.scala 29:58] wire _T_3225 = ^io_iccm_rd_data_ecc[31:0]; // @[el2_lib.scala 301:30] wire _T_3226 = ^io_iccm_rd_data_ecc[38:32]; // @[el2_lib.scala 301:44] @@ -686,238 +687,238 @@ module el2_ifu_mem_ctl( wire _T_3724 = iccm_ecc_word_enable[1] & _T_3723; // @[el2_lib.scala 302:32] wire _T_3726 = _T_3724 & _T_3722[6]; // @[el2_lib.scala 302:53] wire [1:0] iccm_single_ecc_error = {_T_3341,_T_3726}; // @[Cat.scala 29:58] - wire _T_3 = |iccm_single_ecc_error; // @[el2_ifu_mem_ctl.scala 190:52] - reg dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 631:51] - wire _T_6 = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 191:57] + wire _T_3 = |iccm_single_ecc_error; // @[el2_ifu_mem_ctl.scala 191:52] + reg dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 632:51] + wire _T_6 = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 192:57] reg [2:0] perr_state; // @[Reg.scala 27:20] - wire _T_7 = perr_state == 3'h4; // @[el2_ifu_mem_ctl.scala 192:54] - wire iccm_correct_ecc = perr_state == 3'h3; // @[el2_ifu_mem_ctl.scala 476:34] - wire _T_8 = iccm_correct_ecc | _T_7; // @[el2_ifu_mem_ctl.scala 192:40] + wire _T_7 = perr_state == 3'h4; // @[el2_ifu_mem_ctl.scala 193:54] + wire iccm_correct_ecc = perr_state == 3'h3; // @[el2_ifu_mem_ctl.scala 477:34] + wire _T_8 = iccm_correct_ecc | _T_7; // @[el2_ifu_mem_ctl.scala 193:40] reg [1:0] err_stop_state; // @[Reg.scala 27:20] - wire _T_9 = err_stop_state == 2'h3; // @[el2_ifu_mem_ctl.scala 192:90] - wire _T_10 = _T_8 | _T_9; // @[el2_ifu_mem_ctl.scala 192:72] + wire _T_9 = err_stop_state == 2'h3; // @[el2_ifu_mem_ctl.scala 193:90] + wire _T_10 = _T_8 | _T_9; // @[el2_ifu_mem_ctl.scala 193:72] wire _T_2490 = 2'h0 == err_stop_state; // @[Conditional.scala 37:30] wire _T_2495 = 2'h1 == err_stop_state; // @[Conditional.scala 37:30] - wire _T_2515 = io_ifu_fetch_val == 2'h3; // @[el2_ifu_mem_ctl.scala 526:48] - wire two_byte_instr = io_ic_data_f[1:0] != 2'h3; // @[el2_ifu_mem_ctl.scala 390:42] - wire _T_2517 = io_ifu_fetch_val[0] & two_byte_instr; // @[el2_ifu_mem_ctl.scala 526:79] - wire _T_2518 = _T_2515 | _T_2517; // @[el2_ifu_mem_ctl.scala 526:56] - wire _T_2519 = io_exu_flush_final | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 526:122] - wire _T_2520 = ~_T_2519; // @[el2_ifu_mem_ctl.scala 526:101] - wire _T_2521 = _T_2518 & _T_2520; // @[el2_ifu_mem_ctl.scala 526:99] + wire _T_2515 = io_ifu_fetch_val == 2'h3; // @[el2_ifu_mem_ctl.scala 527:48] + wire two_byte_instr = io_ic_data_f[1:0] != 2'h3; // @[el2_ifu_mem_ctl.scala 391:42] + wire _T_2517 = io_ifu_fetch_val[0] & two_byte_instr; // @[el2_ifu_mem_ctl.scala 527:79] + wire _T_2518 = _T_2515 | _T_2517; // @[el2_ifu_mem_ctl.scala 527:56] + wire _T_2519 = io_exu_flush_final | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 527:122] + wire _T_2520 = ~_T_2519; // @[el2_ifu_mem_ctl.scala 527:101] + wire _T_2521 = _T_2518 & _T_2520; // @[el2_ifu_mem_ctl.scala 527:99] wire _T_2522 = 2'h2 == err_stop_state; // @[Conditional.scala 37:30] - wire _T_2536 = io_ifu_fetch_val[0] & _T_317; // @[el2_ifu_mem_ctl.scala 533:45] - wire _T_2537 = ~io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 533:69] - wire _T_2538 = _T_2536 & _T_2537; // @[el2_ifu_mem_ctl.scala 533:67] + wire _T_2536 = io_ifu_fetch_val[0] & _T_317; // @[el2_ifu_mem_ctl.scala 534:45] + wire _T_2537 = ~io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 534:69] + wire _T_2538 = _T_2536 & _T_2537; // @[el2_ifu_mem_ctl.scala 534:67] wire _T_2539 = 2'h3 == err_stop_state; // @[Conditional.scala 37:30] wire _GEN_55 = _T_2522 ? _T_2538 : _T_2539; // @[Conditional.scala 39:67] wire _GEN_59 = _T_2495 ? _T_2521 : _GEN_55; // @[Conditional.scala 39:67] wire err_stop_fetch = _T_2490 ? 1'h0 : _GEN_59; // @[Conditional.scala 40:58] - wire _T_11 = _T_10 | err_stop_fetch; // @[el2_ifu_mem_ctl.scala 192:112] - wire _T_13 = io_ifu_axi_rvalid & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 194:44] - wire _T_14 = _T_13 & io_ifu_axi_rready; // @[el2_ifu_mem_ctl.scala 194:65] - wire _T_227 = |io_ic_rd_hit; // @[el2_ifu_mem_ctl.scala 283:37] - wire _T_228 = ~_T_227; // @[el2_ifu_mem_ctl.scala 283:23] - reg reset_all_tags; // @[el2_ifu_mem_ctl.scala 699:53] - wire _T_229 = _T_228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 283:41] - wire _T_207 = ~ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 274:48] - wire _T_208 = ifc_fetch_req_f & _T_207; // @[el2_ifu_mem_ctl.scala 274:46] - reg ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 324:42] - wire _T_209 = ~ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 274:69] - wire fetch_req_icache_f = _T_208 & _T_209; // @[el2_ifu_mem_ctl.scala 274:67] - wire _T_230 = _T_229 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 283:59] - wire _T_231 = ~miss_pending; // @[el2_ifu_mem_ctl.scala 283:82] - wire _T_232 = _T_230 & _T_231; // @[el2_ifu_mem_ctl.scala 283:80] - wire _T_233 = _T_232 | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 283:97] - wire ic_act_miss_f = _T_233 & _T_209; // @[el2_ifu_mem_ctl.scala 283:114] + wire _T_11 = _T_10 | err_stop_fetch; // @[el2_ifu_mem_ctl.scala 193:112] + wire _T_13 = io_ifu_axi_rvalid & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 195:44] + wire _T_14 = _T_13 & io_ifu_axi_rready; // @[el2_ifu_mem_ctl.scala 195:65] + wire _T_227 = |io_ic_rd_hit; // @[el2_ifu_mem_ctl.scala 284:37] + wire _T_228 = ~_T_227; // @[el2_ifu_mem_ctl.scala 284:23] + reg reset_all_tags; // @[el2_ifu_mem_ctl.scala 700:53] + wire _T_229 = _T_228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 284:41] + wire _T_207 = ~ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 275:48] + wire _T_208 = ifc_fetch_req_f & _T_207; // @[el2_ifu_mem_ctl.scala 275:46] + reg ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 325:42] + wire _T_209 = ~ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 275:69] + wire fetch_req_icache_f = _T_208 & _T_209; // @[el2_ifu_mem_ctl.scala 275:67] + wire _T_230 = _T_229 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 284:59] + wire _T_231 = ~miss_pending; // @[el2_ifu_mem_ctl.scala 284:82] + wire _T_232 = _T_230 & _T_231; // @[el2_ifu_mem_ctl.scala 284:80] + wire _T_233 = _T_232 | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 284:97] + wire ic_act_miss_f = _T_233 & _T_209; // @[el2_ifu_mem_ctl.scala 284:114] reg ifu_bus_rvalid_unq_ff; // @[Reg.scala 27:20] - reg bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 546:61] - wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 588:49] - wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[el2_ifu_mem_ctl.scala 615:41] - reg uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 310:33] - reg [2:0] bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 596:56] - wire _T_2641 = bus_data_beat_count == 3'h1; // @[el2_ifu_mem_ctl.scala 613:69] - wire _T_2642 = &bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 613:101] - wire bus_last_data_beat = uncacheable_miss_ff ? _T_2641 : _T_2642; // @[el2_ifu_mem_ctl.scala 613:28] - wire _T_2588 = bus_ifu_wr_en_ff & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 592:68] - wire _T_2589 = ic_act_miss_f | _T_2588; // @[el2_ifu_mem_ctl.scala 592:48] - wire bus_reset_data_beat_cnt = _T_2589 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 592:91] - wire _T_2585 = ~bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 591:50] - wire _T_2586 = bus_ifu_wr_en_ff & _T_2585; // @[el2_ifu_mem_ctl.scala 591:48] - wire _T_2587 = ~io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 591:72] - wire bus_inc_data_beat_cnt = _T_2586 & _T_2587; // @[el2_ifu_mem_ctl.scala 591:70] - wire [2:0] _T_2593 = bus_data_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 595:115] + reg bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 547:61] + wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 589:49] + wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[el2_ifu_mem_ctl.scala 616:41] + reg uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 311:33] + reg [2:0] bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 597:56] + wire _T_2641 = bus_data_beat_count == 3'h1; // @[el2_ifu_mem_ctl.scala 614:69] + wire _T_2642 = &bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 614:101] + wire bus_last_data_beat = uncacheable_miss_ff ? _T_2641 : _T_2642; // @[el2_ifu_mem_ctl.scala 614:28] + wire _T_2588 = bus_ifu_wr_en_ff & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 593:68] + wire _T_2589 = ic_act_miss_f | _T_2588; // @[el2_ifu_mem_ctl.scala 593:48] + wire bus_reset_data_beat_cnt = _T_2589 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 593:91] + wire _T_2585 = ~bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 592:50] + wire _T_2586 = bus_ifu_wr_en_ff & _T_2585; // @[el2_ifu_mem_ctl.scala 592:48] + wire _T_2587 = ~io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 592:72] + wire bus_inc_data_beat_cnt = _T_2586 & _T_2587; // @[el2_ifu_mem_ctl.scala 592:70] + wire [2:0] _T_2593 = bus_data_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 596:115] wire [2:0] _T_2595 = bus_inc_data_beat_cnt ? _T_2593 : 3'h0; // @[Mux.scala 27:72] - wire _T_2590 = ~bus_inc_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 593:32] - wire _T_2591 = ~bus_reset_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 593:57] - wire bus_hold_data_beat_cnt = _T_2590 & _T_2591; // @[el2_ifu_mem_ctl.scala 593:55] + wire _T_2590 = ~bus_inc_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 594:32] + wire _T_2591 = ~bus_reset_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 594:57] + wire bus_hold_data_beat_cnt = _T_2590 & _T_2591; // @[el2_ifu_mem_ctl.scala 594:55] wire [2:0] _T_2596 = bus_hold_data_beat_cnt ? bus_data_beat_count : 3'h0; // @[Mux.scala 27:72] wire [2:0] bus_new_data_beat_count = _T_2595 | _T_2596; // @[Mux.scala 27:72] - wire _T_15 = &bus_new_data_beat_count; // @[el2_ifu_mem_ctl.scala 194:112] - wire _T_16 = _T_14 & _T_15; // @[el2_ifu_mem_ctl.scala 194:85] - wire _T_17 = ~uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 195:5] - wire _T_18 = _T_16 & _T_17; // @[el2_ifu_mem_ctl.scala 194:118] - wire _T_19 = miss_state == 3'h5; // @[el2_ifu_mem_ctl.scala 195:41] + wire _T_15 = &bus_new_data_beat_count; // @[el2_ifu_mem_ctl.scala 195:112] + wire _T_16 = _T_14 & _T_15; // @[el2_ifu_mem_ctl.scala 195:85] + wire _T_17 = ~uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 196:5] + wire _T_18 = _T_16 & _T_17; // @[el2_ifu_mem_ctl.scala 195:118] + wire _T_19 = miss_state == 3'h5; // @[el2_ifu_mem_ctl.scala 196:41] wire _T_24 = 3'h0 == miss_state; // @[Conditional.scala 37:30] - wire _T_26 = ic_act_miss_f & _T_317; // @[el2_ifu_mem_ctl.scala 201:43] - wire [2:0] _T_28 = _T_26 ? 3'h1 : 3'h2; // @[el2_ifu_mem_ctl.scala 201:27] + wire _T_26 = ic_act_miss_f & _T_317; // @[el2_ifu_mem_ctl.scala 202:43] + wire [2:0] _T_28 = _T_26 ? 3'h1 : 3'h2; // @[el2_ifu_mem_ctl.scala 202:27] wire _T_31 = 3'h1 == miss_state; // @[Conditional.scala 37:30] - wire [4:0] byp_fetch_index = ifu_fetch_addr_int_f[4:0]; // @[el2_ifu_mem_ctl.scala 426:45] - wire _T_2120 = byp_fetch_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 447:127] - reg [7:0] ic_miss_buff_data_valid; // @[el2_ifu_mem_ctl.scala 403:60] + wire [4:0] byp_fetch_index = ifu_fetch_addr_int_f[4:0]; // @[el2_ifu_mem_ctl.scala 427:45] + wire _T_2120 = byp_fetch_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 448:127] + reg [7:0] ic_miss_buff_data_valid; // @[el2_ifu_mem_ctl.scala 404:60] wire _T_2151 = _T_2120 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2124 = byp_fetch_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2124 = byp_fetch_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2152 = _T_2124 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2159 = _T_2151 | _T_2152; // @[Mux.scala 27:72] - wire _T_2128 = byp_fetch_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2128 = byp_fetch_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2153 = _T_2128 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2160 = _T_2159 | _T_2153; // @[Mux.scala 27:72] - wire _T_2132 = byp_fetch_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2132 = byp_fetch_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2154 = _T_2132 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2161 = _T_2160 | _T_2154; // @[Mux.scala 27:72] - wire _T_2136 = byp_fetch_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2136 = byp_fetch_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2155 = _T_2136 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2162 = _T_2161 | _T_2155; // @[Mux.scala 27:72] - wire _T_2140 = byp_fetch_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2140 = byp_fetch_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2156 = _T_2140 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2163 = _T_2162 | _T_2156; // @[Mux.scala 27:72] - wire _T_2144 = byp_fetch_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2144 = byp_fetch_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2157 = _T_2144 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2164 = _T_2163 | _T_2157; // @[Mux.scala 27:72] - wire _T_2148 = byp_fetch_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2148 = byp_fetch_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2158 = _T_2148 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_valid_bypass_index = _T_2164 | _T_2158; // @[Mux.scala 27:72] - wire _T_2206 = ~byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 449:69] - wire _T_2207 = ic_miss_buff_data_valid_bypass_index & _T_2206; // @[el2_ifu_mem_ctl.scala 449:67] - wire _T_2209 = ~byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 449:91] - wire _T_2210 = _T_2207 & _T_2209; // @[el2_ifu_mem_ctl.scala 449:89] - wire _T_2215 = _T_2207 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 450:65] - wire _T_2216 = _T_2210 | _T_2215; // @[el2_ifu_mem_ctl.scala 449:112] - wire _T_2218 = ic_miss_buff_data_valid_bypass_index & byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 451:43] - wire _T_2221 = _T_2218 & _T_2209; // @[el2_ifu_mem_ctl.scala 451:65] - wire _T_2222 = _T_2216 | _T_2221; // @[el2_ifu_mem_ctl.scala 450:88] - wire _T_2226 = _T_2218 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 452:65] - wire [2:0] byp_fetch_index_inc = ifu_fetch_addr_int_f[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 429:75] - wire _T_2166 = byp_fetch_index_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2206 = ~byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 450:69] + wire _T_2207 = ic_miss_buff_data_valid_bypass_index & _T_2206; // @[el2_ifu_mem_ctl.scala 450:67] + wire _T_2209 = ~byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 450:91] + wire _T_2210 = _T_2207 & _T_2209; // @[el2_ifu_mem_ctl.scala 450:89] + wire _T_2215 = _T_2207 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 451:65] + wire _T_2216 = _T_2210 | _T_2215; // @[el2_ifu_mem_ctl.scala 450:112] + wire _T_2218 = ic_miss_buff_data_valid_bypass_index & byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 452:43] + wire _T_2221 = _T_2218 & _T_2209; // @[el2_ifu_mem_ctl.scala 452:65] + wire _T_2222 = _T_2216 | _T_2221; // @[el2_ifu_mem_ctl.scala 451:88] + wire _T_2226 = _T_2218 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 453:65] + wire [2:0] byp_fetch_index_inc = ifu_fetch_addr_int_f[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 430:75] + wire _T_2166 = byp_fetch_index_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2190 = _T_2166 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2169 = byp_fetch_index_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2169 = byp_fetch_index_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2191 = _T_2169 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2198 = _T_2190 | _T_2191; // @[Mux.scala 27:72] - wire _T_2172 = byp_fetch_index_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2172 = byp_fetch_index_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2192 = _T_2172 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2199 = _T_2198 | _T_2192; // @[Mux.scala 27:72] - wire _T_2175 = byp_fetch_index_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2175 = byp_fetch_index_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2193 = _T_2175 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2200 = _T_2199 | _T_2193; // @[Mux.scala 27:72] - wire _T_2178 = byp_fetch_index_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2178 = byp_fetch_index_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2194 = _T_2178 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2201 = _T_2200 | _T_2194; // @[Mux.scala 27:72] - wire _T_2181 = byp_fetch_index_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2181 = byp_fetch_index_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2195 = _T_2181 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2202 = _T_2201 | _T_2195; // @[Mux.scala 27:72] - wire _T_2184 = byp_fetch_index_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2184 = byp_fetch_index_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2196 = _T_2184 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2203 = _T_2202 | _T_2196; // @[Mux.scala 27:72] - wire _T_2187 = byp_fetch_index_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2187 = byp_fetch_index_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2197 = _T_2187 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_valid_inc_bypass_index = _T_2203 | _T_2197; // @[Mux.scala 27:72] - wire _T_2227 = _T_2226 & ic_miss_buff_data_valid_inc_bypass_index; // @[el2_ifu_mem_ctl.scala 452:87] - wire _T_2228 = _T_2222 | _T_2227; // @[el2_ifu_mem_ctl.scala 451:88] - wire _T_2232 = ic_miss_buff_data_valid_bypass_index & _T_2148; // @[el2_ifu_mem_ctl.scala 453:43] - wire miss_buff_hit_unq_f = _T_2228 | _T_2232; // @[el2_ifu_mem_ctl.scala 452:131] - wire _T_2248 = miss_state == 3'h4; // @[el2_ifu_mem_ctl.scala 458:55] - wire _T_2249 = miss_state == 3'h1; // @[el2_ifu_mem_ctl.scala 458:87] - wire _T_2250 = _T_2248 | _T_2249; // @[el2_ifu_mem_ctl.scala 458:74] - wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2250; // @[el2_ifu_mem_ctl.scala 458:41] - wire _T_2233 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 455:30] - reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 311:20] - wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[5]; // @[el2_ifu_mem_ctl.scala 446:51] - wire _T_2234 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 455:68] - wire _T_2235 = miss_buff_hit_unq_f & _T_2234; // @[el2_ifu_mem_ctl.scala 455:66] - wire stream_hit_f = _T_2233 & _T_2235; // @[el2_ifu_mem_ctl.scala 455:43] - wire _T_215 = crit_byp_hit_f | stream_hit_f; // @[el2_ifu_mem_ctl.scala 278:35] - wire _T_216 = _T_215 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 278:52] - wire ic_byp_hit_f = _T_216 & miss_pending; // @[el2_ifu_mem_ctl.scala 278:73] - reg last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 598:58] - wire last_beat = bus_last_data_beat & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 625:35] - wire _T_32 = bus_ifu_wr_en_ff & last_beat; // @[el2_ifu_mem_ctl.scala 205:113] - wire _T_33 = last_data_recieved_ff | _T_32; // @[el2_ifu_mem_ctl.scala 205:93] - wire _T_34 = ic_byp_hit_f & _T_33; // @[el2_ifu_mem_ctl.scala 205:67] - wire _T_35 = _T_34 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 205:127] - wire _T_36 = io_dec_tlu_force_halt | _T_35; // @[el2_ifu_mem_ctl.scala 205:51] - wire _T_38 = ~last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 206:30] - wire _T_39 = ic_byp_hit_f & _T_38; // @[el2_ifu_mem_ctl.scala 206:27] - wire _T_40 = _T_39 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 206:53] - wire _T_42 = ~ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 207:16] - wire _T_44 = _T_42 & _T_317; // @[el2_ifu_mem_ctl.scala 207:30] - wire _T_46 = _T_44 & _T_32; // @[el2_ifu_mem_ctl.scala 207:52] - wire _T_47 = _T_46 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 207:85] - wire _T_51 = _T_32 & _T_17; // @[el2_ifu_mem_ctl.scala 208:49] - wire _T_54 = ic_byp_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 209:33] - wire _T_56 = ~_T_32; // @[el2_ifu_mem_ctl.scala 209:57] - wire _T_57 = _T_54 & _T_56; // @[el2_ifu_mem_ctl.scala 209:55] - wire ifu_bp_hit_taken_q_f = io_ifu_bp_hit_taken_f & io_ic_hit_f; // @[el2_ifu_mem_ctl.scala 197:52] - wire _T_58 = ~ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 209:91] - wire _T_59 = _T_57 & _T_58; // @[el2_ifu_mem_ctl.scala 209:89] - wire _T_61 = _T_59 & _T_17; // @[el2_ifu_mem_ctl.scala 209:113] - wire _T_64 = bus_ifu_wr_en_ff & _T_317; // @[el2_ifu_mem_ctl.scala 210:39] - wire _T_67 = _T_64 & _T_56; // @[el2_ifu_mem_ctl.scala 210:61] - wire _T_69 = _T_67 & _T_58; // @[el2_ifu_mem_ctl.scala 210:95] - wire _T_71 = _T_69 & _T_17; // @[el2_ifu_mem_ctl.scala 210:119] - wire _T_79 = _T_46 & _T_17; // @[el2_ifu_mem_ctl.scala 211:100] - wire _T_81 = io_exu_flush_final | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 212:44] - wire _T_84 = _T_81 & _T_56; // @[el2_ifu_mem_ctl.scala 212:68] - wire [2:0] _T_86 = _T_84 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 212:22] - wire [2:0] _T_87 = _T_79 ? 3'h0 : _T_86; // @[el2_ifu_mem_ctl.scala 211:20] - wire [2:0] _T_88 = _T_71 ? 3'h6 : _T_87; // @[el2_ifu_mem_ctl.scala 210:20] - wire [2:0] _T_89 = _T_61 ? 3'h6 : _T_88; // @[el2_ifu_mem_ctl.scala 209:18] - wire [2:0] _T_90 = _T_51 ? 3'h0 : _T_89; // @[el2_ifu_mem_ctl.scala 208:16] - wire [2:0] _T_91 = _T_47 ? 3'h4 : _T_90; // @[el2_ifu_mem_ctl.scala 207:14] - wire [2:0] _T_92 = _T_40 ? 3'h3 : _T_91; // @[el2_ifu_mem_ctl.scala 206:12] - wire [2:0] _T_93 = _T_36 ? 3'h0 : _T_92; // @[el2_ifu_mem_ctl.scala 205:27] + wire _T_2227 = _T_2226 & ic_miss_buff_data_valid_inc_bypass_index; // @[el2_ifu_mem_ctl.scala 453:87] + wire _T_2228 = _T_2222 | _T_2227; // @[el2_ifu_mem_ctl.scala 452:88] + wire _T_2232 = ic_miss_buff_data_valid_bypass_index & _T_2148; // @[el2_ifu_mem_ctl.scala 454:43] + wire miss_buff_hit_unq_f = _T_2228 | _T_2232; // @[el2_ifu_mem_ctl.scala 453:131] + wire _T_2248 = miss_state == 3'h4; // @[el2_ifu_mem_ctl.scala 459:55] + wire _T_2249 = miss_state == 3'h1; // @[el2_ifu_mem_ctl.scala 459:87] + wire _T_2250 = _T_2248 | _T_2249; // @[el2_ifu_mem_ctl.scala 459:74] + wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2250; // @[el2_ifu_mem_ctl.scala 459:41] + wire _T_2233 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 456:30] + reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 312:20] + wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[5]; // @[el2_ifu_mem_ctl.scala 447:51] + wire _T_2234 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 456:68] + wire _T_2235 = miss_buff_hit_unq_f & _T_2234; // @[el2_ifu_mem_ctl.scala 456:66] + wire stream_hit_f = _T_2233 & _T_2235; // @[el2_ifu_mem_ctl.scala 456:43] + wire _T_215 = crit_byp_hit_f | stream_hit_f; // @[el2_ifu_mem_ctl.scala 279:35] + wire _T_216 = _T_215 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 279:52] + wire ic_byp_hit_f = _T_216 & miss_pending; // @[el2_ifu_mem_ctl.scala 279:73] + reg last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 599:58] + wire last_beat = bus_last_data_beat & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 626:35] + wire _T_32 = bus_ifu_wr_en_ff & last_beat; // @[el2_ifu_mem_ctl.scala 206:113] + wire _T_33 = last_data_recieved_ff | _T_32; // @[el2_ifu_mem_ctl.scala 206:93] + wire _T_34 = ic_byp_hit_f & _T_33; // @[el2_ifu_mem_ctl.scala 206:67] + wire _T_35 = _T_34 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 206:127] + wire _T_36 = io_dec_tlu_force_halt | _T_35; // @[el2_ifu_mem_ctl.scala 206:51] + wire _T_38 = ~last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 207:30] + wire _T_39 = ic_byp_hit_f & _T_38; // @[el2_ifu_mem_ctl.scala 207:27] + wire _T_40 = _T_39 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 207:53] + wire _T_42 = ~ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 208:16] + wire _T_44 = _T_42 & _T_317; // @[el2_ifu_mem_ctl.scala 208:30] + wire _T_46 = _T_44 & _T_32; // @[el2_ifu_mem_ctl.scala 208:52] + wire _T_47 = _T_46 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 208:85] + wire _T_51 = _T_32 & _T_17; // @[el2_ifu_mem_ctl.scala 209:49] + wire _T_54 = ic_byp_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 210:33] + wire _T_56 = ~_T_32; // @[el2_ifu_mem_ctl.scala 210:57] + wire _T_57 = _T_54 & _T_56; // @[el2_ifu_mem_ctl.scala 210:55] + wire ifu_bp_hit_taken_q_f = io_ifu_bp_hit_taken_f & io_ic_hit_f; // @[el2_ifu_mem_ctl.scala 198:52] + wire _T_58 = ~ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 210:91] + wire _T_59 = _T_57 & _T_58; // @[el2_ifu_mem_ctl.scala 210:89] + wire _T_61 = _T_59 & _T_17; // @[el2_ifu_mem_ctl.scala 210:113] + wire _T_64 = bus_ifu_wr_en_ff & _T_317; // @[el2_ifu_mem_ctl.scala 211:39] + wire _T_67 = _T_64 & _T_56; // @[el2_ifu_mem_ctl.scala 211:61] + wire _T_69 = _T_67 & _T_58; // @[el2_ifu_mem_ctl.scala 211:95] + wire _T_71 = _T_69 & _T_17; // @[el2_ifu_mem_ctl.scala 211:119] + wire _T_79 = _T_46 & _T_17; // @[el2_ifu_mem_ctl.scala 212:100] + wire _T_81 = io_exu_flush_final | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 213:44] + wire _T_84 = _T_81 & _T_56; // @[el2_ifu_mem_ctl.scala 213:68] + wire [2:0] _T_86 = _T_84 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 213:22] + wire [2:0] _T_87 = _T_79 ? 3'h0 : _T_86; // @[el2_ifu_mem_ctl.scala 212:20] + wire [2:0] _T_88 = _T_71 ? 3'h6 : _T_87; // @[el2_ifu_mem_ctl.scala 211:20] + wire [2:0] _T_89 = _T_61 ? 3'h6 : _T_88; // @[el2_ifu_mem_ctl.scala 210:18] + wire [2:0] _T_90 = _T_51 ? 3'h0 : _T_89; // @[el2_ifu_mem_ctl.scala 209:16] + wire [2:0] _T_91 = _T_47 ? 3'h4 : _T_90; // @[el2_ifu_mem_ctl.scala 208:14] + wire [2:0] _T_92 = _T_40 ? 3'h3 : _T_91; // @[el2_ifu_mem_ctl.scala 207:12] + wire [2:0] _T_93 = _T_36 ? 3'h0 : _T_92; // @[el2_ifu_mem_ctl.scala 206:27] wire _T_102 = 3'h4 == miss_state; // @[Conditional.scala 37:30] wire _T_106 = 3'h6 == miss_state; // @[Conditional.scala 37:30] - wire _T_2245 = byp_fetch_index[4:1] == 4'hf; // @[el2_ifu_mem_ctl.scala 457:60] - wire _T_2246 = _T_2245 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 457:94] - wire stream_eol_f = _T_2246 & stream_hit_f; // @[el2_ifu_mem_ctl.scala 457:112] - wire _T_108 = _T_81 | stream_eol_f; // @[el2_ifu_mem_ctl.scala 220:72] - wire _T_111 = _T_108 & _T_56; // @[el2_ifu_mem_ctl.scala 220:87] - wire _T_113 = _T_111 & _T_2587; // @[el2_ifu_mem_ctl.scala 220:122] - wire [2:0] _T_115 = _T_113 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 220:27] + wire _T_2245 = byp_fetch_index[4:1] == 4'hf; // @[el2_ifu_mem_ctl.scala 458:60] + wire _T_2246 = _T_2245 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 458:94] + wire stream_eol_f = _T_2246 & stream_hit_f; // @[el2_ifu_mem_ctl.scala 458:112] + wire _T_108 = _T_81 | stream_eol_f; // @[el2_ifu_mem_ctl.scala 221:72] + wire _T_111 = _T_108 & _T_56; // @[el2_ifu_mem_ctl.scala 221:87] + wire _T_113 = _T_111 & _T_2587; // @[el2_ifu_mem_ctl.scala 221:122] + wire [2:0] _T_115 = _T_113 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 221:27] wire _T_121 = 3'h3 == miss_state; // @[Conditional.scala 37:30] - wire _T_124 = io_exu_flush_final & _T_56; // @[el2_ifu_mem_ctl.scala 224:48] - wire _T_126 = _T_124 & _T_2587; // @[el2_ifu_mem_ctl.scala 224:82] - wire [2:0] _T_128 = _T_126 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 224:27] + wire _T_124 = io_exu_flush_final & _T_56; // @[el2_ifu_mem_ctl.scala 225:48] + wire _T_126 = _T_124 & _T_2587; // @[el2_ifu_mem_ctl.scala 225:82] + wire [2:0] _T_128 = _T_126 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 225:27] wire _T_132 = 3'h2 == miss_state; // @[Conditional.scala 37:30] - wire _T_236 = io_ic_rd_hit == 2'h0; // @[el2_ifu_mem_ctl.scala 284:28] - wire _T_237 = _T_236 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 284:42] - wire _T_238 = _T_237 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 284:60] - wire _T_239 = miss_state == 3'h2; // @[el2_ifu_mem_ctl.scala 284:94] - wire _T_240 = _T_238 & _T_239; // @[el2_ifu_mem_ctl.scala 284:81] - wire _T_243 = imb_ff[30:5] != ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 285:39] - wire _T_244 = _T_240 & _T_243; // @[el2_ifu_mem_ctl.scala 284:111] - wire _T_246 = _T_244 & _T_17; // @[el2_ifu_mem_ctl.scala 285:91] - reg sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 338:51] - wire _T_247 = ~sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 285:116] - wire _T_248 = _T_246 & _T_247; // @[el2_ifu_mem_ctl.scala 285:114] - wire ic_miss_under_miss_f = _T_248 & _T_209; // @[el2_ifu_mem_ctl.scala 285:132] - wire _T_135 = ic_miss_under_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 228:50] - wire _T_137 = _T_135 & _T_2587; // @[el2_ifu_mem_ctl.scala 228:84] - wire _T_256 = _T_230 & _T_239; // @[el2_ifu_mem_ctl.scala 286:85] - wire _T_259 = imb_ff[30:5] == ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 287:39] - wire _T_260 = _T_259 | uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 287:91] - wire ic_ignore_2nd_miss_f = _T_256 & _T_260; // @[el2_ifu_mem_ctl.scala 286:117] - wire _T_141 = ic_ignore_2nd_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 229:35] - wire _T_143 = _T_141 & _T_2587; // @[el2_ifu_mem_ctl.scala 229:69] - wire [2:0] _T_145 = _T_143 ? 3'h7 : 3'h0; // @[el2_ifu_mem_ctl.scala 229:12] - wire [2:0] _T_146 = _T_137 ? 3'h5 : _T_145; // @[el2_ifu_mem_ctl.scala 228:27] + wire _T_236 = io_ic_rd_hit == 2'h0; // @[el2_ifu_mem_ctl.scala 285:28] + wire _T_237 = _T_236 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 285:42] + wire _T_238 = _T_237 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 285:60] + wire _T_239 = miss_state == 3'h2; // @[el2_ifu_mem_ctl.scala 285:94] + wire _T_240 = _T_238 & _T_239; // @[el2_ifu_mem_ctl.scala 285:81] + wire _T_243 = imb_ff[30:5] != ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 286:39] + wire _T_244 = _T_240 & _T_243; // @[el2_ifu_mem_ctl.scala 285:111] + wire _T_246 = _T_244 & _T_17; // @[el2_ifu_mem_ctl.scala 286:91] + reg sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 339:51] + wire _T_247 = ~sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 286:116] + wire _T_248 = _T_246 & _T_247; // @[el2_ifu_mem_ctl.scala 286:114] + wire ic_miss_under_miss_f = _T_248 & _T_209; // @[el2_ifu_mem_ctl.scala 286:132] + wire _T_135 = ic_miss_under_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 229:50] + wire _T_137 = _T_135 & _T_2587; // @[el2_ifu_mem_ctl.scala 229:84] + wire _T_256 = _T_230 & _T_239; // @[el2_ifu_mem_ctl.scala 287:85] + wire _T_259 = imb_ff[30:5] == ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 288:39] + wire _T_260 = _T_259 | uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 288:91] + wire ic_ignore_2nd_miss_f = _T_256 & _T_260; // @[el2_ifu_mem_ctl.scala 287:117] + wire _T_141 = ic_ignore_2nd_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 230:35] + wire _T_143 = _T_141 & _T_2587; // @[el2_ifu_mem_ctl.scala 230:69] + wire [2:0] _T_145 = _T_143 ? 3'h7 : 3'h0; // @[el2_ifu_mem_ctl.scala 230:12] + wire [2:0] _T_146 = _T_137 ? 3'h5 : _T_145; // @[el2_ifu_mem_ctl.scala 229:27] wire _T_151 = 3'h5 == miss_state; // @[Conditional.scala 37:30] - wire [2:0] _T_154 = _T_32 ? 3'h0 : 3'h2; // @[el2_ifu_mem_ctl.scala 234:12] - wire [2:0] _T_155 = io_exu_flush_final ? _T_154 : 3'h1; // @[el2_ifu_mem_ctl.scala 233:62] - wire [2:0] _T_156 = io_dec_tlu_force_halt ? 3'h0 : _T_155; // @[el2_ifu_mem_ctl.scala 233:27] + wire [2:0] _T_154 = _T_32 ? 3'h0 : 3'h2; // @[el2_ifu_mem_ctl.scala 235:12] + wire [2:0] _T_155 = io_exu_flush_final ? _T_154 : 3'h1; // @[el2_ifu_mem_ctl.scala 234:62] + wire [2:0] _T_156 = io_dec_tlu_force_halt ? 3'h0 : _T_155; // @[el2_ifu_mem_ctl.scala 234:27] wire _T_160 = 3'h7 == miss_state; // @[Conditional.scala 37:30] - wire [2:0] _T_164 = io_exu_flush_final ? _T_154 : 3'h0; // @[el2_ifu_mem_ctl.scala 238:62] - wire [2:0] _T_165 = io_dec_tlu_force_halt ? 3'h0 : _T_164; // @[el2_ifu_mem_ctl.scala 238:27] + wire [2:0] _T_164 = io_exu_flush_final ? _T_154 : 3'h0; // @[el2_ifu_mem_ctl.scala 239:62] + wire [2:0] _T_165 = io_dec_tlu_force_halt ? 3'h0 : _T_164; // @[el2_ifu_mem_ctl.scala 239:27] wire [2:0] _GEN_0 = _T_160 ? _T_165 : 3'h0; // @[Conditional.scala 39:67] wire [2:0] _GEN_2 = _T_151 ? _T_156 : _GEN_0; // @[Conditional.scala 39:67] wire [2:0] _GEN_4 = _T_132 ? _T_146 : _GEN_2; // @[Conditional.scala 39:67] @@ -926,29 +927,29 @@ module el2_ifu_mem_ctl( wire [2:0] _GEN_10 = _T_102 ? 3'h0 : _GEN_8; // @[Conditional.scala 39:67] wire [2:0] _GEN_12 = _T_31 ? _T_93 : _GEN_10; // @[Conditional.scala 39:67] wire [2:0] miss_nxtstate = _T_24 ? _T_28 : _GEN_12; // @[Conditional.scala 40:58] - wire _T_20 = miss_nxtstate == 3'h5; // @[el2_ifu_mem_ctl.scala 195:73] - wire _T_21 = _T_19 | _T_20; // @[el2_ifu_mem_ctl.scala 195:57] - wire _T_22 = _T_18 & _T_21; // @[el2_ifu_mem_ctl.scala 195:26] - wire scnd_miss_req_in = _T_22 & _T_317; // @[el2_ifu_mem_ctl.scala 195:91] - wire _T_30 = ic_act_miss_f & _T_2587; // @[el2_ifu_mem_ctl.scala 202:38] - wire _T_94 = io_dec_tlu_force_halt | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 213:46] - wire _T_95 = _T_94 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 213:67] - wire _T_96 = _T_95 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 213:82] - wire _T_98 = _T_96 | _T_32; // @[el2_ifu_mem_ctl.scala 213:105] - wire _T_100 = bus_ifu_wr_en_ff & _T_17; // @[el2_ifu_mem_ctl.scala 213:158] - wire _T_101 = _T_98 | _T_100; // @[el2_ifu_mem_ctl.scala 213:138] - wire _T_103 = io_exu_flush_final | flush_final_f; // @[el2_ifu_mem_ctl.scala 217:43] - wire _T_104 = _T_103 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 217:59] - wire _T_105 = _T_104 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 217:74] - wire _T_119 = _T_108 | _T_32; // @[el2_ifu_mem_ctl.scala 221:84] - wire _T_120 = _T_119 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 221:118] - wire _T_130 = io_exu_flush_final | _T_32; // @[el2_ifu_mem_ctl.scala 225:43] - wire _T_131 = _T_130 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 225:76] - wire _T_148 = _T_32 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 230:55] - wire _T_149 = _T_148 | ic_ignore_2nd_miss_f; // @[el2_ifu_mem_ctl.scala 230:78] - wire _T_150 = _T_149 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 230:101] - wire _T_158 = _T_32 | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 235:55] - wire _T_159 = _T_158 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 235:76] + wire _T_20 = miss_nxtstate == 3'h5; // @[el2_ifu_mem_ctl.scala 196:73] + wire _T_21 = _T_19 | _T_20; // @[el2_ifu_mem_ctl.scala 196:57] + wire _T_22 = _T_18 & _T_21; // @[el2_ifu_mem_ctl.scala 196:26] + wire scnd_miss_req_in = _T_22 & _T_317; // @[el2_ifu_mem_ctl.scala 196:91] + wire _T_30 = ic_act_miss_f & _T_2587; // @[el2_ifu_mem_ctl.scala 203:38] + wire _T_94 = io_dec_tlu_force_halt | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 214:46] + wire _T_95 = _T_94 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 214:67] + wire _T_96 = _T_95 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 214:82] + wire _T_98 = _T_96 | _T_32; // @[el2_ifu_mem_ctl.scala 214:105] + wire _T_100 = bus_ifu_wr_en_ff & _T_17; // @[el2_ifu_mem_ctl.scala 214:158] + wire _T_101 = _T_98 | _T_100; // @[el2_ifu_mem_ctl.scala 214:138] + wire _T_103 = io_exu_flush_final | flush_final_f; // @[el2_ifu_mem_ctl.scala 218:43] + wire _T_104 = _T_103 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 218:59] + wire _T_105 = _T_104 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 218:74] + wire _T_119 = _T_108 | _T_32; // @[el2_ifu_mem_ctl.scala 222:84] + wire _T_120 = _T_119 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 222:118] + wire _T_130 = io_exu_flush_final | _T_32; // @[el2_ifu_mem_ctl.scala 226:43] + wire _T_131 = _T_130 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 226:76] + wire _T_148 = _T_32 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 231:55] + wire _T_149 = _T_148 | ic_ignore_2nd_miss_f; // @[el2_ifu_mem_ctl.scala 231:78] + wire _T_150 = _T_149 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 231:101] + wire _T_158 = _T_32 | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 236:55] + wire _T_159 = _T_158 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 236:76] wire _GEN_1 = _T_160 & _T_159; // @[Conditional.scala 39:67] wire _GEN_3 = _T_151 ? _T_159 : _GEN_1; // @[Conditional.scala 39:67] wire _GEN_5 = _T_132 ? _T_150 : _GEN_3; // @[Conditional.scala 39:67] @@ -957,922 +958,923 @@ module el2_ifu_mem_ctl( wire _GEN_11 = _T_102 ? _T_105 : _GEN_9; // @[Conditional.scala 39:67] wire _GEN_13 = _T_31 ? _T_101 : _GEN_11; // @[Conditional.scala 39:67] wire miss_state_en = _T_24 ? _T_30 : _GEN_13; // @[Conditional.scala 40:58] - wire _T_174 = ~flush_final_f; // @[el2_ifu_mem_ctl.scala 254:95] - wire _T_175 = _T_2248 & _T_174; // @[el2_ifu_mem_ctl.scala 254:93] - wire crit_wd_byp_ok_ff = _T_2249 | _T_175; // @[el2_ifu_mem_ctl.scala 254:58] - wire _T_178 = miss_pending & _T_56; // @[el2_ifu_mem_ctl.scala 255:36] - wire _T_180 = _T_2248 & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 255:106] - wire _T_181 = ~_T_180; // @[el2_ifu_mem_ctl.scala 255:72] - wire _T_182 = _T_178 & _T_181; // @[el2_ifu_mem_ctl.scala 255:70] - wire _T_184 = _T_2248 & crit_byp_hit_f; // @[el2_ifu_mem_ctl.scala 256:57] - wire _T_185 = ~_T_184; // @[el2_ifu_mem_ctl.scala 256:23] - wire _T_186 = _T_182 & _T_185; // @[el2_ifu_mem_ctl.scala 255:128] - wire _T_187 = _T_186 | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 256:77] - wire _T_188 = miss_nxtstate == 3'h4; // @[el2_ifu_mem_ctl.scala 257:36] - wire _T_189 = miss_pending & _T_188; // @[el2_ifu_mem_ctl.scala 257:19] - wire sel_hold_imb = _T_187 | _T_189; // @[el2_ifu_mem_ctl.scala 256:93] - wire _T_191 = _T_19 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 259:57] - wire sel_hold_imb_scnd = _T_191 & _T_174; // @[el2_ifu_mem_ctl.scala 259:81] - reg way_status_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 267:35] - reg [6:0] ifu_ic_rw_int_addr_ff; // @[el2_ifu_mem_ctl.scala 727:14] - wire _T_5031 = ifu_ic_rw_int_addr_ff == 7'h7f; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_174 = ~flush_final_f; // @[el2_ifu_mem_ctl.scala 255:95] + wire _T_175 = _T_2248 & _T_174; // @[el2_ifu_mem_ctl.scala 255:93] + wire crit_wd_byp_ok_ff = _T_2249 | _T_175; // @[el2_ifu_mem_ctl.scala 255:58] + wire _T_178 = miss_pending & _T_56; // @[el2_ifu_mem_ctl.scala 256:36] + wire _T_180 = _T_2248 & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 256:106] + wire _T_181 = ~_T_180; // @[el2_ifu_mem_ctl.scala 256:72] + wire _T_182 = _T_178 & _T_181; // @[el2_ifu_mem_ctl.scala 256:70] + wire _T_184 = _T_2248 & crit_byp_hit_f; // @[el2_ifu_mem_ctl.scala 257:57] + wire _T_185 = ~_T_184; // @[el2_ifu_mem_ctl.scala 257:23] + wire _T_186 = _T_182 & _T_185; // @[el2_ifu_mem_ctl.scala 256:128] + wire _T_187 = _T_186 | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 257:77] + wire _T_188 = miss_nxtstate == 3'h4; // @[el2_ifu_mem_ctl.scala 258:36] + wire _T_189 = miss_pending & _T_188; // @[el2_ifu_mem_ctl.scala 258:19] + wire sel_hold_imb = _T_187 | _T_189; // @[el2_ifu_mem_ctl.scala 257:93] + wire _T_191 = _T_19 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 260:57] + wire sel_hold_imb_scnd = _T_191 & _T_174; // @[el2_ifu_mem_ctl.scala 260:81] + reg way_status_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 268:35] + reg [6:0] ifu_ic_rw_int_addr_ff; // @[el2_ifu_mem_ctl.scala 728:14] + wire _T_5031 = ifu_ic_rw_int_addr_ff == 7'h7f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5033 = _T_5031 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4522; // @[Reg.scala 27:20] - wire way_status_out_127 = _T_4522[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_473 = {{5'd0}, way_status_out_127}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5034 = _T_5033 & _GEN_473; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5027 = ifu_ic_rw_int_addr_ff == 7'h7e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_127 = _T_4522[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_473 = {{5'd0}, way_status_out_127}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5034 = _T_5033 & _GEN_473; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5027 = ifu_ic_rw_int_addr_ff == 7'h7e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5029 = _T_5027 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4518; // @[Reg.scala 27:20] - wire way_status_out_126 = _T_4518[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_474 = {{5'd0}, way_status_out_126}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5030 = _T_5029 & _GEN_474; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5023 = ifu_ic_rw_int_addr_ff == 7'h7d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_126 = _T_4518[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_474 = {{5'd0}, way_status_out_126}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5030 = _T_5029 & _GEN_474; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5023 = ifu_ic_rw_int_addr_ff == 7'h7d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5025 = _T_5023 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4514; // @[Reg.scala 27:20] - wire way_status_out_125 = _T_4514[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_475 = {{5'd0}, way_status_out_125}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5026 = _T_5025 & _GEN_475; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5019 = ifu_ic_rw_int_addr_ff == 7'h7c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_125 = _T_4514[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_475 = {{5'd0}, way_status_out_125}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5026 = _T_5025 & _GEN_475; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5019 = ifu_ic_rw_int_addr_ff == 7'h7c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5021 = _T_5019 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4510; // @[Reg.scala 27:20] - wire way_status_out_124 = _T_4510[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_476 = {{5'd0}, way_status_out_124}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5022 = _T_5021 & _GEN_476; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5015 = ifu_ic_rw_int_addr_ff == 7'h7b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_124 = _T_4510[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_476 = {{5'd0}, way_status_out_124}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5022 = _T_5021 & _GEN_476; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5015 = ifu_ic_rw_int_addr_ff == 7'h7b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5017 = _T_5015 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4506; // @[Reg.scala 27:20] - wire way_status_out_123 = _T_4506[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_477 = {{5'd0}, way_status_out_123}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5018 = _T_5017 & _GEN_477; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5011 = ifu_ic_rw_int_addr_ff == 7'h7a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_123 = _T_4506[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_477 = {{5'd0}, way_status_out_123}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5018 = _T_5017 & _GEN_477; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5011 = ifu_ic_rw_int_addr_ff == 7'h7a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5013 = _T_5011 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4502; // @[Reg.scala 27:20] - wire way_status_out_122 = _T_4502[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_478 = {{5'd0}, way_status_out_122}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5014 = _T_5013 & _GEN_478; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5007 = ifu_ic_rw_int_addr_ff == 7'h79; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_122 = _T_4502[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_478 = {{5'd0}, way_status_out_122}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5014 = _T_5013 & _GEN_478; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5007 = ifu_ic_rw_int_addr_ff == 7'h79; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5009 = _T_5007 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4498; // @[Reg.scala 27:20] - wire way_status_out_121 = _T_4498[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_479 = {{5'd0}, way_status_out_121}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5010 = _T_5009 & _GEN_479; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5003 = ifu_ic_rw_int_addr_ff == 7'h78; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_121 = _T_4498[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_479 = {{5'd0}, way_status_out_121}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5010 = _T_5009 & _GEN_479; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5003 = ifu_ic_rw_int_addr_ff == 7'h78; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5005 = _T_5003 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4494; // @[Reg.scala 27:20] - wire way_status_out_120 = _T_4494[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_480 = {{5'd0}, way_status_out_120}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5006 = _T_5005 & _GEN_480; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4999 = ifu_ic_rw_int_addr_ff == 7'h77; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_120 = _T_4494[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_480 = {{5'd0}, way_status_out_120}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5006 = _T_5005 & _GEN_480; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4999 = ifu_ic_rw_int_addr_ff == 7'h77; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5001 = _T_4999 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4490; // @[Reg.scala 27:20] - wire way_status_out_119 = _T_4490[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_481 = {{5'd0}, way_status_out_119}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5002 = _T_5001 & _GEN_481; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4995 = ifu_ic_rw_int_addr_ff == 7'h76; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_119 = _T_4490[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_481 = {{5'd0}, way_status_out_119}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5002 = _T_5001 & _GEN_481; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4995 = ifu_ic_rw_int_addr_ff == 7'h76; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4997 = _T_4995 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4486; // @[Reg.scala 27:20] - wire way_status_out_118 = _T_4486[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_482 = {{5'd0}, way_status_out_118}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4998 = _T_4997 & _GEN_482; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_118 = _T_4486[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_482 = {{5'd0}, way_status_out_118}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4998 = _T_4997 & _GEN_482; // @[el2_ifu_mem_ctl.scala 724:130] wire [59:0] _T_5043 = {_T_5034,_T_5030,_T_5026,_T_5022,_T_5018,_T_5014,_T_5010,_T_5006,_T_5002,_T_4998}; // @[Cat.scala 29:58] - wire _T_4991 = ifu_ic_rw_int_addr_ff == 7'h75; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4991 = ifu_ic_rw_int_addr_ff == 7'h75; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4993 = _T_4991 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4482; // @[Reg.scala 27:20] - wire way_status_out_117 = _T_4482[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_483 = {{5'd0}, way_status_out_117}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4994 = _T_4993 & _GEN_483; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4987 = ifu_ic_rw_int_addr_ff == 7'h74; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_117 = _T_4482[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_483 = {{5'd0}, way_status_out_117}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4994 = _T_4993 & _GEN_483; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4987 = ifu_ic_rw_int_addr_ff == 7'h74; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4989 = _T_4987 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4478; // @[Reg.scala 27:20] - wire way_status_out_116 = _T_4478[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_484 = {{5'd0}, way_status_out_116}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4990 = _T_4989 & _GEN_484; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4983 = ifu_ic_rw_int_addr_ff == 7'h73; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_116 = _T_4478[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_484 = {{5'd0}, way_status_out_116}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4990 = _T_4989 & _GEN_484; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4983 = ifu_ic_rw_int_addr_ff == 7'h73; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4985 = _T_4983 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4474; // @[Reg.scala 27:20] - wire way_status_out_115 = _T_4474[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_485 = {{5'd0}, way_status_out_115}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4986 = _T_4985 & _GEN_485; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4979 = ifu_ic_rw_int_addr_ff == 7'h72; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_115 = _T_4474[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_485 = {{5'd0}, way_status_out_115}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4986 = _T_4985 & _GEN_485; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4979 = ifu_ic_rw_int_addr_ff == 7'h72; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4981 = _T_4979 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4470; // @[Reg.scala 27:20] - wire way_status_out_114 = _T_4470[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_486 = {{5'd0}, way_status_out_114}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4982 = _T_4981 & _GEN_486; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4975 = ifu_ic_rw_int_addr_ff == 7'h71; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_114 = _T_4470[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_486 = {{5'd0}, way_status_out_114}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4982 = _T_4981 & _GEN_486; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4975 = ifu_ic_rw_int_addr_ff == 7'h71; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4977 = _T_4975 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4466; // @[Reg.scala 27:20] - wire way_status_out_113 = _T_4466[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_487 = {{5'd0}, way_status_out_113}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4978 = _T_4977 & _GEN_487; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4971 = ifu_ic_rw_int_addr_ff == 7'h70; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_113 = _T_4466[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_487 = {{5'd0}, way_status_out_113}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4978 = _T_4977 & _GEN_487; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4971 = ifu_ic_rw_int_addr_ff == 7'h70; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4973 = _T_4971 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4462; // @[Reg.scala 27:20] - wire way_status_out_112 = _T_4462[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_488 = {{5'd0}, way_status_out_112}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4974 = _T_4973 & _GEN_488; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4967 = ifu_ic_rw_int_addr_ff == 7'h6f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_112 = _T_4462[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_488 = {{5'd0}, way_status_out_112}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4974 = _T_4973 & _GEN_488; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4967 = ifu_ic_rw_int_addr_ff == 7'h6f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4969 = _T_4967 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4458; // @[Reg.scala 27:20] - wire way_status_out_111 = _T_4458[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_489 = {{5'd0}, way_status_out_111}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4970 = _T_4969 & _GEN_489; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4963 = ifu_ic_rw_int_addr_ff == 7'h6e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_111 = _T_4458[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_489 = {{5'd0}, way_status_out_111}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4970 = _T_4969 & _GEN_489; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4963 = ifu_ic_rw_int_addr_ff == 7'h6e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4965 = _T_4963 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4454; // @[Reg.scala 27:20] - wire way_status_out_110 = _T_4454[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_490 = {{5'd0}, way_status_out_110}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4966 = _T_4965 & _GEN_490; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4959 = ifu_ic_rw_int_addr_ff == 7'h6d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_110 = _T_4454[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_490 = {{5'd0}, way_status_out_110}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4966 = _T_4965 & _GEN_490; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4959 = ifu_ic_rw_int_addr_ff == 7'h6d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4961 = _T_4959 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4450; // @[Reg.scala 27:20] - wire way_status_out_109 = _T_4450[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_491 = {{5'd0}, way_status_out_109}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4962 = _T_4961 & _GEN_491; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_109 = _T_4450[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_491 = {{5'd0}, way_status_out_109}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4962 = _T_4961 & _GEN_491; // @[el2_ifu_mem_ctl.scala 724:130] wire [113:0] _T_5052 = {_T_5043,_T_4994,_T_4990,_T_4986,_T_4982,_T_4978,_T_4974,_T_4970,_T_4966,_T_4962}; // @[Cat.scala 29:58] - wire _T_4955 = ifu_ic_rw_int_addr_ff == 7'h6c; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4955 = ifu_ic_rw_int_addr_ff == 7'h6c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4957 = _T_4955 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4446; // @[Reg.scala 27:20] - wire way_status_out_108 = _T_4446[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_492 = {{5'd0}, way_status_out_108}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4958 = _T_4957 & _GEN_492; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4951 = ifu_ic_rw_int_addr_ff == 7'h6b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_108 = _T_4446[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_492 = {{5'd0}, way_status_out_108}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4958 = _T_4957 & _GEN_492; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4951 = ifu_ic_rw_int_addr_ff == 7'h6b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4953 = _T_4951 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4442; // @[Reg.scala 27:20] - wire way_status_out_107 = _T_4442[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_493 = {{5'd0}, way_status_out_107}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4954 = _T_4953 & _GEN_493; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4947 = ifu_ic_rw_int_addr_ff == 7'h6a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_107 = _T_4442[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_493 = {{5'd0}, way_status_out_107}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4954 = _T_4953 & _GEN_493; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4947 = ifu_ic_rw_int_addr_ff == 7'h6a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4949 = _T_4947 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4438; // @[Reg.scala 27:20] - wire way_status_out_106 = _T_4438[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_494 = {{5'd0}, way_status_out_106}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4950 = _T_4949 & _GEN_494; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4943 = ifu_ic_rw_int_addr_ff == 7'h69; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_106 = _T_4438[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_494 = {{5'd0}, way_status_out_106}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4950 = _T_4949 & _GEN_494; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4943 = ifu_ic_rw_int_addr_ff == 7'h69; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4945 = _T_4943 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4434; // @[Reg.scala 27:20] - wire way_status_out_105 = _T_4434[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_495 = {{5'd0}, way_status_out_105}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4946 = _T_4945 & _GEN_495; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4939 = ifu_ic_rw_int_addr_ff == 7'h68; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_105 = _T_4434[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_495 = {{5'd0}, way_status_out_105}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4946 = _T_4945 & _GEN_495; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4939 = ifu_ic_rw_int_addr_ff == 7'h68; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4941 = _T_4939 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4430; // @[Reg.scala 27:20] - wire way_status_out_104 = _T_4430[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_496 = {{5'd0}, way_status_out_104}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4942 = _T_4941 & _GEN_496; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4935 = ifu_ic_rw_int_addr_ff == 7'h67; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_104 = _T_4430[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_496 = {{5'd0}, way_status_out_104}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4942 = _T_4941 & _GEN_496; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4935 = ifu_ic_rw_int_addr_ff == 7'h67; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4937 = _T_4935 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4426; // @[Reg.scala 27:20] - wire way_status_out_103 = _T_4426[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_497 = {{5'd0}, way_status_out_103}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4938 = _T_4937 & _GEN_497; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4931 = ifu_ic_rw_int_addr_ff == 7'h66; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_103 = _T_4426[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_497 = {{5'd0}, way_status_out_103}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4938 = _T_4937 & _GEN_497; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4931 = ifu_ic_rw_int_addr_ff == 7'h66; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4933 = _T_4931 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4422; // @[Reg.scala 27:20] - wire way_status_out_102 = _T_4422[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_498 = {{5'd0}, way_status_out_102}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4934 = _T_4933 & _GEN_498; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4927 = ifu_ic_rw_int_addr_ff == 7'h65; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_102 = _T_4422[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_498 = {{5'd0}, way_status_out_102}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4934 = _T_4933 & _GEN_498; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4927 = ifu_ic_rw_int_addr_ff == 7'h65; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4929 = _T_4927 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4418; // @[Reg.scala 27:20] - wire way_status_out_101 = _T_4418[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_499 = {{5'd0}, way_status_out_101}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4930 = _T_4929 & _GEN_499; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4923 = ifu_ic_rw_int_addr_ff == 7'h64; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_101 = _T_4418[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_499 = {{5'd0}, way_status_out_101}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4930 = _T_4929 & _GEN_499; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4923 = ifu_ic_rw_int_addr_ff == 7'h64; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4925 = _T_4923 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4414; // @[Reg.scala 27:20] - wire way_status_out_100 = _T_4414[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_500 = {{5'd0}, way_status_out_100}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4926 = _T_4925 & _GEN_500; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_100 = _T_4414[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_500 = {{5'd0}, way_status_out_100}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4926 = _T_4925 & _GEN_500; // @[el2_ifu_mem_ctl.scala 724:130] wire [167:0] _T_5061 = {_T_5052,_T_4958,_T_4954,_T_4950,_T_4946,_T_4942,_T_4938,_T_4934,_T_4930,_T_4926}; // @[Cat.scala 29:58] - wire _T_4919 = ifu_ic_rw_int_addr_ff == 7'h63; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4919 = ifu_ic_rw_int_addr_ff == 7'h63; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4921 = _T_4919 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4410; // @[Reg.scala 27:20] - wire way_status_out_99 = _T_4410[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_501 = {{5'd0}, way_status_out_99}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4922 = _T_4921 & _GEN_501; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4915 = ifu_ic_rw_int_addr_ff == 7'h62; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_99 = _T_4410[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_501 = {{5'd0}, way_status_out_99}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4922 = _T_4921 & _GEN_501; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4915 = ifu_ic_rw_int_addr_ff == 7'h62; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4917 = _T_4915 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4406; // @[Reg.scala 27:20] - wire way_status_out_98 = _T_4406[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_502 = {{5'd0}, way_status_out_98}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4918 = _T_4917 & _GEN_502; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4911 = ifu_ic_rw_int_addr_ff == 7'h61; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_98 = _T_4406[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_502 = {{5'd0}, way_status_out_98}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4918 = _T_4917 & _GEN_502; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4911 = ifu_ic_rw_int_addr_ff == 7'h61; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4913 = _T_4911 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4402; // @[Reg.scala 27:20] - wire way_status_out_97 = _T_4402[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_503 = {{5'd0}, way_status_out_97}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4914 = _T_4913 & _GEN_503; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4907 = ifu_ic_rw_int_addr_ff == 7'h60; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_97 = _T_4402[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_503 = {{5'd0}, way_status_out_97}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4914 = _T_4913 & _GEN_503; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4907 = ifu_ic_rw_int_addr_ff == 7'h60; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4909 = _T_4907 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4398; // @[Reg.scala 27:20] - wire way_status_out_96 = _T_4398[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_504 = {{5'd0}, way_status_out_96}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4910 = _T_4909 & _GEN_504; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4903 = ifu_ic_rw_int_addr_ff == 7'h5f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_96 = _T_4398[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_504 = {{5'd0}, way_status_out_96}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4910 = _T_4909 & _GEN_504; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4903 = ifu_ic_rw_int_addr_ff == 7'h5f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4905 = _T_4903 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4394; // @[Reg.scala 27:20] - wire way_status_out_95 = _T_4394[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_505 = {{5'd0}, way_status_out_95}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4906 = _T_4905 & _GEN_505; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4899 = ifu_ic_rw_int_addr_ff == 7'h5e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_95 = _T_4394[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_505 = {{5'd0}, way_status_out_95}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4906 = _T_4905 & _GEN_505; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4899 = ifu_ic_rw_int_addr_ff == 7'h5e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4901 = _T_4899 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4390; // @[Reg.scala 27:20] - wire way_status_out_94 = _T_4390[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_506 = {{5'd0}, way_status_out_94}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4902 = _T_4901 & _GEN_506; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4895 = ifu_ic_rw_int_addr_ff == 7'h5d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_94 = _T_4390[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_506 = {{5'd0}, way_status_out_94}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4902 = _T_4901 & _GEN_506; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4895 = ifu_ic_rw_int_addr_ff == 7'h5d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4897 = _T_4895 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4386; // @[Reg.scala 27:20] - wire way_status_out_93 = _T_4386[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_507 = {{5'd0}, way_status_out_93}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4898 = _T_4897 & _GEN_507; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4891 = ifu_ic_rw_int_addr_ff == 7'h5c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_93 = _T_4386[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_507 = {{5'd0}, way_status_out_93}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4898 = _T_4897 & _GEN_507; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4891 = ifu_ic_rw_int_addr_ff == 7'h5c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4893 = _T_4891 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4382; // @[Reg.scala 27:20] - wire way_status_out_92 = _T_4382[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_508 = {{5'd0}, way_status_out_92}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4894 = _T_4893 & _GEN_508; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4887 = ifu_ic_rw_int_addr_ff == 7'h5b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_92 = _T_4382[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_508 = {{5'd0}, way_status_out_92}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4894 = _T_4893 & _GEN_508; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4887 = ifu_ic_rw_int_addr_ff == 7'h5b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4889 = _T_4887 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4378; // @[Reg.scala 27:20] - wire way_status_out_91 = _T_4378[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_509 = {{5'd0}, way_status_out_91}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4890 = _T_4889 & _GEN_509; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_91 = _T_4378[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_509 = {{5'd0}, way_status_out_91}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4890 = _T_4889 & _GEN_509; // @[el2_ifu_mem_ctl.scala 724:130] wire [221:0] _T_5070 = {_T_5061,_T_4922,_T_4918,_T_4914,_T_4910,_T_4906,_T_4902,_T_4898,_T_4894,_T_4890}; // @[Cat.scala 29:58] - wire _T_4883 = ifu_ic_rw_int_addr_ff == 7'h5a; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4883 = ifu_ic_rw_int_addr_ff == 7'h5a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4885 = _T_4883 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4374; // @[Reg.scala 27:20] - wire way_status_out_90 = _T_4374[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_510 = {{5'd0}, way_status_out_90}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4886 = _T_4885 & _GEN_510; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4879 = ifu_ic_rw_int_addr_ff == 7'h59; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_90 = _T_4374[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_510 = {{5'd0}, way_status_out_90}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4886 = _T_4885 & _GEN_510; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4879 = ifu_ic_rw_int_addr_ff == 7'h59; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4881 = _T_4879 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4370; // @[Reg.scala 27:20] - wire way_status_out_89 = _T_4370[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_511 = {{5'd0}, way_status_out_89}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4882 = _T_4881 & _GEN_511; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4875 = ifu_ic_rw_int_addr_ff == 7'h58; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_89 = _T_4370[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_511 = {{5'd0}, way_status_out_89}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4882 = _T_4881 & _GEN_511; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4875 = ifu_ic_rw_int_addr_ff == 7'h58; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4877 = _T_4875 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4366; // @[Reg.scala 27:20] - wire way_status_out_88 = _T_4366[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_512 = {{5'd0}, way_status_out_88}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4878 = _T_4877 & _GEN_512; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4871 = ifu_ic_rw_int_addr_ff == 7'h57; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_88 = _T_4366[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_512 = {{5'd0}, way_status_out_88}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4878 = _T_4877 & _GEN_512; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4871 = ifu_ic_rw_int_addr_ff == 7'h57; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4873 = _T_4871 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4362; // @[Reg.scala 27:20] - wire way_status_out_87 = _T_4362[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_513 = {{5'd0}, way_status_out_87}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4874 = _T_4873 & _GEN_513; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4867 = ifu_ic_rw_int_addr_ff == 7'h56; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_87 = _T_4362[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_513 = {{5'd0}, way_status_out_87}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4874 = _T_4873 & _GEN_513; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4867 = ifu_ic_rw_int_addr_ff == 7'h56; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4869 = _T_4867 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4358; // @[Reg.scala 27:20] - wire way_status_out_86 = _T_4358[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_514 = {{5'd0}, way_status_out_86}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4870 = _T_4869 & _GEN_514; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4863 = ifu_ic_rw_int_addr_ff == 7'h55; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_86 = _T_4358[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_514 = {{5'd0}, way_status_out_86}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4870 = _T_4869 & _GEN_514; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4863 = ifu_ic_rw_int_addr_ff == 7'h55; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4865 = _T_4863 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4354; // @[Reg.scala 27:20] - wire way_status_out_85 = _T_4354[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_515 = {{5'd0}, way_status_out_85}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4866 = _T_4865 & _GEN_515; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4859 = ifu_ic_rw_int_addr_ff == 7'h54; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_85 = _T_4354[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_515 = {{5'd0}, way_status_out_85}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4866 = _T_4865 & _GEN_515; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4859 = ifu_ic_rw_int_addr_ff == 7'h54; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4861 = _T_4859 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4350; // @[Reg.scala 27:20] - wire way_status_out_84 = _T_4350[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_516 = {{5'd0}, way_status_out_84}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4862 = _T_4861 & _GEN_516; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4855 = ifu_ic_rw_int_addr_ff == 7'h53; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_84 = _T_4350[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_516 = {{5'd0}, way_status_out_84}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4862 = _T_4861 & _GEN_516; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4855 = ifu_ic_rw_int_addr_ff == 7'h53; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4857 = _T_4855 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4346; // @[Reg.scala 27:20] - wire way_status_out_83 = _T_4346[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_517 = {{5'd0}, way_status_out_83}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4858 = _T_4857 & _GEN_517; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4851 = ifu_ic_rw_int_addr_ff == 7'h52; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_83 = _T_4346[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_517 = {{5'd0}, way_status_out_83}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4858 = _T_4857 & _GEN_517; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4851 = ifu_ic_rw_int_addr_ff == 7'h52; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4853 = _T_4851 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4342; // @[Reg.scala 27:20] - wire way_status_out_82 = _T_4342[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_518 = {{5'd0}, way_status_out_82}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4854 = _T_4853 & _GEN_518; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_82 = _T_4342[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_518 = {{5'd0}, way_status_out_82}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4854 = _T_4853 & _GEN_518; // @[el2_ifu_mem_ctl.scala 724:130] wire [275:0] _T_5079 = {_T_5070,_T_4886,_T_4882,_T_4878,_T_4874,_T_4870,_T_4866,_T_4862,_T_4858,_T_4854}; // @[Cat.scala 29:58] - wire _T_4847 = ifu_ic_rw_int_addr_ff == 7'h51; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4847 = ifu_ic_rw_int_addr_ff == 7'h51; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4849 = _T_4847 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4338; // @[Reg.scala 27:20] - wire way_status_out_81 = _T_4338[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_519 = {{5'd0}, way_status_out_81}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4850 = _T_4849 & _GEN_519; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4843 = ifu_ic_rw_int_addr_ff == 7'h50; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_81 = _T_4338[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_519 = {{5'd0}, way_status_out_81}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4850 = _T_4849 & _GEN_519; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4843 = ifu_ic_rw_int_addr_ff == 7'h50; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4845 = _T_4843 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4334; // @[Reg.scala 27:20] - wire way_status_out_80 = _T_4334[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_520 = {{5'd0}, way_status_out_80}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4846 = _T_4845 & _GEN_520; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4839 = ifu_ic_rw_int_addr_ff == 7'h4f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_80 = _T_4334[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_520 = {{5'd0}, way_status_out_80}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4846 = _T_4845 & _GEN_520; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4839 = ifu_ic_rw_int_addr_ff == 7'h4f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4841 = _T_4839 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4330; // @[Reg.scala 27:20] - wire way_status_out_79 = _T_4330[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_521 = {{5'd0}, way_status_out_79}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4842 = _T_4841 & _GEN_521; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4835 = ifu_ic_rw_int_addr_ff == 7'h4e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_79 = _T_4330[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_521 = {{5'd0}, way_status_out_79}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4842 = _T_4841 & _GEN_521; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4835 = ifu_ic_rw_int_addr_ff == 7'h4e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4837 = _T_4835 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4326; // @[Reg.scala 27:20] - wire way_status_out_78 = _T_4326[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_522 = {{5'd0}, way_status_out_78}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4838 = _T_4837 & _GEN_522; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4831 = ifu_ic_rw_int_addr_ff == 7'h4d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_78 = _T_4326[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_522 = {{5'd0}, way_status_out_78}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4838 = _T_4837 & _GEN_522; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4831 = ifu_ic_rw_int_addr_ff == 7'h4d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4833 = _T_4831 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4322; // @[Reg.scala 27:20] - wire way_status_out_77 = _T_4322[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_523 = {{5'd0}, way_status_out_77}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4834 = _T_4833 & _GEN_523; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4827 = ifu_ic_rw_int_addr_ff == 7'h4c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_77 = _T_4322[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_523 = {{5'd0}, way_status_out_77}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4834 = _T_4833 & _GEN_523; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4827 = ifu_ic_rw_int_addr_ff == 7'h4c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4829 = _T_4827 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4318; // @[Reg.scala 27:20] - wire way_status_out_76 = _T_4318[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_524 = {{5'd0}, way_status_out_76}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4830 = _T_4829 & _GEN_524; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4823 = ifu_ic_rw_int_addr_ff == 7'h4b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_76 = _T_4318[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_524 = {{5'd0}, way_status_out_76}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4830 = _T_4829 & _GEN_524; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4823 = ifu_ic_rw_int_addr_ff == 7'h4b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4825 = _T_4823 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4314; // @[Reg.scala 27:20] - wire way_status_out_75 = _T_4314[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_525 = {{5'd0}, way_status_out_75}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4826 = _T_4825 & _GEN_525; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4819 = ifu_ic_rw_int_addr_ff == 7'h4a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_75 = _T_4314[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_525 = {{5'd0}, way_status_out_75}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4826 = _T_4825 & _GEN_525; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4819 = ifu_ic_rw_int_addr_ff == 7'h4a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4821 = _T_4819 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4310; // @[Reg.scala 27:20] - wire way_status_out_74 = _T_4310[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_526 = {{5'd0}, way_status_out_74}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4822 = _T_4821 & _GEN_526; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4815 = ifu_ic_rw_int_addr_ff == 7'h49; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_74 = _T_4310[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_526 = {{5'd0}, way_status_out_74}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4822 = _T_4821 & _GEN_526; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4815 = ifu_ic_rw_int_addr_ff == 7'h49; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4817 = _T_4815 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4306; // @[Reg.scala 27:20] - wire way_status_out_73 = _T_4306[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_527 = {{5'd0}, way_status_out_73}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4818 = _T_4817 & _GEN_527; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_73 = _T_4306[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_527 = {{5'd0}, way_status_out_73}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4818 = _T_4817 & _GEN_527; // @[el2_ifu_mem_ctl.scala 724:130] wire [329:0] _T_5088 = {_T_5079,_T_4850,_T_4846,_T_4842,_T_4838,_T_4834,_T_4830,_T_4826,_T_4822,_T_4818}; // @[Cat.scala 29:58] - wire _T_4811 = ifu_ic_rw_int_addr_ff == 7'h48; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4811 = ifu_ic_rw_int_addr_ff == 7'h48; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4813 = _T_4811 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4302; // @[Reg.scala 27:20] - wire way_status_out_72 = _T_4302[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_528 = {{5'd0}, way_status_out_72}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4814 = _T_4813 & _GEN_528; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4807 = ifu_ic_rw_int_addr_ff == 7'h47; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_72 = _T_4302[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_528 = {{5'd0}, way_status_out_72}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4814 = _T_4813 & _GEN_528; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4807 = ifu_ic_rw_int_addr_ff == 7'h47; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4809 = _T_4807 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4298; // @[Reg.scala 27:20] - wire way_status_out_71 = _T_4298[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_529 = {{5'd0}, way_status_out_71}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4810 = _T_4809 & _GEN_529; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4803 = ifu_ic_rw_int_addr_ff == 7'h46; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_71 = _T_4298[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_529 = {{5'd0}, way_status_out_71}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4810 = _T_4809 & _GEN_529; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4803 = ifu_ic_rw_int_addr_ff == 7'h46; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4805 = _T_4803 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4294; // @[Reg.scala 27:20] - wire way_status_out_70 = _T_4294[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_530 = {{5'd0}, way_status_out_70}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4806 = _T_4805 & _GEN_530; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4799 = ifu_ic_rw_int_addr_ff == 7'h45; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_70 = _T_4294[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_530 = {{5'd0}, way_status_out_70}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4806 = _T_4805 & _GEN_530; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4799 = ifu_ic_rw_int_addr_ff == 7'h45; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4801 = _T_4799 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4290; // @[Reg.scala 27:20] - wire way_status_out_69 = _T_4290[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_531 = {{5'd0}, way_status_out_69}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4802 = _T_4801 & _GEN_531; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4795 = ifu_ic_rw_int_addr_ff == 7'h44; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_69 = _T_4290[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_531 = {{5'd0}, way_status_out_69}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4802 = _T_4801 & _GEN_531; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4795 = ifu_ic_rw_int_addr_ff == 7'h44; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4797 = _T_4795 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4286; // @[Reg.scala 27:20] - wire way_status_out_68 = _T_4286[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_532 = {{5'd0}, way_status_out_68}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4798 = _T_4797 & _GEN_532; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4791 = ifu_ic_rw_int_addr_ff == 7'h43; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_68 = _T_4286[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_532 = {{5'd0}, way_status_out_68}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4798 = _T_4797 & _GEN_532; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4791 = ifu_ic_rw_int_addr_ff == 7'h43; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4793 = _T_4791 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4282; // @[Reg.scala 27:20] - wire way_status_out_67 = _T_4282[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_533 = {{5'd0}, way_status_out_67}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4794 = _T_4793 & _GEN_533; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4787 = ifu_ic_rw_int_addr_ff == 7'h42; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_67 = _T_4282[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_533 = {{5'd0}, way_status_out_67}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4794 = _T_4793 & _GEN_533; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4787 = ifu_ic_rw_int_addr_ff == 7'h42; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4789 = _T_4787 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4278; // @[Reg.scala 27:20] - wire way_status_out_66 = _T_4278[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_534 = {{5'd0}, way_status_out_66}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4790 = _T_4789 & _GEN_534; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4783 = ifu_ic_rw_int_addr_ff == 7'h41; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_66 = _T_4278[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_534 = {{5'd0}, way_status_out_66}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4790 = _T_4789 & _GEN_534; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4783 = ifu_ic_rw_int_addr_ff == 7'h41; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4785 = _T_4783 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4274; // @[Reg.scala 27:20] - wire way_status_out_65 = _T_4274[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_535 = {{5'd0}, way_status_out_65}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4786 = _T_4785 & _GEN_535; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4779 = ifu_ic_rw_int_addr_ff == 7'h40; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_65 = _T_4274[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_535 = {{5'd0}, way_status_out_65}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4786 = _T_4785 & _GEN_535; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4779 = ifu_ic_rw_int_addr_ff == 7'h40; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4781 = _T_4779 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4270; // @[Reg.scala 27:20] - wire way_status_out_64 = _T_4270[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_536 = {{5'd0}, way_status_out_64}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4782 = _T_4781 & _GEN_536; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_64 = _T_4270[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_536 = {{5'd0}, way_status_out_64}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4782 = _T_4781 & _GEN_536; // @[el2_ifu_mem_ctl.scala 724:130] wire [383:0] _T_5097 = {_T_5088,_T_4814,_T_4810,_T_4806,_T_4802,_T_4798,_T_4794,_T_4790,_T_4786,_T_4782}; // @[Cat.scala 29:58] - wire _T_4775 = ifu_ic_rw_int_addr_ff == 7'h3f; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4775 = ifu_ic_rw_int_addr_ff == 7'h3f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4777 = _T_4775 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4266; // @[Reg.scala 27:20] - wire way_status_out_63 = _T_4266[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_537 = {{5'd0}, way_status_out_63}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4778 = _T_4777 & _GEN_537; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4771 = ifu_ic_rw_int_addr_ff == 7'h3e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_63 = _T_4266[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_537 = {{5'd0}, way_status_out_63}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4778 = _T_4777 & _GEN_537; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4771 = ifu_ic_rw_int_addr_ff == 7'h3e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4773 = _T_4771 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4262; // @[Reg.scala 27:20] - wire way_status_out_62 = _T_4262[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_538 = {{5'd0}, way_status_out_62}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4774 = _T_4773 & _GEN_538; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4767 = ifu_ic_rw_int_addr_ff == 7'h3d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_62 = _T_4262[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_538 = {{5'd0}, way_status_out_62}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4774 = _T_4773 & _GEN_538; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4767 = ifu_ic_rw_int_addr_ff == 7'h3d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4769 = _T_4767 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4258; // @[Reg.scala 27:20] - wire way_status_out_61 = _T_4258[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_539 = {{5'd0}, way_status_out_61}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4770 = _T_4769 & _GEN_539; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4763 = ifu_ic_rw_int_addr_ff == 7'h3c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_61 = _T_4258[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_539 = {{5'd0}, way_status_out_61}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4770 = _T_4769 & _GEN_539; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4763 = ifu_ic_rw_int_addr_ff == 7'h3c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4765 = _T_4763 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4254; // @[Reg.scala 27:20] - wire way_status_out_60 = _T_4254[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_540 = {{5'd0}, way_status_out_60}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4766 = _T_4765 & _GEN_540; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4759 = ifu_ic_rw_int_addr_ff == 7'h3b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_60 = _T_4254[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_540 = {{5'd0}, way_status_out_60}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4766 = _T_4765 & _GEN_540; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4759 = ifu_ic_rw_int_addr_ff == 7'h3b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4761 = _T_4759 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4250; // @[Reg.scala 27:20] - wire way_status_out_59 = _T_4250[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_541 = {{5'd0}, way_status_out_59}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4762 = _T_4761 & _GEN_541; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4755 = ifu_ic_rw_int_addr_ff == 7'h3a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_59 = _T_4250[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_541 = {{5'd0}, way_status_out_59}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4762 = _T_4761 & _GEN_541; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4755 = ifu_ic_rw_int_addr_ff == 7'h3a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4757 = _T_4755 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4246; // @[Reg.scala 27:20] - wire way_status_out_58 = _T_4246[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_542 = {{5'd0}, way_status_out_58}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4758 = _T_4757 & _GEN_542; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4751 = ifu_ic_rw_int_addr_ff == 7'h39; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_58 = _T_4246[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_542 = {{5'd0}, way_status_out_58}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4758 = _T_4757 & _GEN_542; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4751 = ifu_ic_rw_int_addr_ff == 7'h39; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4753 = _T_4751 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4242; // @[Reg.scala 27:20] - wire way_status_out_57 = _T_4242[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_543 = {{5'd0}, way_status_out_57}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4754 = _T_4753 & _GEN_543; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4747 = ifu_ic_rw_int_addr_ff == 7'h38; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_57 = _T_4242[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_543 = {{5'd0}, way_status_out_57}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4754 = _T_4753 & _GEN_543; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4747 = ifu_ic_rw_int_addr_ff == 7'h38; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4749 = _T_4747 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4238; // @[Reg.scala 27:20] - wire way_status_out_56 = _T_4238[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_544 = {{5'd0}, way_status_out_56}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4750 = _T_4749 & _GEN_544; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4743 = ifu_ic_rw_int_addr_ff == 7'h37; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_56 = _T_4238[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_544 = {{5'd0}, way_status_out_56}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4750 = _T_4749 & _GEN_544; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4743 = ifu_ic_rw_int_addr_ff == 7'h37; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4745 = _T_4743 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4234; // @[Reg.scala 27:20] - wire way_status_out_55 = _T_4234[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_545 = {{5'd0}, way_status_out_55}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4746 = _T_4745 & _GEN_545; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_55 = _T_4234[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_545 = {{5'd0}, way_status_out_55}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4746 = _T_4745 & _GEN_545; // @[el2_ifu_mem_ctl.scala 724:130] wire [437:0] _T_5106 = {_T_5097,_T_4778,_T_4774,_T_4770,_T_4766,_T_4762,_T_4758,_T_4754,_T_4750,_T_4746}; // @[Cat.scala 29:58] - wire _T_4739 = ifu_ic_rw_int_addr_ff == 7'h36; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4739 = ifu_ic_rw_int_addr_ff == 7'h36; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4741 = _T_4739 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4230; // @[Reg.scala 27:20] - wire way_status_out_54 = _T_4230[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_546 = {{5'd0}, way_status_out_54}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4742 = _T_4741 & _GEN_546; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4735 = ifu_ic_rw_int_addr_ff == 7'h35; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_54 = _T_4230[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_546 = {{5'd0}, way_status_out_54}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4742 = _T_4741 & _GEN_546; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4735 = ifu_ic_rw_int_addr_ff == 7'h35; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4737 = _T_4735 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4226; // @[Reg.scala 27:20] - wire way_status_out_53 = _T_4226[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_547 = {{5'd0}, way_status_out_53}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4738 = _T_4737 & _GEN_547; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4731 = ifu_ic_rw_int_addr_ff == 7'h34; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_53 = _T_4226[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_547 = {{5'd0}, way_status_out_53}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4738 = _T_4737 & _GEN_547; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4731 = ifu_ic_rw_int_addr_ff == 7'h34; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4733 = _T_4731 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4222; // @[Reg.scala 27:20] - wire way_status_out_52 = _T_4222[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_548 = {{5'd0}, way_status_out_52}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4734 = _T_4733 & _GEN_548; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4727 = ifu_ic_rw_int_addr_ff == 7'h33; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_52 = _T_4222[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_548 = {{5'd0}, way_status_out_52}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4734 = _T_4733 & _GEN_548; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4727 = ifu_ic_rw_int_addr_ff == 7'h33; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4729 = _T_4727 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4218; // @[Reg.scala 27:20] - wire way_status_out_51 = _T_4218[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_549 = {{5'd0}, way_status_out_51}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4730 = _T_4729 & _GEN_549; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4723 = ifu_ic_rw_int_addr_ff == 7'h32; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_51 = _T_4218[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_549 = {{5'd0}, way_status_out_51}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4730 = _T_4729 & _GEN_549; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4723 = ifu_ic_rw_int_addr_ff == 7'h32; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4725 = _T_4723 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4214; // @[Reg.scala 27:20] - wire way_status_out_50 = _T_4214[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_550 = {{5'd0}, way_status_out_50}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4726 = _T_4725 & _GEN_550; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4719 = ifu_ic_rw_int_addr_ff == 7'h31; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_50 = _T_4214[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_550 = {{5'd0}, way_status_out_50}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4726 = _T_4725 & _GEN_550; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4719 = ifu_ic_rw_int_addr_ff == 7'h31; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4721 = _T_4719 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4210; // @[Reg.scala 27:20] - wire way_status_out_49 = _T_4210[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_551 = {{5'd0}, way_status_out_49}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4722 = _T_4721 & _GEN_551; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4715 = ifu_ic_rw_int_addr_ff == 7'h30; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_49 = _T_4210[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_551 = {{5'd0}, way_status_out_49}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4722 = _T_4721 & _GEN_551; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4715 = ifu_ic_rw_int_addr_ff == 7'h30; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4717 = _T_4715 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4206; // @[Reg.scala 27:20] - wire way_status_out_48 = _T_4206[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_552 = {{5'd0}, way_status_out_48}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4718 = _T_4717 & _GEN_552; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4711 = ifu_ic_rw_int_addr_ff == 7'h2f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_48 = _T_4206[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_552 = {{5'd0}, way_status_out_48}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4718 = _T_4717 & _GEN_552; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4711 = ifu_ic_rw_int_addr_ff == 7'h2f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4713 = _T_4711 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4202; // @[Reg.scala 27:20] - wire way_status_out_47 = _T_4202[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_553 = {{5'd0}, way_status_out_47}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4714 = _T_4713 & _GEN_553; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4707 = ifu_ic_rw_int_addr_ff == 7'h2e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_47 = _T_4202[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_553 = {{5'd0}, way_status_out_47}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4714 = _T_4713 & _GEN_553; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4707 = ifu_ic_rw_int_addr_ff == 7'h2e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4709 = _T_4707 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4198; // @[Reg.scala 27:20] - wire way_status_out_46 = _T_4198[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_554 = {{5'd0}, way_status_out_46}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4710 = _T_4709 & _GEN_554; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_46 = _T_4198[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_554 = {{5'd0}, way_status_out_46}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4710 = _T_4709 & _GEN_554; // @[el2_ifu_mem_ctl.scala 724:130] wire [491:0] _T_5115 = {_T_5106,_T_4742,_T_4738,_T_4734,_T_4730,_T_4726,_T_4722,_T_4718,_T_4714,_T_4710}; // @[Cat.scala 29:58] - wire _T_4703 = ifu_ic_rw_int_addr_ff == 7'h2d; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4703 = ifu_ic_rw_int_addr_ff == 7'h2d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4705 = _T_4703 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4194; // @[Reg.scala 27:20] - wire way_status_out_45 = _T_4194[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_555 = {{5'd0}, way_status_out_45}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4706 = _T_4705 & _GEN_555; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4699 = ifu_ic_rw_int_addr_ff == 7'h2c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_45 = _T_4194[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_555 = {{5'd0}, way_status_out_45}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4706 = _T_4705 & _GEN_555; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4699 = ifu_ic_rw_int_addr_ff == 7'h2c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4701 = _T_4699 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4190; // @[Reg.scala 27:20] - wire way_status_out_44 = _T_4190[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_556 = {{5'd0}, way_status_out_44}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4702 = _T_4701 & _GEN_556; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4695 = ifu_ic_rw_int_addr_ff == 7'h2b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_44 = _T_4190[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_556 = {{5'd0}, way_status_out_44}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4702 = _T_4701 & _GEN_556; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4695 = ifu_ic_rw_int_addr_ff == 7'h2b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4697 = _T_4695 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4186; // @[Reg.scala 27:20] - wire way_status_out_43 = _T_4186[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_557 = {{5'd0}, way_status_out_43}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4698 = _T_4697 & _GEN_557; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4691 = ifu_ic_rw_int_addr_ff == 7'h2a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_43 = _T_4186[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_557 = {{5'd0}, way_status_out_43}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4698 = _T_4697 & _GEN_557; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4691 = ifu_ic_rw_int_addr_ff == 7'h2a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4693 = _T_4691 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4182; // @[Reg.scala 27:20] - wire way_status_out_42 = _T_4182[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_558 = {{5'd0}, way_status_out_42}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4694 = _T_4693 & _GEN_558; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4687 = ifu_ic_rw_int_addr_ff == 7'h29; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_42 = _T_4182[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_558 = {{5'd0}, way_status_out_42}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4694 = _T_4693 & _GEN_558; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4687 = ifu_ic_rw_int_addr_ff == 7'h29; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4689 = _T_4687 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4178; // @[Reg.scala 27:20] - wire way_status_out_41 = _T_4178[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_559 = {{5'd0}, way_status_out_41}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4690 = _T_4689 & _GEN_559; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4683 = ifu_ic_rw_int_addr_ff == 7'h28; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_41 = _T_4178[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_559 = {{5'd0}, way_status_out_41}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4690 = _T_4689 & _GEN_559; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4683 = ifu_ic_rw_int_addr_ff == 7'h28; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4685 = _T_4683 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4174; // @[Reg.scala 27:20] - wire way_status_out_40 = _T_4174[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_560 = {{5'd0}, way_status_out_40}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4686 = _T_4685 & _GEN_560; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4679 = ifu_ic_rw_int_addr_ff == 7'h27; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_40 = _T_4174[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_560 = {{5'd0}, way_status_out_40}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4686 = _T_4685 & _GEN_560; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4679 = ifu_ic_rw_int_addr_ff == 7'h27; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4681 = _T_4679 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4170; // @[Reg.scala 27:20] - wire way_status_out_39 = _T_4170[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_561 = {{5'd0}, way_status_out_39}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4682 = _T_4681 & _GEN_561; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4675 = ifu_ic_rw_int_addr_ff == 7'h26; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_39 = _T_4170[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_561 = {{5'd0}, way_status_out_39}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4682 = _T_4681 & _GEN_561; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4675 = ifu_ic_rw_int_addr_ff == 7'h26; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4677 = _T_4675 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4166; // @[Reg.scala 27:20] - wire way_status_out_38 = _T_4166[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_562 = {{5'd0}, way_status_out_38}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4678 = _T_4677 & _GEN_562; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4671 = ifu_ic_rw_int_addr_ff == 7'h25; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_38 = _T_4166[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_562 = {{5'd0}, way_status_out_38}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4678 = _T_4677 & _GEN_562; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4671 = ifu_ic_rw_int_addr_ff == 7'h25; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4673 = _T_4671 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4162; // @[Reg.scala 27:20] - wire way_status_out_37 = _T_4162[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_563 = {{5'd0}, way_status_out_37}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4674 = _T_4673 & _GEN_563; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_37 = _T_4162[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_563 = {{5'd0}, way_status_out_37}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4674 = _T_4673 & _GEN_563; // @[el2_ifu_mem_ctl.scala 724:130] wire [545:0] _T_5124 = {_T_5115,_T_4706,_T_4702,_T_4698,_T_4694,_T_4690,_T_4686,_T_4682,_T_4678,_T_4674}; // @[Cat.scala 29:58] - wire _T_4667 = ifu_ic_rw_int_addr_ff == 7'h24; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4667 = ifu_ic_rw_int_addr_ff == 7'h24; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4669 = _T_4667 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4158; // @[Reg.scala 27:20] - wire way_status_out_36 = _T_4158[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_564 = {{5'd0}, way_status_out_36}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4670 = _T_4669 & _GEN_564; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4663 = ifu_ic_rw_int_addr_ff == 7'h23; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_36 = _T_4158[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_564 = {{5'd0}, way_status_out_36}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4670 = _T_4669 & _GEN_564; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4663 = ifu_ic_rw_int_addr_ff == 7'h23; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4665 = _T_4663 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4154; // @[Reg.scala 27:20] - wire way_status_out_35 = _T_4154[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_565 = {{5'd0}, way_status_out_35}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4666 = _T_4665 & _GEN_565; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4659 = ifu_ic_rw_int_addr_ff == 7'h22; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_35 = _T_4154[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_565 = {{5'd0}, way_status_out_35}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4666 = _T_4665 & _GEN_565; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4659 = ifu_ic_rw_int_addr_ff == 7'h22; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4661 = _T_4659 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4150; // @[Reg.scala 27:20] - wire way_status_out_34 = _T_4150[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_566 = {{5'd0}, way_status_out_34}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4662 = _T_4661 & _GEN_566; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4655 = ifu_ic_rw_int_addr_ff == 7'h21; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_34 = _T_4150[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_566 = {{5'd0}, way_status_out_34}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4662 = _T_4661 & _GEN_566; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4655 = ifu_ic_rw_int_addr_ff == 7'h21; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4657 = _T_4655 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4146; // @[Reg.scala 27:20] - wire way_status_out_33 = _T_4146[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_567 = {{5'd0}, way_status_out_33}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4658 = _T_4657 & _GEN_567; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4651 = ifu_ic_rw_int_addr_ff == 7'h20; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_33 = _T_4146[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_567 = {{5'd0}, way_status_out_33}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4658 = _T_4657 & _GEN_567; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4651 = ifu_ic_rw_int_addr_ff == 7'h20; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4653 = _T_4651 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4142; // @[Reg.scala 27:20] - wire way_status_out_32 = _T_4142[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_568 = {{5'd0}, way_status_out_32}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4654 = _T_4653 & _GEN_568; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4647 = ifu_ic_rw_int_addr_ff == 7'h1f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_32 = _T_4142[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_568 = {{5'd0}, way_status_out_32}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4654 = _T_4653 & _GEN_568; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4647 = ifu_ic_rw_int_addr_ff == 7'h1f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4649 = _T_4647 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4138; // @[Reg.scala 27:20] - wire way_status_out_31 = _T_4138[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_569 = {{5'd0}, way_status_out_31}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4650 = _T_4649 & _GEN_569; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4643 = ifu_ic_rw_int_addr_ff == 7'h1e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_31 = _T_4138[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_569 = {{5'd0}, way_status_out_31}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4650 = _T_4649 & _GEN_569; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4643 = ifu_ic_rw_int_addr_ff == 7'h1e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4645 = _T_4643 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4134; // @[Reg.scala 27:20] - wire way_status_out_30 = _T_4134[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_570 = {{5'd0}, way_status_out_30}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4646 = _T_4645 & _GEN_570; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4639 = ifu_ic_rw_int_addr_ff == 7'h1d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_30 = _T_4134[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_570 = {{5'd0}, way_status_out_30}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4646 = _T_4645 & _GEN_570; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4639 = ifu_ic_rw_int_addr_ff == 7'h1d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4641 = _T_4639 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4130; // @[Reg.scala 27:20] - wire way_status_out_29 = _T_4130[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_571 = {{5'd0}, way_status_out_29}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4642 = _T_4641 & _GEN_571; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4635 = ifu_ic_rw_int_addr_ff == 7'h1c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_29 = _T_4130[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_571 = {{5'd0}, way_status_out_29}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4642 = _T_4641 & _GEN_571; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4635 = ifu_ic_rw_int_addr_ff == 7'h1c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4637 = _T_4635 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4126; // @[Reg.scala 27:20] - wire way_status_out_28 = _T_4126[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_572 = {{5'd0}, way_status_out_28}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4638 = _T_4637 & _GEN_572; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_28 = _T_4126[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_572 = {{5'd0}, way_status_out_28}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4638 = _T_4637 & _GEN_572; // @[el2_ifu_mem_ctl.scala 724:130] wire [599:0] _T_5133 = {_T_5124,_T_4670,_T_4666,_T_4662,_T_4658,_T_4654,_T_4650,_T_4646,_T_4642,_T_4638}; // @[Cat.scala 29:58] - wire _T_4631 = ifu_ic_rw_int_addr_ff == 7'h1b; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4631 = ifu_ic_rw_int_addr_ff == 7'h1b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4633 = _T_4631 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4122; // @[Reg.scala 27:20] - wire way_status_out_27 = _T_4122[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_573 = {{5'd0}, way_status_out_27}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4634 = _T_4633 & _GEN_573; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4627 = ifu_ic_rw_int_addr_ff == 7'h1a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_27 = _T_4122[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_573 = {{5'd0}, way_status_out_27}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4634 = _T_4633 & _GEN_573; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4627 = ifu_ic_rw_int_addr_ff == 7'h1a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4629 = _T_4627 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4118; // @[Reg.scala 27:20] - wire way_status_out_26 = _T_4118[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_574 = {{5'd0}, way_status_out_26}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4630 = _T_4629 & _GEN_574; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4623 = ifu_ic_rw_int_addr_ff == 7'h19; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_26 = _T_4118[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_574 = {{5'd0}, way_status_out_26}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4630 = _T_4629 & _GEN_574; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4623 = ifu_ic_rw_int_addr_ff == 7'h19; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4625 = _T_4623 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4114; // @[Reg.scala 27:20] - wire way_status_out_25 = _T_4114[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_575 = {{5'd0}, way_status_out_25}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4626 = _T_4625 & _GEN_575; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4619 = ifu_ic_rw_int_addr_ff == 7'h18; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_25 = _T_4114[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_575 = {{5'd0}, way_status_out_25}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4626 = _T_4625 & _GEN_575; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4619 = ifu_ic_rw_int_addr_ff == 7'h18; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4621 = _T_4619 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4110; // @[Reg.scala 27:20] - wire way_status_out_24 = _T_4110[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_576 = {{5'd0}, way_status_out_24}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4622 = _T_4621 & _GEN_576; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4615 = ifu_ic_rw_int_addr_ff == 7'h17; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_24 = _T_4110[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_576 = {{5'd0}, way_status_out_24}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4622 = _T_4621 & _GEN_576; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4615 = ifu_ic_rw_int_addr_ff == 7'h17; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4617 = _T_4615 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4106; // @[Reg.scala 27:20] - wire way_status_out_23 = _T_4106[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_577 = {{5'd0}, way_status_out_23}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4618 = _T_4617 & _GEN_577; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4611 = ifu_ic_rw_int_addr_ff == 7'h16; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_23 = _T_4106[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_577 = {{5'd0}, way_status_out_23}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4618 = _T_4617 & _GEN_577; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4611 = ifu_ic_rw_int_addr_ff == 7'h16; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4613 = _T_4611 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4102; // @[Reg.scala 27:20] - wire way_status_out_22 = _T_4102[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_578 = {{5'd0}, way_status_out_22}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4614 = _T_4613 & _GEN_578; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4607 = ifu_ic_rw_int_addr_ff == 7'h15; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_22 = _T_4102[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_578 = {{5'd0}, way_status_out_22}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4614 = _T_4613 & _GEN_578; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4607 = ifu_ic_rw_int_addr_ff == 7'h15; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4609 = _T_4607 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4098; // @[Reg.scala 27:20] - wire way_status_out_21 = _T_4098[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_579 = {{5'd0}, way_status_out_21}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4610 = _T_4609 & _GEN_579; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4603 = ifu_ic_rw_int_addr_ff == 7'h14; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_21 = _T_4098[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_579 = {{5'd0}, way_status_out_21}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4610 = _T_4609 & _GEN_579; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4603 = ifu_ic_rw_int_addr_ff == 7'h14; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4605 = _T_4603 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4094; // @[Reg.scala 27:20] - wire way_status_out_20 = _T_4094[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_580 = {{5'd0}, way_status_out_20}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4606 = _T_4605 & _GEN_580; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4599 = ifu_ic_rw_int_addr_ff == 7'h13; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_20 = _T_4094[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_580 = {{5'd0}, way_status_out_20}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4606 = _T_4605 & _GEN_580; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4599 = ifu_ic_rw_int_addr_ff == 7'h13; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4601 = _T_4599 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4090; // @[Reg.scala 27:20] - wire way_status_out_19 = _T_4090[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_581 = {{5'd0}, way_status_out_19}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4602 = _T_4601 & _GEN_581; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_19 = _T_4090[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_581 = {{5'd0}, way_status_out_19}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4602 = _T_4601 & _GEN_581; // @[el2_ifu_mem_ctl.scala 724:130] wire [653:0] _T_5142 = {_T_5133,_T_4634,_T_4630,_T_4626,_T_4622,_T_4618,_T_4614,_T_4610,_T_4606,_T_4602}; // @[Cat.scala 29:58] - wire _T_4595 = ifu_ic_rw_int_addr_ff == 7'h12; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4595 = ifu_ic_rw_int_addr_ff == 7'h12; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4597 = _T_4595 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4086; // @[Reg.scala 27:20] - wire way_status_out_18 = _T_4086[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_582 = {{5'd0}, way_status_out_18}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4598 = _T_4597 & _GEN_582; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4591 = ifu_ic_rw_int_addr_ff == 7'h11; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_18 = _T_4086[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_582 = {{5'd0}, way_status_out_18}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4598 = _T_4597 & _GEN_582; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4591 = ifu_ic_rw_int_addr_ff == 7'h11; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4593 = _T_4591 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4082; // @[Reg.scala 27:20] - wire way_status_out_17 = _T_4082[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_583 = {{5'd0}, way_status_out_17}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4594 = _T_4593 & _GEN_583; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4587 = ifu_ic_rw_int_addr_ff == 7'h10; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_17 = _T_4082[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_583 = {{5'd0}, way_status_out_17}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4594 = _T_4593 & _GEN_583; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4587 = ifu_ic_rw_int_addr_ff == 7'h10; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4589 = _T_4587 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4078; // @[Reg.scala 27:20] - wire way_status_out_16 = _T_4078[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_584 = {{5'd0}, way_status_out_16}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4590 = _T_4589 & _GEN_584; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4583 = ifu_ic_rw_int_addr_ff == 7'hf; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_16 = _T_4078[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_584 = {{5'd0}, way_status_out_16}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4590 = _T_4589 & _GEN_584; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4583 = ifu_ic_rw_int_addr_ff == 7'hf; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4585 = _T_4583 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4074; // @[Reg.scala 27:20] - wire way_status_out_15 = _T_4074[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_585 = {{5'd0}, way_status_out_15}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4586 = _T_4585 & _GEN_585; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4579 = ifu_ic_rw_int_addr_ff == 7'he; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_15 = _T_4074[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_585 = {{5'd0}, way_status_out_15}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4586 = _T_4585 & _GEN_585; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4579 = ifu_ic_rw_int_addr_ff == 7'he; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4581 = _T_4579 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4070; // @[Reg.scala 27:20] - wire way_status_out_14 = _T_4070[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_586 = {{5'd0}, way_status_out_14}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4582 = _T_4581 & _GEN_586; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4575 = ifu_ic_rw_int_addr_ff == 7'hd; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_14 = _T_4070[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_586 = {{5'd0}, way_status_out_14}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4582 = _T_4581 & _GEN_586; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4575 = ifu_ic_rw_int_addr_ff == 7'hd; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4577 = _T_4575 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4066; // @[Reg.scala 27:20] - wire way_status_out_13 = _T_4066[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_587 = {{5'd0}, way_status_out_13}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4578 = _T_4577 & _GEN_587; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4571 = ifu_ic_rw_int_addr_ff == 7'hc; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_13 = _T_4066[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_587 = {{5'd0}, way_status_out_13}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4578 = _T_4577 & _GEN_587; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4571 = ifu_ic_rw_int_addr_ff == 7'hc; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4573 = _T_4571 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4062; // @[Reg.scala 27:20] - wire way_status_out_12 = _T_4062[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_588 = {{5'd0}, way_status_out_12}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4574 = _T_4573 & _GEN_588; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4567 = ifu_ic_rw_int_addr_ff == 7'hb; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_12 = _T_4062[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_588 = {{5'd0}, way_status_out_12}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4574 = _T_4573 & _GEN_588; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4567 = ifu_ic_rw_int_addr_ff == 7'hb; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4569 = _T_4567 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4058; // @[Reg.scala 27:20] - wire way_status_out_11 = _T_4058[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_589 = {{5'd0}, way_status_out_11}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4570 = _T_4569 & _GEN_589; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4563 = ifu_ic_rw_int_addr_ff == 7'ha; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_11 = _T_4058[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_589 = {{5'd0}, way_status_out_11}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4570 = _T_4569 & _GEN_589; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4563 = ifu_ic_rw_int_addr_ff == 7'ha; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4565 = _T_4563 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4054; // @[Reg.scala 27:20] - wire way_status_out_10 = _T_4054[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_590 = {{5'd0}, way_status_out_10}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4566 = _T_4565 & _GEN_590; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_10 = _T_4054[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_590 = {{5'd0}, way_status_out_10}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4566 = _T_4565 & _GEN_590; // @[el2_ifu_mem_ctl.scala 724:130] wire [707:0] _T_5151 = {_T_5142,_T_4598,_T_4594,_T_4590,_T_4586,_T_4582,_T_4578,_T_4574,_T_4570,_T_4566}; // @[Cat.scala 29:58] - wire _T_4559 = ifu_ic_rw_int_addr_ff == 7'h9; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4559 = ifu_ic_rw_int_addr_ff == 7'h9; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4561 = _T_4559 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4050; // @[Reg.scala 27:20] - wire way_status_out_9 = _T_4050[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_591 = {{5'd0}, way_status_out_9}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4562 = _T_4561 & _GEN_591; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4555 = ifu_ic_rw_int_addr_ff == 7'h8; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_9 = _T_4050[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_591 = {{5'd0}, way_status_out_9}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4562 = _T_4561 & _GEN_591; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4555 = ifu_ic_rw_int_addr_ff == 7'h8; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4557 = _T_4555 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4046; // @[Reg.scala 27:20] - wire way_status_out_8 = _T_4046[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_592 = {{5'd0}, way_status_out_8}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4558 = _T_4557 & _GEN_592; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4551 = ifu_ic_rw_int_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_8 = _T_4046[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_592 = {{5'd0}, way_status_out_8}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4558 = _T_4557 & _GEN_592; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4551 = ifu_ic_rw_int_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4553 = _T_4551 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4042; // @[Reg.scala 27:20] - wire way_status_out_7 = _T_4042[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_593 = {{5'd0}, way_status_out_7}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4554 = _T_4553 & _GEN_593; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4547 = ifu_ic_rw_int_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_7 = _T_4042[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_593 = {{5'd0}, way_status_out_7}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4554 = _T_4553 & _GEN_593; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4547 = ifu_ic_rw_int_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4549 = _T_4547 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4038; // @[Reg.scala 27:20] - wire way_status_out_6 = _T_4038[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_594 = {{5'd0}, way_status_out_6}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4550 = _T_4549 & _GEN_594; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4543 = ifu_ic_rw_int_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_6 = _T_4038[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_594 = {{5'd0}, way_status_out_6}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4550 = _T_4549 & _GEN_594; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4543 = ifu_ic_rw_int_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4545 = _T_4543 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4034; // @[Reg.scala 27:20] - wire way_status_out_5 = _T_4034[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_595 = {{5'd0}, way_status_out_5}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4546 = _T_4545 & _GEN_595; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4539 = ifu_ic_rw_int_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_5 = _T_4034[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_595 = {{5'd0}, way_status_out_5}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4546 = _T_4545 & _GEN_595; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4539 = ifu_ic_rw_int_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4541 = _T_4539 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4030; // @[Reg.scala 27:20] - wire way_status_out_4 = _T_4030[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_596 = {{5'd0}, way_status_out_4}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4542 = _T_4541 & _GEN_596; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4535 = ifu_ic_rw_int_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_4 = _T_4030[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_596 = {{5'd0}, way_status_out_4}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4542 = _T_4541 & _GEN_596; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4535 = ifu_ic_rw_int_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4537 = _T_4535 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4026; // @[Reg.scala 27:20] - wire way_status_out_3 = _T_4026[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_597 = {{5'd0}, way_status_out_3}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4538 = _T_4537 & _GEN_597; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4531 = ifu_ic_rw_int_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_3 = _T_4026[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_597 = {{5'd0}, way_status_out_3}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4538 = _T_4537 & _GEN_597; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4531 = ifu_ic_rw_int_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4533 = _T_4531 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4022; // @[Reg.scala 27:20] - wire way_status_out_2 = _T_4022[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_598 = {{5'd0}, way_status_out_2}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4534 = _T_4533 & _GEN_598; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4527 = ifu_ic_rw_int_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_2 = _T_4022[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_598 = {{5'd0}, way_status_out_2}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4534 = _T_4533 & _GEN_598; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4527 = ifu_ic_rw_int_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4529 = _T_4527 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4018; // @[Reg.scala 27:20] - wire way_status_out_1 = _T_4018[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_599 = {{5'd0}, way_status_out_1}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4530 = _T_4529 & _GEN_599; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_1 = _T_4018[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_599 = {{5'd0}, way_status_out_1}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4530 = _T_4529 & _GEN_599; // @[el2_ifu_mem_ctl.scala 724:130] wire [761:0] _T_5160 = {_T_5151,_T_4562,_T_4558,_T_4554,_T_4550,_T_4546,_T_4542,_T_4538,_T_4534,_T_4530}; // @[Cat.scala 29:58] - wire _T_4523 = ifu_ic_rw_int_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4523 = ifu_ic_rw_int_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4525 = _T_4523 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4014; // @[Reg.scala 27:20] - wire way_status_out_0 = _T_4014[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_600 = {{5'd0}, way_status_out_0}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4526 = _T_4525 & _GEN_600; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_0 = _T_4014[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_600 = {{5'd0}, way_status_out_0}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4526 = _T_4525 & _GEN_600; // @[el2_ifu_mem_ctl.scala 724:130] wire [767:0] _T_5161 = {_T_5160,_T_4526}; // @[Cat.scala 29:58] - wire way_status = _T_5161[0]; // @[el2_ifu_mem_ctl.scala 723:16] - wire _T_195 = ~reset_all_tags; // @[el2_ifu_mem_ctl.scala 262:96] + wire way_status = _T_5161[0]; // @[el2_ifu_mem_ctl.scala 724:16] + wire _T_195 = ~reset_all_tags; // @[el2_ifu_mem_ctl.scala 263:96] wire [1:0] _T_197 = _T_195 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_198 = _T_197 & io_ic_tag_valid; // @[el2_ifu_mem_ctl.scala 262:113] - reg [1:0] tagv_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 268:29] - reg uncacheable_miss_scnd_ff; // @[el2_ifu_mem_ctl.scala 264:38] - reg [30:0] imb_scnd_ff; // @[el2_ifu_mem_ctl.scala 266:25] + wire [1:0] _T_198 = _T_197 & io_ic_tag_valid; // @[el2_ifu_mem_ctl.scala 263:113] + reg [1:0] tagv_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 269:29] + reg uncacheable_miss_scnd_ff; // @[el2_ifu_mem_ctl.scala 265:38] + reg [30:0] imb_scnd_ff; // @[el2_ifu_mem_ctl.scala 267:25] wire [2:0] _T_206 = bus_ifu_wr_en_ff ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] reg [2:0] ifu_bus_rid_ff; // @[Reg.scala 27:20] - wire [2:0] ic_wr_addr_bits_hi_3 = ifu_bus_rid_ff & _T_206; // @[el2_ifu_mem_ctl.scala 271:45] - wire _T_212 = _T_231 | _T_239; // @[el2_ifu_mem_ctl.scala 276:59] - wire _T_214 = _T_212 | _T_2233; // @[el2_ifu_mem_ctl.scala 276:91] - wire ic_iccm_hit_f = fetch_req_iccm_f & _T_214; // @[el2_ifu_mem_ctl.scala 276:41] - wire _T_219 = _T_227 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 282:39] - wire _T_221 = _T_219 & _T_195; // @[el2_ifu_mem_ctl.scala 282:60] - wire _T_225 = _T_221 & _T_212; // @[el2_ifu_mem_ctl.scala 282:78] - wire ic_act_hit_f = _T_225 & _T_247; // @[el2_ifu_mem_ctl.scala 282:126] - wire _T_262 = ic_act_hit_f | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 289:31] - wire _T_263 = _T_262 | ic_iccm_hit_f; // @[el2_ifu_mem_ctl.scala 289:46] - wire _T_264 = ifc_region_acc_fault_final_f & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 289:94] - wire _T_268 = sel_hold_imb ? uncacheable_miss_ff : io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 290:84] - wire uncacheable_miss_in = scnd_miss_req ? uncacheable_miss_scnd_ff : _T_268; // @[el2_ifu_mem_ctl.scala 290:32] - wire _T_274 = imb_ff[12:6] == imb_scnd_ff[12:6]; // @[el2_ifu_mem_ctl.scala 293:75] - wire _T_275 = _T_274 & scnd_miss_req; // @[el2_ifu_mem_ctl.scala 293:127] + wire [2:0] ic_wr_addr_bits_hi_3 = ifu_bus_rid_ff & _T_206; // @[el2_ifu_mem_ctl.scala 272:45] + wire _T_212 = _T_231 | _T_239; // @[el2_ifu_mem_ctl.scala 277:59] + wire _T_214 = _T_212 | _T_2233; // @[el2_ifu_mem_ctl.scala 277:91] + wire ic_iccm_hit_f = fetch_req_iccm_f & _T_214; // @[el2_ifu_mem_ctl.scala 277:41] + wire _T_219 = _T_227 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 283:39] + wire _T_221 = _T_219 & _T_195; // @[el2_ifu_mem_ctl.scala 283:60] + wire _T_225 = _T_221 & _T_212; // @[el2_ifu_mem_ctl.scala 283:78] + wire ic_act_hit_f = _T_225 & _T_247; // @[el2_ifu_mem_ctl.scala 283:126] + wire _T_262 = ic_act_hit_f | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 290:31] + wire _T_263 = _T_262 | ic_iccm_hit_f; // @[el2_ifu_mem_ctl.scala 290:46] + wire _T_264 = ifc_region_acc_fault_final_f & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 290:94] + wire _T_268 = sel_hold_imb ? uncacheable_miss_ff : io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 291:84] + wire uncacheable_miss_in = scnd_miss_req ? uncacheable_miss_scnd_ff : _T_268; // @[el2_ifu_mem_ctl.scala 291:32] + wire _T_274 = imb_ff[12:6] == imb_scnd_ff[12:6]; // @[el2_ifu_mem_ctl.scala 294:75] + wire _T_275 = _T_274 & scnd_miss_req; // @[el2_ifu_mem_ctl.scala 294:127] reg [1:0] ifu_bus_rresp_ff; // @[Reg.scala 27:20] - wire _T_2662 = |ifu_bus_rresp_ff; // @[el2_ifu_mem_ctl.scala 621:48] - wire _T_2663 = _T_2662 & ifu_bus_rvalid_ff; // @[el2_ifu_mem_ctl.scala 621:52] - wire bus_ifu_wr_data_error_ff = _T_2663 & miss_pending; // @[el2_ifu_mem_ctl.scala 621:73] - reg ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 366:61] - wire ifu_wr_cumulative_err_data = bus_ifu_wr_data_error_ff | ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 365:55] - wire _T_276 = ~ifu_wr_cumulative_err_data; // @[el2_ifu_mem_ctl.scala 293:145] - wire scnd_miss_index_match = _T_275 & _T_276; // @[el2_ifu_mem_ctl.scala 293:143] - wire _T_277 = ~scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 296:47] - wire _T_278 = scnd_miss_req & _T_277; // @[el2_ifu_mem_ctl.scala 296:45] - wire _T_280 = scnd_miss_req & scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 297:26] - reg way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 316:30] - wire _T_10622 = ~way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 779:33] - reg [1:0] tagv_mb_ff; // @[el2_ifu_mem_ctl.scala 317:24] - wire _T_10624 = _T_10622 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 779:51] - wire _T_10626 = _T_10624 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 779:67] - wire _T_10628 = ~tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 779:86] - wire replace_way_mb_any_0 = _T_10626 | _T_10628; // @[el2_ifu_mem_ctl.scala 779:84] + wire _T_2662 = |ifu_bus_rresp_ff; // @[el2_ifu_mem_ctl.scala 622:48] + wire _T_2663 = _T_2662 & ifu_bus_rvalid_ff; // @[el2_ifu_mem_ctl.scala 622:52] + wire bus_ifu_wr_data_error_ff = _T_2663 & miss_pending; // @[el2_ifu_mem_ctl.scala 622:73] + reg ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 367:61] + wire ifu_wr_cumulative_err_data = bus_ifu_wr_data_error_ff | ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 366:55] + wire _T_276 = ~ifu_wr_cumulative_err_data; // @[el2_ifu_mem_ctl.scala 294:145] + wire scnd_miss_index_match = _T_275 & _T_276; // @[el2_ifu_mem_ctl.scala 294:143] + wire _T_277 = ~scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 297:47] + wire _T_278 = scnd_miss_req & _T_277; // @[el2_ifu_mem_ctl.scala 297:45] + wire _T_280 = scnd_miss_req & scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 298:26] + reg way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 317:30] + wire _T_10622 = ~way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 780:33] + reg [1:0] tagv_mb_ff; // @[el2_ifu_mem_ctl.scala 318:24] + wire _T_10624 = _T_10622 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 780:51] + wire _T_10626 = _T_10624 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 780:67] + wire _T_10628 = ~tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 780:86] + wire replace_way_mb_any_0 = _T_10626 | _T_10628; // @[el2_ifu_mem_ctl.scala 780:84] wire [1:0] _T_287 = scnd_miss_index_match ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_10631 = way_status_mb_ff & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 780:50] - wire _T_10633 = _T_10631 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 780:66] - wire _T_10635 = ~tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 780:85] - wire _T_10637 = _T_10635 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 780:100] - wire replace_way_mb_any_1 = _T_10633 | _T_10637; // @[el2_ifu_mem_ctl.scala 780:83] + wire _T_10631 = way_status_mb_ff & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 781:50] + wire _T_10633 = _T_10631 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 781:66] + wire _T_10635 = ~tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 781:85] + wire _T_10637 = _T_10635 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 781:100] + wire replace_way_mb_any_1 = _T_10633 | _T_10637; // @[el2_ifu_mem_ctl.scala 781:83] wire [1:0] _T_288 = {replace_way_mb_any_1,replace_way_mb_any_0}; // @[Cat.scala 29:58] - wire [1:0] _T_289 = _T_287 & _T_288; // @[el2_ifu_mem_ctl.scala 301:110] - wire [1:0] _T_290 = tagv_mb_scnd_ff | _T_289; // @[el2_ifu_mem_ctl.scala 301:62] - wire [1:0] _T_295 = io_ic_tag_valid & _T_197; // @[el2_ifu_mem_ctl.scala 302:56] - wire _T_297 = ~scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 305:36] - wire _T_298 = miss_pending & _T_297; // @[el2_ifu_mem_ctl.scala 305:34] - reg reset_ic_ff; // @[el2_ifu_mem_ctl.scala 306:25] - wire _T_299 = reset_all_tags | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 305:72] - wire reset_ic_in = _T_298 & _T_299; // @[el2_ifu_mem_ctl.scala 305:53] - reg fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 307:37] - reg [25:0] miss_addr; // @[el2_ifu_mem_ctl.scala 315:23] - wire _T_313 = _T_2248 & flush_final_f; // @[el2_ifu_mem_ctl.scala 319:87] - wire _T_314 = ~_T_313; // @[el2_ifu_mem_ctl.scala 319:55] - wire _T_315 = io_ifc_fetch_req_bf & _T_314; // @[el2_ifu_mem_ctl.scala 319:53] - wire _T_2240 = ~_T_2235; // @[el2_ifu_mem_ctl.scala 456:46] - wire _T_2241 = _T_2233 & _T_2240; // @[el2_ifu_mem_ctl.scala 456:44] - wire stream_miss_f = _T_2241 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 456:84] - wire _T_316 = ~stream_miss_f; // @[el2_ifu_mem_ctl.scala 319:106] - wire ifc_fetch_req_qual_bf = _T_315 & _T_316; // @[el2_ifu_mem_ctl.scala 319:104] - reg ifc_region_acc_fault_f; // @[el2_ifu_mem_ctl.scala 325:39] + wire [1:0] _T_289 = _T_287 & _T_288; // @[el2_ifu_mem_ctl.scala 302:110] + wire [1:0] _T_290 = tagv_mb_scnd_ff | _T_289; // @[el2_ifu_mem_ctl.scala 302:62] + wire [1:0] _T_295 = io_ic_tag_valid & _T_197; // @[el2_ifu_mem_ctl.scala 303:56] + wire [1:0] _T_296 = miss_pending ? tagv_mb_ff : _T_295; // @[el2_ifu_mem_ctl.scala 303:6] + wire _T_297 = ~scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 306:36] + wire _T_298 = miss_pending & _T_297; // @[el2_ifu_mem_ctl.scala 306:34] + reg reset_ic_ff; // @[el2_ifu_mem_ctl.scala 307:25] + wire _T_299 = reset_all_tags | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 306:72] + wire reset_ic_in = _T_298 & _T_299; // @[el2_ifu_mem_ctl.scala 306:53] + reg fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 308:37] + reg [25:0] miss_addr; // @[el2_ifu_mem_ctl.scala 316:23] + wire _T_313 = _T_2248 & flush_final_f; // @[el2_ifu_mem_ctl.scala 320:87] + wire _T_314 = ~_T_313; // @[el2_ifu_mem_ctl.scala 320:55] + wire _T_315 = io_ifc_fetch_req_bf & _T_314; // @[el2_ifu_mem_ctl.scala 320:53] + wire _T_2240 = ~_T_2235; // @[el2_ifu_mem_ctl.scala 457:46] + wire _T_2241 = _T_2233 & _T_2240; // @[el2_ifu_mem_ctl.scala 457:44] + wire stream_miss_f = _T_2241 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 457:84] + wire _T_316 = ~stream_miss_f; // @[el2_ifu_mem_ctl.scala 320:106] + wire ifc_fetch_req_qual_bf = _T_315 & _T_316; // @[el2_ifu_mem_ctl.scala 320:104] + reg ifc_region_acc_fault_f; // @[el2_ifu_mem_ctl.scala 326:39] reg [2:0] bus_rd_addr_count; // @[Reg.scala 27:20] wire [28:0] ifu_ic_req_addr_f = {miss_addr,bus_rd_addr_count}; // @[Cat.scala 29:58] - wire _T_323 = _T_239 | _T_2233; // @[el2_ifu_mem_ctl.scala 327:55] - wire _T_326 = _T_323 & _T_56; // @[el2_ifu_mem_ctl.scala 327:82] - wire _T_2254 = ~ifu_bus_rid_ff[0]; // @[el2_ifu_mem_ctl.scala 461:55] + wire _T_323 = _T_239 | _T_2233; // @[el2_ifu_mem_ctl.scala 328:55] + wire _T_326 = _T_323 & _T_56; // @[el2_ifu_mem_ctl.scala 328:82] + wire _T_2254 = ~ifu_bus_rid_ff[0]; // @[el2_ifu_mem_ctl.scala 462:55] wire [2:0] other_tag = {ifu_bus_rid_ff[2:1],_T_2254}; // @[Cat.scala 29:58] - wire _T_2255 = other_tag == 3'h0; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2255 = other_tag == 3'h0; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2279 = _T_2255 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2258 = other_tag == 3'h1; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2258 = other_tag == 3'h1; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2280 = _T_2258 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2287 = _T_2279 | _T_2280; // @[Mux.scala 27:72] - wire _T_2261 = other_tag == 3'h2; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2261 = other_tag == 3'h2; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2281 = _T_2261 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2288 = _T_2287 | _T_2281; // @[Mux.scala 27:72] - wire _T_2264 = other_tag == 3'h3; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2264 = other_tag == 3'h3; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2282 = _T_2264 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2289 = _T_2288 | _T_2282; // @[Mux.scala 27:72] - wire _T_2267 = other_tag == 3'h4; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2267 = other_tag == 3'h4; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2283 = _T_2267 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2290 = _T_2289 | _T_2283; // @[Mux.scala 27:72] - wire _T_2270 = other_tag == 3'h5; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2270 = other_tag == 3'h5; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2284 = _T_2270 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2291 = _T_2290 | _T_2284; // @[Mux.scala 27:72] - wire _T_2273 = other_tag == 3'h6; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2273 = other_tag == 3'h6; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2285 = _T_2273 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2292 = _T_2291 | _T_2285; // @[Mux.scala 27:72] - wire _T_2276 = other_tag == 3'h7; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2276 = other_tag == 3'h7; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2286 = _T_2276 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire second_half_available = _T_2292 | _T_2286; // @[Mux.scala 27:72] - wire write_ic_16_bytes = second_half_available & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 463:46] - wire _T_330 = miss_pending & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 331:35] - wire _T_332 = _T_330 & _T_17; // @[el2_ifu_mem_ctl.scala 331:55] - reg ic_act_miss_f_delayed; // @[el2_ifu_mem_ctl.scala 618:61] - wire _T_2656 = ic_act_miss_f_delayed & _T_2249; // @[el2_ifu_mem_ctl.scala 619:53] - wire reset_tag_valid_for_miss = _T_2656 & _T_17; // @[el2_ifu_mem_ctl.scala 619:84] - wire sel_mb_addr = _T_332 | reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 331:79] + wire write_ic_16_bytes = second_half_available & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 464:46] + wire _T_330 = miss_pending & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 332:35] + wire _T_332 = _T_330 & _T_17; // @[el2_ifu_mem_ctl.scala 332:55] + reg ic_act_miss_f_delayed; // @[el2_ifu_mem_ctl.scala 619:61] + wire _T_2656 = ic_act_miss_f_delayed & _T_2249; // @[el2_ifu_mem_ctl.scala 620:53] + wire reset_tag_valid_for_miss = _T_2656 & _T_17; // @[el2_ifu_mem_ctl.scala 620:84] + wire sel_mb_addr = _T_332 | reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 332:79] wire [30:0] _T_336 = {imb_ff[30:5],ic_wr_addr_bits_hi_3,imb_ff[1:0]}; // @[Cat.scala 29:58] - wire _T_337 = ~sel_mb_addr; // @[el2_ifu_mem_ctl.scala 333:37] + wire _T_337 = ~sel_mb_addr; // @[el2_ifu_mem_ctl.scala 334:37] wire [30:0] _T_338 = sel_mb_addr ? _T_336 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_339 = _T_337 ? io_ifc_fetch_addr_bf : 31'h0; // @[Mux.scala 27:72] wire [30:0] ifu_ic_rw_int_addr = _T_338 | _T_339; // @[Mux.scala 27:72] - wire _T_344 = _T_332 & last_beat; // @[el2_ifu_mem_ctl.scala 335:84] - wire _T_2650 = ~_T_2662; // @[el2_ifu_mem_ctl.scala 616:84] - wire _T_2651 = _T_100 & _T_2650; // @[el2_ifu_mem_ctl.scala 616:82] - wire bus_ifu_wr_en_ff_q = _T_2651 & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 616:108] - wire sel_mb_status_addr = _T_344 & bus_ifu_wr_en_ff_q; // @[el2_ifu_mem_ctl.scala 335:96] - wire [30:0] ifu_status_wr_addr = sel_mb_status_addr ? _T_336 : ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 336:31] + wire _T_344 = _T_332 & last_beat; // @[el2_ifu_mem_ctl.scala 336:84] + wire _T_2650 = ~_T_2662; // @[el2_ifu_mem_ctl.scala 617:84] + wire _T_2651 = _T_100 & _T_2650; // @[el2_ifu_mem_ctl.scala 617:82] + wire bus_ifu_wr_en_ff_q = _T_2651 & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 617:108] + wire sel_mb_status_addr = _T_344 & bus_ifu_wr_en_ff_q; // @[el2_ifu_mem_ctl.scala 336:96] + wire [30:0] ifu_status_wr_addr = sel_mb_status_addr ? _T_336 : ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 337:31] reg [63:0] ifu_bus_rdata_ff; // @[Reg.scala 27:20] wire [6:0] _T_567 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[57]}; // @[el2_lib.scala 384:13] wire _T_568 = ^_T_567; // @[el2_lib.scala 384:20] @@ -1909,115 +1911,115 @@ module el2_ifu_mem_ctl( wire [34:0] _T_765 = {_T_764,_T_747}; // @[el2_lib.scala 384:115] wire _T_766 = ^_T_765; // @[el2_lib.scala 384:122] wire [3:0] _T_2295 = {ifu_bus_rid_ff[2:1],_T_2254,1'h1}; // @[Cat.scala 29:58] - wire _T_2296 = _T_2295 == 4'h0; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2296 = _T_2295 == 4'h0; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_0; // @[Reg.scala 27:20] wire [31:0] _T_2343 = _T_2296 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_2299 = _T_2295 == 4'h1; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2299 = _T_2295 == 4'h1; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_1; // @[Reg.scala 27:20] wire [31:0] _T_2344 = _T_2299 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2359 = _T_2343 | _T_2344; // @[Mux.scala 27:72] - wire _T_2302 = _T_2295 == 4'h2; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2302 = _T_2295 == 4'h2; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_2; // @[Reg.scala 27:20] wire [31:0] _T_2345 = _T_2302 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2360 = _T_2359 | _T_2345; // @[Mux.scala 27:72] - wire _T_2305 = _T_2295 == 4'h3; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2305 = _T_2295 == 4'h3; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_3; // @[Reg.scala 27:20] wire [31:0] _T_2346 = _T_2305 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2361 = _T_2360 | _T_2346; // @[Mux.scala 27:72] - wire _T_2308 = _T_2295 == 4'h4; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2308 = _T_2295 == 4'h4; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_4; // @[Reg.scala 27:20] wire [31:0] _T_2347 = _T_2308 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2362 = _T_2361 | _T_2347; // @[Mux.scala 27:72] - wire _T_2311 = _T_2295 == 4'h5; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2311 = _T_2295 == 4'h5; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_5; // @[Reg.scala 27:20] wire [31:0] _T_2348 = _T_2311 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2363 = _T_2362 | _T_2348; // @[Mux.scala 27:72] - wire _T_2314 = _T_2295 == 4'h6; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2314 = _T_2295 == 4'h6; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_6; // @[Reg.scala 27:20] wire [31:0] _T_2349 = _T_2314 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2364 = _T_2363 | _T_2349; // @[Mux.scala 27:72] - wire _T_2317 = _T_2295 == 4'h7; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2317 = _T_2295 == 4'h7; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_7; // @[Reg.scala 27:20] wire [31:0] _T_2350 = _T_2317 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2365 = _T_2364 | _T_2350; // @[Mux.scala 27:72] - wire _T_2320 = _T_2295 == 4'h8; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2320 = _T_2295 == 4'h8; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_8; // @[Reg.scala 27:20] wire [31:0] _T_2351 = _T_2320 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2366 = _T_2365 | _T_2351; // @[Mux.scala 27:72] - wire _T_2323 = _T_2295 == 4'h9; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2323 = _T_2295 == 4'h9; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_9; // @[Reg.scala 27:20] wire [31:0] _T_2352 = _T_2323 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2367 = _T_2366 | _T_2352; // @[Mux.scala 27:72] - wire _T_2326 = _T_2295 == 4'ha; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2326 = _T_2295 == 4'ha; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_10; // @[Reg.scala 27:20] wire [31:0] _T_2353 = _T_2326 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2368 = _T_2367 | _T_2353; // @[Mux.scala 27:72] - wire _T_2329 = _T_2295 == 4'hb; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2329 = _T_2295 == 4'hb; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_11; // @[Reg.scala 27:20] wire [31:0] _T_2354 = _T_2329 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2369 = _T_2368 | _T_2354; // @[Mux.scala 27:72] - wire _T_2332 = _T_2295 == 4'hc; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2332 = _T_2295 == 4'hc; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_12; // @[Reg.scala 27:20] wire [31:0] _T_2355 = _T_2332 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2370 = _T_2369 | _T_2355; // @[Mux.scala 27:72] - wire _T_2335 = _T_2295 == 4'hd; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2335 = _T_2295 == 4'hd; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_13; // @[Reg.scala 27:20] wire [31:0] _T_2356 = _T_2335 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2371 = _T_2370 | _T_2356; // @[Mux.scala 27:72] - wire _T_2338 = _T_2295 == 4'he; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2338 = _T_2295 == 4'he; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_14; // @[Reg.scala 27:20] wire [31:0] _T_2357 = _T_2338 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2372 = _T_2371 | _T_2357; // @[Mux.scala 27:72] - wire _T_2341 = _T_2295 == 4'hf; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2341 = _T_2295 == 4'hf; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_15; // @[Reg.scala 27:20] wire [31:0] _T_2358 = _T_2341 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2373 = _T_2372 | _T_2358; // @[Mux.scala 27:72] wire [3:0] _T_2375 = {ifu_bus_rid_ff[2:1],_T_2254,1'h0}; // @[Cat.scala 29:58] - wire _T_2376 = _T_2375 == 4'h0; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2376 = _T_2375 == 4'h0; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2423 = _T_2376 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_2379 = _T_2375 == 4'h1; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2379 = _T_2375 == 4'h1; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2424 = _T_2379 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2439 = _T_2423 | _T_2424; // @[Mux.scala 27:72] - wire _T_2382 = _T_2375 == 4'h2; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2382 = _T_2375 == 4'h2; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2425 = _T_2382 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2440 = _T_2439 | _T_2425; // @[Mux.scala 27:72] - wire _T_2385 = _T_2375 == 4'h3; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2385 = _T_2375 == 4'h3; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2426 = _T_2385 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2441 = _T_2440 | _T_2426; // @[Mux.scala 27:72] - wire _T_2388 = _T_2375 == 4'h4; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2388 = _T_2375 == 4'h4; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2427 = _T_2388 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2442 = _T_2441 | _T_2427; // @[Mux.scala 27:72] - wire _T_2391 = _T_2375 == 4'h5; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2391 = _T_2375 == 4'h5; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2428 = _T_2391 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2443 = _T_2442 | _T_2428; // @[Mux.scala 27:72] - wire _T_2394 = _T_2375 == 4'h6; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2394 = _T_2375 == 4'h6; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2429 = _T_2394 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2444 = _T_2443 | _T_2429; // @[Mux.scala 27:72] - wire _T_2397 = _T_2375 == 4'h7; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2397 = _T_2375 == 4'h7; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2430 = _T_2397 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2445 = _T_2444 | _T_2430; // @[Mux.scala 27:72] - wire _T_2400 = _T_2375 == 4'h8; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2400 = _T_2375 == 4'h8; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2431 = _T_2400 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2446 = _T_2445 | _T_2431; // @[Mux.scala 27:72] - wire _T_2403 = _T_2375 == 4'h9; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2403 = _T_2375 == 4'h9; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2432 = _T_2403 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2447 = _T_2446 | _T_2432; // @[Mux.scala 27:72] - wire _T_2406 = _T_2375 == 4'ha; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2406 = _T_2375 == 4'ha; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2433 = _T_2406 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2448 = _T_2447 | _T_2433; // @[Mux.scala 27:72] - wire _T_2409 = _T_2375 == 4'hb; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2409 = _T_2375 == 4'hb; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2434 = _T_2409 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2449 = _T_2448 | _T_2434; // @[Mux.scala 27:72] - wire _T_2412 = _T_2375 == 4'hc; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2412 = _T_2375 == 4'hc; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2435 = _T_2412 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2450 = _T_2449 | _T_2435; // @[Mux.scala 27:72] - wire _T_2415 = _T_2375 == 4'hd; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2415 = _T_2375 == 4'hd; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2436 = _T_2415 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2451 = _T_2450 | _T_2436; // @[Mux.scala 27:72] - wire _T_2418 = _T_2375 == 4'he; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2418 = _T_2375 == 4'he; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2437 = _T_2418 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2452 = _T_2451 | _T_2437; // @[Mux.scala 27:72] - wire _T_2421 = _T_2375 == 4'hf; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2421 = _T_2375 == 4'hf; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2438 = _T_2421 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2453 = _T_2452 | _T_2438; // @[Mux.scala 27:72] wire [63:0] ic_miss_buff_half = {_T_2373,_T_2453}; // @[Cat.scala 29:58] @@ -2059,130 +2061,130 @@ module el2_ifu_mem_ctl( wire [70:0] _T_1232 = {_T_990,_T_1021,_T_1052,_T_1083,_T_1118,_T_1153,_T_1188,_T_2373,_T_2453}; // @[Cat.scala 29:58] wire [141:0] _T_1234 = {_T_568,_T_599,_T_630,_T_661,_T_696,_T_731,_T_766,ifu_bus_rdata_ff,_T_1232}; // @[Cat.scala 29:58] wire [141:0] _T_1237 = {_T_990,_T_1021,_T_1052,_T_1083,_T_1118,_T_1153,_T_1188,_T_2373,_T_2453,_T_1233}; // @[Cat.scala 29:58] - wire [141:0] ic_wr_16bytes_data = ifu_bus_rid_ff[0] ? _T_1234 : _T_1237; // @[el2_ifu_mem_ctl.scala 357:28] - wire _T_1196 = |io_ic_eccerr; // @[el2_ifu_mem_ctl.scala 347:56] - wire _T_1197 = _T_1196 & ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 347:83] - wire [4:0] bypass_index = imb_ff[4:0]; // @[el2_ifu_mem_ctl.scala 411:28] - wire _T_1413 = bypass_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 413:114] - wire bus_ifu_wr_en = _T_13 & miss_pending; // @[el2_ifu_mem_ctl.scala 614:35] - wire _T_1282 = io_ifu_axi_rid == 3'h0; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_0 = bus_ifu_wr_en & _T_1282; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1339 = ~ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 402:118] - wire _T_1340 = ic_miss_buff_data_valid[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_0 = write_fill_data_0 | _T_1340; // @[el2_ifu_mem_ctl.scala 402:88] + wire [141:0] ic_wr_16bytes_data = ifu_bus_rid_ff[0] ? _T_1234 : _T_1237; // @[el2_ifu_mem_ctl.scala 358:28] + wire _T_1196 = |io_ic_eccerr; // @[el2_ifu_mem_ctl.scala 348:56] + wire _T_1197 = _T_1196 & ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 348:83] + wire [4:0] bypass_index = imb_ff[4:0]; // @[el2_ifu_mem_ctl.scala 412:28] + wire _T_1413 = bypass_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 414:114] + wire bus_ifu_wr_en = _T_13 & miss_pending; // @[el2_ifu_mem_ctl.scala 615:35] + wire _T_1282 = io_ifu_axi_rid == 3'h0; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_0 = bus_ifu_wr_en & _T_1282; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1339 = ~ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 403:118] + wire _T_1340 = ic_miss_buff_data_valid[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_0 = write_fill_data_0 | _T_1340; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1436 = _T_1413 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] - wire _T_1416 = bypass_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1283 = io_ifu_axi_rid == 3'h1; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_1 = bus_ifu_wr_en & _T_1283; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1343 = ic_miss_buff_data_valid[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_1 = write_fill_data_1 | _T_1343; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1416 = bypass_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1283 = io_ifu_axi_rid == 3'h1; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_1 = bus_ifu_wr_en & _T_1283; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1343 = ic_miss_buff_data_valid[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_1 = write_fill_data_1 | _T_1343; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1437 = _T_1416 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] wire _T_1444 = _T_1436 | _T_1437; // @[Mux.scala 27:72] - wire _T_1419 = bypass_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1284 = io_ifu_axi_rid == 3'h2; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_2 = bus_ifu_wr_en & _T_1284; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1346 = ic_miss_buff_data_valid[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_2 = write_fill_data_2 | _T_1346; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1419 = bypass_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1284 = io_ifu_axi_rid == 3'h2; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_2 = bus_ifu_wr_en & _T_1284; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1346 = ic_miss_buff_data_valid[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_2 = write_fill_data_2 | _T_1346; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1438 = _T_1419 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] wire _T_1445 = _T_1444 | _T_1438; // @[Mux.scala 27:72] - wire _T_1422 = bypass_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1285 = io_ifu_axi_rid == 3'h3; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_3 = bus_ifu_wr_en & _T_1285; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1349 = ic_miss_buff_data_valid[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_3 = write_fill_data_3 | _T_1349; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1422 = bypass_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1285 = io_ifu_axi_rid == 3'h3; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_3 = bus_ifu_wr_en & _T_1285; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1349 = ic_miss_buff_data_valid[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_3 = write_fill_data_3 | _T_1349; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1439 = _T_1422 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] wire _T_1446 = _T_1445 | _T_1439; // @[Mux.scala 27:72] - wire _T_1425 = bypass_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1286 = io_ifu_axi_rid == 3'h4; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_4 = bus_ifu_wr_en & _T_1286; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1352 = ic_miss_buff_data_valid[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_4 = write_fill_data_4 | _T_1352; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1425 = bypass_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1286 = io_ifu_axi_rid == 3'h4; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_4 = bus_ifu_wr_en & _T_1286; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1352 = ic_miss_buff_data_valid[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_4 = write_fill_data_4 | _T_1352; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1440 = _T_1425 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] wire _T_1447 = _T_1446 | _T_1440; // @[Mux.scala 27:72] - wire _T_1428 = bypass_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1287 = io_ifu_axi_rid == 3'h5; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_5 = bus_ifu_wr_en & _T_1287; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1355 = ic_miss_buff_data_valid[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_5 = write_fill_data_5 | _T_1355; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1428 = bypass_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1287 = io_ifu_axi_rid == 3'h5; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_5 = bus_ifu_wr_en & _T_1287; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1355 = ic_miss_buff_data_valid[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_5 = write_fill_data_5 | _T_1355; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1441 = _T_1428 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] wire _T_1448 = _T_1447 | _T_1441; // @[Mux.scala 27:72] - wire _T_1431 = bypass_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1288 = io_ifu_axi_rid == 3'h6; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_6 = bus_ifu_wr_en & _T_1288; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1358 = ic_miss_buff_data_valid[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_6 = write_fill_data_6 | _T_1358; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1431 = bypass_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1288 = io_ifu_axi_rid == 3'h6; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_6 = bus_ifu_wr_en & _T_1288; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1358 = ic_miss_buff_data_valid[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_6 = write_fill_data_6 | _T_1358; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1442 = _T_1431 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] wire _T_1449 = _T_1448 | _T_1442; // @[Mux.scala 27:72] - wire _T_1434 = bypass_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1289 = io_ifu_axi_rid == 3'h7; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_7 = bus_ifu_wr_en & _T_1289; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1361 = ic_miss_buff_data_valid[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_7 = write_fill_data_7 | _T_1361; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1434 = bypass_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1289 = io_ifu_axi_rid == 3'h7; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_7 = bus_ifu_wr_en & _T_1289; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1361 = ic_miss_buff_data_valid[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_7 = write_fill_data_7 | _T_1361; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1443 = _T_1434 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] wire bypass_valid_value_check = _T_1449 | _T_1443; // @[Mux.scala 27:72] - wire _T_1452 = ~bypass_index[1]; // @[el2_ifu_mem_ctl.scala 414:58] - wire _T_1453 = bypass_valid_value_check & _T_1452; // @[el2_ifu_mem_ctl.scala 414:56] - wire _T_1455 = ~bypass_index[0]; // @[el2_ifu_mem_ctl.scala 414:77] - wire _T_1456 = _T_1453 & _T_1455; // @[el2_ifu_mem_ctl.scala 414:75] - wire _T_1461 = _T_1453 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 415:75] - wire _T_1462 = _T_1456 | _T_1461; // @[el2_ifu_mem_ctl.scala 414:95] - wire _T_1464 = bypass_valid_value_check & bypass_index[1]; // @[el2_ifu_mem_ctl.scala 416:56] - wire _T_1467 = _T_1464 & _T_1455; // @[el2_ifu_mem_ctl.scala 416:74] - wire _T_1468 = _T_1462 | _T_1467; // @[el2_ifu_mem_ctl.scala 415:94] - wire _T_1472 = _T_1464 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 417:51] - wire [2:0] bypass_index_5_3_inc = bypass_index[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 412:70] - wire _T_1473 = bypass_index_5_3_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1452 = ~bypass_index[1]; // @[el2_ifu_mem_ctl.scala 415:58] + wire _T_1453 = bypass_valid_value_check & _T_1452; // @[el2_ifu_mem_ctl.scala 415:56] + wire _T_1455 = ~bypass_index[0]; // @[el2_ifu_mem_ctl.scala 415:77] + wire _T_1456 = _T_1453 & _T_1455; // @[el2_ifu_mem_ctl.scala 415:75] + wire _T_1461 = _T_1453 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 416:75] + wire _T_1462 = _T_1456 | _T_1461; // @[el2_ifu_mem_ctl.scala 415:95] + wire _T_1464 = bypass_valid_value_check & bypass_index[1]; // @[el2_ifu_mem_ctl.scala 417:56] + wire _T_1467 = _T_1464 & _T_1455; // @[el2_ifu_mem_ctl.scala 417:74] + wire _T_1468 = _T_1462 | _T_1467; // @[el2_ifu_mem_ctl.scala 416:94] + wire _T_1472 = _T_1464 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 418:51] + wire [2:0] bypass_index_5_3_inc = bypass_index[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 413:70] + wire _T_1473 = bypass_index_5_3_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1489 = _T_1473 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] - wire _T_1475 = bypass_index_5_3_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1475 = bypass_index_5_3_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1490 = _T_1475 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] wire _T_1497 = _T_1489 | _T_1490; // @[Mux.scala 27:72] - wire _T_1477 = bypass_index_5_3_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1477 = bypass_index_5_3_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1491 = _T_1477 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] wire _T_1498 = _T_1497 | _T_1491; // @[Mux.scala 27:72] - wire _T_1479 = bypass_index_5_3_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1479 = bypass_index_5_3_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1492 = _T_1479 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] wire _T_1499 = _T_1498 | _T_1492; // @[Mux.scala 27:72] - wire _T_1481 = bypass_index_5_3_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1481 = bypass_index_5_3_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1493 = _T_1481 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] wire _T_1500 = _T_1499 | _T_1493; // @[Mux.scala 27:72] - wire _T_1483 = bypass_index_5_3_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1483 = bypass_index_5_3_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1494 = _T_1483 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] wire _T_1501 = _T_1500 | _T_1494; // @[Mux.scala 27:72] - wire _T_1485 = bypass_index_5_3_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1485 = bypass_index_5_3_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1495 = _T_1485 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] wire _T_1502 = _T_1501 | _T_1495; // @[Mux.scala 27:72] - wire _T_1487 = bypass_index_5_3_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1487 = bypass_index_5_3_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1496 = _T_1487 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] wire _T_1503 = _T_1502 | _T_1496; // @[Mux.scala 27:72] - wire _T_1505 = _T_1472 & _T_1503; // @[el2_ifu_mem_ctl.scala 417:69] - wire _T_1506 = _T_1468 | _T_1505; // @[el2_ifu_mem_ctl.scala 416:94] - wire [4:0] _GEN_601 = {{2'd0}, bypass_index[4:2]}; // @[el2_ifu_mem_ctl.scala 418:95] - wire _T_1509 = _GEN_601 == 5'h1f; // @[el2_ifu_mem_ctl.scala 418:95] - wire _T_1510 = bypass_valid_value_check & _T_1509; // @[el2_ifu_mem_ctl.scala 418:56] - wire bypass_data_ready_in = _T_1506 | _T_1510; // @[el2_ifu_mem_ctl.scala 417:181] - wire _T_1511 = bypass_data_ready_in & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 422:53] - wire _T_1512 = _T_1511 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 422:73] - wire _T_1514 = _T_1512 & _T_317; // @[el2_ifu_mem_ctl.scala 422:96] - wire _T_1516 = _T_1514 & _T_58; // @[el2_ifu_mem_ctl.scala 422:118] - wire _T_1518 = crit_wd_byp_ok_ff & _T_17; // @[el2_ifu_mem_ctl.scala 423:73] - wire _T_1520 = _T_1518 & _T_317; // @[el2_ifu_mem_ctl.scala 423:96] - wire _T_1522 = _T_1520 & _T_58; // @[el2_ifu_mem_ctl.scala 423:118] - wire _T_1523 = _T_1516 | _T_1522; // @[el2_ifu_mem_ctl.scala 422:143] - reg ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 425:58] - wire _T_1524 = ic_crit_wd_rdy_new_ff & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 424:54] - wire _T_1525 = ~fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 424:76] - wire _T_1526 = _T_1524 & _T_1525; // @[el2_ifu_mem_ctl.scala 424:74] - wire _T_1528 = _T_1526 & _T_317; // @[el2_ifu_mem_ctl.scala 424:96] - wire ic_crit_wd_rdy_new_in = _T_1523 | _T_1528; // @[el2_ifu_mem_ctl.scala 423:143] - wire ic_crit_wd_rdy = ic_crit_wd_rdy_new_in | ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 624:43] - wire _T_1249 = ic_crit_wd_rdy | _T_2233; // @[el2_ifu_mem_ctl.scala 370:38] - wire _T_1251 = _T_1249 | _T_2249; // @[el2_ifu_mem_ctl.scala 370:64] - wire _T_1252 = ~_T_1251; // @[el2_ifu_mem_ctl.scala 370:21] - wire _T_1253 = ~fetch_req_iccm_f; // @[el2_ifu_mem_ctl.scala 370:98] - wire sel_ic_data = _T_1252 & _T_1253; // @[el2_ifu_mem_ctl.scala 370:96] - wire _T_2456 = io_ic_tag_perr & sel_ic_data; // @[el2_ifu_mem_ctl.scala 469:44] - wire _T_1622 = ifu_fetch_addr_int_f[1] & ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 436:31] - reg [7:0] ic_miss_buff_data_error; // @[el2_ifu_mem_ctl.scala 408:60] + wire _T_1505 = _T_1472 & _T_1503; // @[el2_ifu_mem_ctl.scala 418:69] + wire _T_1506 = _T_1468 | _T_1505; // @[el2_ifu_mem_ctl.scala 417:94] + wire [4:0] _GEN_601 = {{2'd0}, bypass_index[4:2]}; // @[el2_ifu_mem_ctl.scala 419:95] + wire _T_1509 = _GEN_601 == 5'h1f; // @[el2_ifu_mem_ctl.scala 419:95] + wire _T_1510 = bypass_valid_value_check & _T_1509; // @[el2_ifu_mem_ctl.scala 419:56] + wire bypass_data_ready_in = _T_1506 | _T_1510; // @[el2_ifu_mem_ctl.scala 418:181] + wire _T_1511 = bypass_data_ready_in & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 423:53] + wire _T_1512 = _T_1511 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 423:73] + wire _T_1514 = _T_1512 & _T_317; // @[el2_ifu_mem_ctl.scala 423:96] + wire _T_1516 = _T_1514 & _T_58; // @[el2_ifu_mem_ctl.scala 423:118] + wire _T_1518 = crit_wd_byp_ok_ff & _T_17; // @[el2_ifu_mem_ctl.scala 424:73] + wire _T_1520 = _T_1518 & _T_317; // @[el2_ifu_mem_ctl.scala 424:96] + wire _T_1522 = _T_1520 & _T_58; // @[el2_ifu_mem_ctl.scala 424:118] + wire _T_1523 = _T_1516 | _T_1522; // @[el2_ifu_mem_ctl.scala 423:143] + reg ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 426:58] + wire _T_1524 = ic_crit_wd_rdy_new_ff & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 425:54] + wire _T_1525 = ~fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 425:76] + wire _T_1526 = _T_1524 & _T_1525; // @[el2_ifu_mem_ctl.scala 425:74] + wire _T_1528 = _T_1526 & _T_317; // @[el2_ifu_mem_ctl.scala 425:96] + wire ic_crit_wd_rdy_new_in = _T_1523 | _T_1528; // @[el2_ifu_mem_ctl.scala 424:143] + wire ic_crit_wd_rdy = ic_crit_wd_rdy_new_in | ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 625:43] + wire _T_1249 = ic_crit_wd_rdy | _T_2233; // @[el2_ifu_mem_ctl.scala 371:38] + wire _T_1251 = _T_1249 | _T_2249; // @[el2_ifu_mem_ctl.scala 371:64] + wire _T_1252 = ~_T_1251; // @[el2_ifu_mem_ctl.scala 371:21] + wire _T_1253 = ~fetch_req_iccm_f; // @[el2_ifu_mem_ctl.scala 371:98] + wire sel_ic_data = _T_1252 & _T_1253; // @[el2_ifu_mem_ctl.scala 371:96] + wire _T_2456 = io_ic_tag_perr & sel_ic_data; // @[el2_ifu_mem_ctl.scala 470:44] + wire _T_1622 = ifu_fetch_addr_int_f[1] & ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 437:31] + reg [7:0] ic_miss_buff_data_error; // @[el2_ifu_mem_ctl.scala 409:60] wire _T_1566 = _T_1413 & ic_miss_buff_data_error[0]; // @[Mux.scala 27:72] wire _T_1567 = _T_1416 & ic_miss_buff_data_error[1]; // @[Mux.scala 27:72] wire _T_1574 = _T_1566 | _T_1567; // @[Mux.scala 27:72] @@ -2213,987 +2215,987 @@ module el2_ifu_mem_ctl( wire _T_1618 = _T_1617 | _T_1611; // @[Mux.scala 27:72] wire _T_1612 = _T_2187 & ic_miss_buff_data_error[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass_inc = _T_1618 | _T_1612; // @[Mux.scala 27:72] - wire _T_1623 = ic_miss_buff_data_error_bypass | ic_miss_buff_data_error_bypass_inc; // @[el2_ifu_mem_ctl.scala 438:70] - wire ifu_byp_data_err_new = _T_1622 ? ic_miss_buff_data_error_bypass : _T_1623; // @[el2_ifu_mem_ctl.scala 436:56] - wire ifc_bus_acc_fault_f = ic_byp_hit_f & ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 381:42] - wire _T_2457 = ifc_region_acc_fault_final_f | ifc_bus_acc_fault_f; // @[el2_ifu_mem_ctl.scala 469:91] - wire _T_2458 = ~_T_2457; // @[el2_ifu_mem_ctl.scala 469:60] - wire ic_rd_parity_final_err = _T_2456 & _T_2458; // @[el2_ifu_mem_ctl.scala 469:58] + wire _T_1623 = ic_miss_buff_data_error_bypass | ic_miss_buff_data_error_bypass_inc; // @[el2_ifu_mem_ctl.scala 439:70] + wire ifu_byp_data_err_new = _T_1622 ? ic_miss_buff_data_error_bypass : _T_1623; // @[el2_ifu_mem_ctl.scala 437:56] + wire ifc_bus_acc_fault_f = ic_byp_hit_f & ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 382:42] + wire _T_2457 = ifc_region_acc_fault_final_f | ifc_bus_acc_fault_f; // @[el2_ifu_mem_ctl.scala 470:91] + wire _T_2458 = ~_T_2457; // @[el2_ifu_mem_ctl.scala 470:60] + wire ic_rd_parity_final_err = _T_2456 & _T_2458; // @[el2_ifu_mem_ctl.scala 470:58] reg ic_debug_ict_array_sel_ff; // @[Reg.scala 27:20] reg ic_tag_valid_out_1_0; // @[Reg.scala 27:20] - wire _T_10240 = _T_4523 & ic_tag_valid_out_1_0; // @[el2_ifu_mem_ctl.scala 754:10] + wire _T_10240 = _T_4523 & ic_tag_valid_out_1_0; // @[el2_ifu_mem_ctl.scala 755:10] reg ic_tag_valid_out_1_1; // @[Reg.scala 27:20] - wire _T_10242 = _T_4527 & ic_tag_valid_out_1_1; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10495 = _T_10240 | _T_10242; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10242 = _T_4527 & ic_tag_valid_out_1_1; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10495 = _T_10240 | _T_10242; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_2; // @[Reg.scala 27:20] - wire _T_10244 = _T_4531 & ic_tag_valid_out_1_2; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10496 = _T_10495 | _T_10244; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10244 = _T_4531 & ic_tag_valid_out_1_2; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10496 = _T_10495 | _T_10244; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_3; // @[Reg.scala 27:20] - wire _T_10246 = _T_4535 & ic_tag_valid_out_1_3; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10497 = _T_10496 | _T_10246; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10246 = _T_4535 & ic_tag_valid_out_1_3; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10497 = _T_10496 | _T_10246; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_4; // @[Reg.scala 27:20] - wire _T_10248 = _T_4539 & ic_tag_valid_out_1_4; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10498 = _T_10497 | _T_10248; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10248 = _T_4539 & ic_tag_valid_out_1_4; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10498 = _T_10497 | _T_10248; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_5; // @[Reg.scala 27:20] - wire _T_10250 = _T_4543 & ic_tag_valid_out_1_5; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10499 = _T_10498 | _T_10250; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10250 = _T_4543 & ic_tag_valid_out_1_5; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10499 = _T_10498 | _T_10250; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_6; // @[Reg.scala 27:20] - wire _T_10252 = _T_4547 & ic_tag_valid_out_1_6; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10500 = _T_10499 | _T_10252; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10252 = _T_4547 & ic_tag_valid_out_1_6; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10500 = _T_10499 | _T_10252; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_7; // @[Reg.scala 27:20] - wire _T_10254 = _T_4551 & ic_tag_valid_out_1_7; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10501 = _T_10500 | _T_10254; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10254 = _T_4551 & ic_tag_valid_out_1_7; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10501 = _T_10500 | _T_10254; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_8; // @[Reg.scala 27:20] - wire _T_10256 = _T_4555 & ic_tag_valid_out_1_8; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10502 = _T_10501 | _T_10256; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10256 = _T_4555 & ic_tag_valid_out_1_8; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10502 = _T_10501 | _T_10256; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_9; // @[Reg.scala 27:20] - wire _T_10258 = _T_4559 & ic_tag_valid_out_1_9; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10503 = _T_10502 | _T_10258; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10258 = _T_4559 & ic_tag_valid_out_1_9; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10503 = _T_10502 | _T_10258; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_10; // @[Reg.scala 27:20] - wire _T_10260 = _T_4563 & ic_tag_valid_out_1_10; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10504 = _T_10503 | _T_10260; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10260 = _T_4563 & ic_tag_valid_out_1_10; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10504 = _T_10503 | _T_10260; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_11; // @[Reg.scala 27:20] - wire _T_10262 = _T_4567 & ic_tag_valid_out_1_11; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10505 = _T_10504 | _T_10262; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10262 = _T_4567 & ic_tag_valid_out_1_11; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10505 = _T_10504 | _T_10262; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_12; // @[Reg.scala 27:20] - wire _T_10264 = _T_4571 & ic_tag_valid_out_1_12; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10506 = _T_10505 | _T_10264; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10264 = _T_4571 & ic_tag_valid_out_1_12; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10506 = _T_10505 | _T_10264; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_13; // @[Reg.scala 27:20] - wire _T_10266 = _T_4575 & ic_tag_valid_out_1_13; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10507 = _T_10506 | _T_10266; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10266 = _T_4575 & ic_tag_valid_out_1_13; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10507 = _T_10506 | _T_10266; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_14; // @[Reg.scala 27:20] - wire _T_10268 = _T_4579 & ic_tag_valid_out_1_14; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10508 = _T_10507 | _T_10268; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10268 = _T_4579 & ic_tag_valid_out_1_14; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10508 = _T_10507 | _T_10268; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_15; // @[Reg.scala 27:20] - wire _T_10270 = _T_4583 & ic_tag_valid_out_1_15; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10509 = _T_10508 | _T_10270; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10270 = _T_4583 & ic_tag_valid_out_1_15; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10509 = _T_10508 | _T_10270; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_16; // @[Reg.scala 27:20] - wire _T_10272 = _T_4587 & ic_tag_valid_out_1_16; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10510 = _T_10509 | _T_10272; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10272 = _T_4587 & ic_tag_valid_out_1_16; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10510 = _T_10509 | _T_10272; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_17; // @[Reg.scala 27:20] - wire _T_10274 = _T_4591 & ic_tag_valid_out_1_17; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10511 = _T_10510 | _T_10274; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10274 = _T_4591 & ic_tag_valid_out_1_17; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10511 = _T_10510 | _T_10274; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_18; // @[Reg.scala 27:20] - wire _T_10276 = _T_4595 & ic_tag_valid_out_1_18; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10512 = _T_10511 | _T_10276; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10276 = _T_4595 & ic_tag_valid_out_1_18; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10512 = _T_10511 | _T_10276; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_19; // @[Reg.scala 27:20] - wire _T_10278 = _T_4599 & ic_tag_valid_out_1_19; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10513 = _T_10512 | _T_10278; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10278 = _T_4599 & ic_tag_valid_out_1_19; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10513 = _T_10512 | _T_10278; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_20; // @[Reg.scala 27:20] - wire _T_10280 = _T_4603 & ic_tag_valid_out_1_20; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10514 = _T_10513 | _T_10280; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10280 = _T_4603 & ic_tag_valid_out_1_20; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10514 = _T_10513 | _T_10280; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_21; // @[Reg.scala 27:20] - wire _T_10282 = _T_4607 & ic_tag_valid_out_1_21; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10515 = _T_10514 | _T_10282; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10282 = _T_4607 & ic_tag_valid_out_1_21; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10515 = _T_10514 | _T_10282; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_22; // @[Reg.scala 27:20] - wire _T_10284 = _T_4611 & ic_tag_valid_out_1_22; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10516 = _T_10515 | _T_10284; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10284 = _T_4611 & ic_tag_valid_out_1_22; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10516 = _T_10515 | _T_10284; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_23; // @[Reg.scala 27:20] - wire _T_10286 = _T_4615 & ic_tag_valid_out_1_23; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10517 = _T_10516 | _T_10286; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10286 = _T_4615 & ic_tag_valid_out_1_23; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10517 = _T_10516 | _T_10286; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_24; // @[Reg.scala 27:20] - wire _T_10288 = _T_4619 & ic_tag_valid_out_1_24; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10518 = _T_10517 | _T_10288; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10288 = _T_4619 & ic_tag_valid_out_1_24; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10518 = _T_10517 | _T_10288; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_25; // @[Reg.scala 27:20] - wire _T_10290 = _T_4623 & ic_tag_valid_out_1_25; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10519 = _T_10518 | _T_10290; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10290 = _T_4623 & ic_tag_valid_out_1_25; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10519 = _T_10518 | _T_10290; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_26; // @[Reg.scala 27:20] - wire _T_10292 = _T_4627 & ic_tag_valid_out_1_26; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10520 = _T_10519 | _T_10292; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10292 = _T_4627 & ic_tag_valid_out_1_26; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10520 = _T_10519 | _T_10292; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_27; // @[Reg.scala 27:20] - wire _T_10294 = _T_4631 & ic_tag_valid_out_1_27; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10521 = _T_10520 | _T_10294; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10294 = _T_4631 & ic_tag_valid_out_1_27; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10521 = _T_10520 | _T_10294; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_28; // @[Reg.scala 27:20] - wire _T_10296 = _T_4635 & ic_tag_valid_out_1_28; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10522 = _T_10521 | _T_10296; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10296 = _T_4635 & ic_tag_valid_out_1_28; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10522 = _T_10521 | _T_10296; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_29; // @[Reg.scala 27:20] - wire _T_10298 = _T_4639 & ic_tag_valid_out_1_29; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10523 = _T_10522 | _T_10298; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10298 = _T_4639 & ic_tag_valid_out_1_29; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10523 = _T_10522 | _T_10298; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_30; // @[Reg.scala 27:20] - wire _T_10300 = _T_4643 & ic_tag_valid_out_1_30; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10524 = _T_10523 | _T_10300; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10300 = _T_4643 & ic_tag_valid_out_1_30; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10524 = _T_10523 | _T_10300; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_31; // @[Reg.scala 27:20] - wire _T_10302 = _T_4647 & ic_tag_valid_out_1_31; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10525 = _T_10524 | _T_10302; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10302 = _T_4647 & ic_tag_valid_out_1_31; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10525 = _T_10524 | _T_10302; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_32; // @[Reg.scala 27:20] - wire _T_10304 = _T_4651 & ic_tag_valid_out_1_32; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10526 = _T_10525 | _T_10304; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10304 = _T_4651 & ic_tag_valid_out_1_32; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10526 = _T_10525 | _T_10304; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_33; // @[Reg.scala 27:20] - wire _T_10306 = _T_4655 & ic_tag_valid_out_1_33; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10527 = _T_10526 | _T_10306; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10306 = _T_4655 & ic_tag_valid_out_1_33; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10527 = _T_10526 | _T_10306; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_34; // @[Reg.scala 27:20] - wire _T_10308 = _T_4659 & ic_tag_valid_out_1_34; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10528 = _T_10527 | _T_10308; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10308 = _T_4659 & ic_tag_valid_out_1_34; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10528 = _T_10527 | _T_10308; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_35; // @[Reg.scala 27:20] - wire _T_10310 = _T_4663 & ic_tag_valid_out_1_35; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10529 = _T_10528 | _T_10310; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10310 = _T_4663 & ic_tag_valid_out_1_35; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10529 = _T_10528 | _T_10310; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_36; // @[Reg.scala 27:20] - wire _T_10312 = _T_4667 & ic_tag_valid_out_1_36; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10530 = _T_10529 | _T_10312; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10312 = _T_4667 & ic_tag_valid_out_1_36; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10530 = _T_10529 | _T_10312; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_37; // @[Reg.scala 27:20] - wire _T_10314 = _T_4671 & ic_tag_valid_out_1_37; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10531 = _T_10530 | _T_10314; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10314 = _T_4671 & ic_tag_valid_out_1_37; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10531 = _T_10530 | _T_10314; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_38; // @[Reg.scala 27:20] - wire _T_10316 = _T_4675 & ic_tag_valid_out_1_38; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10532 = _T_10531 | _T_10316; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10316 = _T_4675 & ic_tag_valid_out_1_38; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10532 = _T_10531 | _T_10316; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_39; // @[Reg.scala 27:20] - wire _T_10318 = _T_4679 & ic_tag_valid_out_1_39; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10533 = _T_10532 | _T_10318; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10318 = _T_4679 & ic_tag_valid_out_1_39; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10533 = _T_10532 | _T_10318; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_40; // @[Reg.scala 27:20] - wire _T_10320 = _T_4683 & ic_tag_valid_out_1_40; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10534 = _T_10533 | _T_10320; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10320 = _T_4683 & ic_tag_valid_out_1_40; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10534 = _T_10533 | _T_10320; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_41; // @[Reg.scala 27:20] - wire _T_10322 = _T_4687 & ic_tag_valid_out_1_41; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10535 = _T_10534 | _T_10322; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10322 = _T_4687 & ic_tag_valid_out_1_41; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10535 = _T_10534 | _T_10322; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_42; // @[Reg.scala 27:20] - wire _T_10324 = _T_4691 & ic_tag_valid_out_1_42; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10536 = _T_10535 | _T_10324; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10324 = _T_4691 & ic_tag_valid_out_1_42; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10536 = _T_10535 | _T_10324; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_43; // @[Reg.scala 27:20] - wire _T_10326 = _T_4695 & ic_tag_valid_out_1_43; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10537 = _T_10536 | _T_10326; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10326 = _T_4695 & ic_tag_valid_out_1_43; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10537 = _T_10536 | _T_10326; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_44; // @[Reg.scala 27:20] - wire _T_10328 = _T_4699 & ic_tag_valid_out_1_44; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10538 = _T_10537 | _T_10328; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10328 = _T_4699 & ic_tag_valid_out_1_44; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10538 = _T_10537 | _T_10328; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_45; // @[Reg.scala 27:20] - wire _T_10330 = _T_4703 & ic_tag_valid_out_1_45; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10539 = _T_10538 | _T_10330; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10330 = _T_4703 & ic_tag_valid_out_1_45; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10539 = _T_10538 | _T_10330; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_46; // @[Reg.scala 27:20] - wire _T_10332 = _T_4707 & ic_tag_valid_out_1_46; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10540 = _T_10539 | _T_10332; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10332 = _T_4707 & ic_tag_valid_out_1_46; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10540 = _T_10539 | _T_10332; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_47; // @[Reg.scala 27:20] - wire _T_10334 = _T_4711 & ic_tag_valid_out_1_47; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10541 = _T_10540 | _T_10334; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10334 = _T_4711 & ic_tag_valid_out_1_47; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10541 = _T_10540 | _T_10334; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_48; // @[Reg.scala 27:20] - wire _T_10336 = _T_4715 & ic_tag_valid_out_1_48; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10542 = _T_10541 | _T_10336; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10336 = _T_4715 & ic_tag_valid_out_1_48; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10542 = _T_10541 | _T_10336; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_49; // @[Reg.scala 27:20] - wire _T_10338 = _T_4719 & ic_tag_valid_out_1_49; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10543 = _T_10542 | _T_10338; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10338 = _T_4719 & ic_tag_valid_out_1_49; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10543 = _T_10542 | _T_10338; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_50; // @[Reg.scala 27:20] - wire _T_10340 = _T_4723 & ic_tag_valid_out_1_50; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10544 = _T_10543 | _T_10340; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10340 = _T_4723 & ic_tag_valid_out_1_50; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10544 = _T_10543 | _T_10340; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_51; // @[Reg.scala 27:20] - wire _T_10342 = _T_4727 & ic_tag_valid_out_1_51; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10545 = _T_10544 | _T_10342; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10342 = _T_4727 & ic_tag_valid_out_1_51; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10545 = _T_10544 | _T_10342; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_52; // @[Reg.scala 27:20] - wire _T_10344 = _T_4731 & ic_tag_valid_out_1_52; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10546 = _T_10545 | _T_10344; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10344 = _T_4731 & ic_tag_valid_out_1_52; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10546 = _T_10545 | _T_10344; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_53; // @[Reg.scala 27:20] - wire _T_10346 = _T_4735 & ic_tag_valid_out_1_53; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10547 = _T_10546 | _T_10346; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10346 = _T_4735 & ic_tag_valid_out_1_53; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10547 = _T_10546 | _T_10346; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_54; // @[Reg.scala 27:20] - wire _T_10348 = _T_4739 & ic_tag_valid_out_1_54; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10548 = _T_10547 | _T_10348; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10348 = _T_4739 & ic_tag_valid_out_1_54; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10548 = _T_10547 | _T_10348; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_55; // @[Reg.scala 27:20] - wire _T_10350 = _T_4743 & ic_tag_valid_out_1_55; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10549 = _T_10548 | _T_10350; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10350 = _T_4743 & ic_tag_valid_out_1_55; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10549 = _T_10548 | _T_10350; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_56; // @[Reg.scala 27:20] - wire _T_10352 = _T_4747 & ic_tag_valid_out_1_56; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10550 = _T_10549 | _T_10352; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10352 = _T_4747 & ic_tag_valid_out_1_56; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10550 = _T_10549 | _T_10352; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_57; // @[Reg.scala 27:20] - wire _T_10354 = _T_4751 & ic_tag_valid_out_1_57; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10551 = _T_10550 | _T_10354; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10354 = _T_4751 & ic_tag_valid_out_1_57; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10551 = _T_10550 | _T_10354; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_58; // @[Reg.scala 27:20] - wire _T_10356 = _T_4755 & ic_tag_valid_out_1_58; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10552 = _T_10551 | _T_10356; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10356 = _T_4755 & ic_tag_valid_out_1_58; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10552 = _T_10551 | _T_10356; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_59; // @[Reg.scala 27:20] - wire _T_10358 = _T_4759 & ic_tag_valid_out_1_59; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10553 = _T_10552 | _T_10358; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10358 = _T_4759 & ic_tag_valid_out_1_59; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10553 = _T_10552 | _T_10358; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_60; // @[Reg.scala 27:20] - wire _T_10360 = _T_4763 & ic_tag_valid_out_1_60; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10554 = _T_10553 | _T_10360; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10360 = _T_4763 & ic_tag_valid_out_1_60; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10554 = _T_10553 | _T_10360; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_61; // @[Reg.scala 27:20] - wire _T_10362 = _T_4767 & ic_tag_valid_out_1_61; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10555 = _T_10554 | _T_10362; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10362 = _T_4767 & ic_tag_valid_out_1_61; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10555 = _T_10554 | _T_10362; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_62; // @[Reg.scala 27:20] - wire _T_10364 = _T_4771 & ic_tag_valid_out_1_62; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10556 = _T_10555 | _T_10364; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10364 = _T_4771 & ic_tag_valid_out_1_62; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10556 = _T_10555 | _T_10364; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_63; // @[Reg.scala 27:20] - wire _T_10366 = _T_4775 & ic_tag_valid_out_1_63; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10557 = _T_10556 | _T_10366; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10366 = _T_4775 & ic_tag_valid_out_1_63; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10557 = _T_10556 | _T_10366; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_64; // @[Reg.scala 27:20] - wire _T_10368 = _T_4779 & ic_tag_valid_out_1_64; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10558 = _T_10557 | _T_10368; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10368 = _T_4779 & ic_tag_valid_out_1_64; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10558 = _T_10557 | _T_10368; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_65; // @[Reg.scala 27:20] - wire _T_10370 = _T_4783 & ic_tag_valid_out_1_65; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10559 = _T_10558 | _T_10370; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10370 = _T_4783 & ic_tag_valid_out_1_65; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10559 = _T_10558 | _T_10370; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_66; // @[Reg.scala 27:20] - wire _T_10372 = _T_4787 & ic_tag_valid_out_1_66; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10560 = _T_10559 | _T_10372; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10372 = _T_4787 & ic_tag_valid_out_1_66; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10560 = _T_10559 | _T_10372; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_67; // @[Reg.scala 27:20] - wire _T_10374 = _T_4791 & ic_tag_valid_out_1_67; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10561 = _T_10560 | _T_10374; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10374 = _T_4791 & ic_tag_valid_out_1_67; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10561 = _T_10560 | _T_10374; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_68; // @[Reg.scala 27:20] - wire _T_10376 = _T_4795 & ic_tag_valid_out_1_68; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10562 = _T_10561 | _T_10376; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10376 = _T_4795 & ic_tag_valid_out_1_68; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10562 = _T_10561 | _T_10376; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_69; // @[Reg.scala 27:20] - wire _T_10378 = _T_4799 & ic_tag_valid_out_1_69; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10563 = _T_10562 | _T_10378; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10378 = _T_4799 & ic_tag_valid_out_1_69; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10563 = _T_10562 | _T_10378; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_70; // @[Reg.scala 27:20] - wire _T_10380 = _T_4803 & ic_tag_valid_out_1_70; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10564 = _T_10563 | _T_10380; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10380 = _T_4803 & ic_tag_valid_out_1_70; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10564 = _T_10563 | _T_10380; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_71; // @[Reg.scala 27:20] - wire _T_10382 = _T_4807 & ic_tag_valid_out_1_71; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10565 = _T_10564 | _T_10382; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10382 = _T_4807 & ic_tag_valid_out_1_71; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10565 = _T_10564 | _T_10382; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_72; // @[Reg.scala 27:20] - wire _T_10384 = _T_4811 & ic_tag_valid_out_1_72; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10566 = _T_10565 | _T_10384; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10384 = _T_4811 & ic_tag_valid_out_1_72; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10566 = _T_10565 | _T_10384; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_73; // @[Reg.scala 27:20] - wire _T_10386 = _T_4815 & ic_tag_valid_out_1_73; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10567 = _T_10566 | _T_10386; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10386 = _T_4815 & ic_tag_valid_out_1_73; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10567 = _T_10566 | _T_10386; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_74; // @[Reg.scala 27:20] - wire _T_10388 = _T_4819 & ic_tag_valid_out_1_74; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10568 = _T_10567 | _T_10388; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10388 = _T_4819 & ic_tag_valid_out_1_74; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10568 = _T_10567 | _T_10388; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_75; // @[Reg.scala 27:20] - wire _T_10390 = _T_4823 & ic_tag_valid_out_1_75; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10569 = _T_10568 | _T_10390; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10390 = _T_4823 & ic_tag_valid_out_1_75; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10569 = _T_10568 | _T_10390; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_76; // @[Reg.scala 27:20] - wire _T_10392 = _T_4827 & ic_tag_valid_out_1_76; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10570 = _T_10569 | _T_10392; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10392 = _T_4827 & ic_tag_valid_out_1_76; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10570 = _T_10569 | _T_10392; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_77; // @[Reg.scala 27:20] - wire _T_10394 = _T_4831 & ic_tag_valid_out_1_77; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10571 = _T_10570 | _T_10394; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10394 = _T_4831 & ic_tag_valid_out_1_77; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10571 = _T_10570 | _T_10394; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_78; // @[Reg.scala 27:20] - wire _T_10396 = _T_4835 & ic_tag_valid_out_1_78; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10572 = _T_10571 | _T_10396; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10396 = _T_4835 & ic_tag_valid_out_1_78; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10572 = _T_10571 | _T_10396; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_79; // @[Reg.scala 27:20] - wire _T_10398 = _T_4839 & ic_tag_valid_out_1_79; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10573 = _T_10572 | _T_10398; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10398 = _T_4839 & ic_tag_valid_out_1_79; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10573 = _T_10572 | _T_10398; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_80; // @[Reg.scala 27:20] - wire _T_10400 = _T_4843 & ic_tag_valid_out_1_80; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10574 = _T_10573 | _T_10400; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10400 = _T_4843 & ic_tag_valid_out_1_80; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10574 = _T_10573 | _T_10400; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_81; // @[Reg.scala 27:20] - wire _T_10402 = _T_4847 & ic_tag_valid_out_1_81; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10575 = _T_10574 | _T_10402; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10402 = _T_4847 & ic_tag_valid_out_1_81; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10575 = _T_10574 | _T_10402; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_82; // @[Reg.scala 27:20] - wire _T_10404 = _T_4851 & ic_tag_valid_out_1_82; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10576 = _T_10575 | _T_10404; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10404 = _T_4851 & ic_tag_valid_out_1_82; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10576 = _T_10575 | _T_10404; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_83; // @[Reg.scala 27:20] - wire _T_10406 = _T_4855 & ic_tag_valid_out_1_83; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10577 = _T_10576 | _T_10406; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10406 = _T_4855 & ic_tag_valid_out_1_83; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10577 = _T_10576 | _T_10406; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_84; // @[Reg.scala 27:20] - wire _T_10408 = _T_4859 & ic_tag_valid_out_1_84; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10578 = _T_10577 | _T_10408; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10408 = _T_4859 & ic_tag_valid_out_1_84; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10578 = _T_10577 | _T_10408; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_85; // @[Reg.scala 27:20] - wire _T_10410 = _T_4863 & ic_tag_valid_out_1_85; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10579 = _T_10578 | _T_10410; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10410 = _T_4863 & ic_tag_valid_out_1_85; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10579 = _T_10578 | _T_10410; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_86; // @[Reg.scala 27:20] - wire _T_10412 = _T_4867 & ic_tag_valid_out_1_86; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10580 = _T_10579 | _T_10412; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10412 = _T_4867 & ic_tag_valid_out_1_86; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10580 = _T_10579 | _T_10412; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_87; // @[Reg.scala 27:20] - wire _T_10414 = _T_4871 & ic_tag_valid_out_1_87; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10581 = _T_10580 | _T_10414; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10414 = _T_4871 & ic_tag_valid_out_1_87; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10581 = _T_10580 | _T_10414; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_88; // @[Reg.scala 27:20] - wire _T_10416 = _T_4875 & ic_tag_valid_out_1_88; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10582 = _T_10581 | _T_10416; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10416 = _T_4875 & ic_tag_valid_out_1_88; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10582 = _T_10581 | _T_10416; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_89; // @[Reg.scala 27:20] - wire _T_10418 = _T_4879 & ic_tag_valid_out_1_89; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10583 = _T_10582 | _T_10418; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10418 = _T_4879 & ic_tag_valid_out_1_89; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10583 = _T_10582 | _T_10418; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_90; // @[Reg.scala 27:20] - wire _T_10420 = _T_4883 & ic_tag_valid_out_1_90; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10584 = _T_10583 | _T_10420; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10420 = _T_4883 & ic_tag_valid_out_1_90; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10584 = _T_10583 | _T_10420; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_91; // @[Reg.scala 27:20] - wire _T_10422 = _T_4887 & ic_tag_valid_out_1_91; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10585 = _T_10584 | _T_10422; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10422 = _T_4887 & ic_tag_valid_out_1_91; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10585 = _T_10584 | _T_10422; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_92; // @[Reg.scala 27:20] - wire _T_10424 = _T_4891 & ic_tag_valid_out_1_92; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10586 = _T_10585 | _T_10424; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10424 = _T_4891 & ic_tag_valid_out_1_92; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10586 = _T_10585 | _T_10424; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_93; // @[Reg.scala 27:20] - wire _T_10426 = _T_4895 & ic_tag_valid_out_1_93; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10587 = _T_10586 | _T_10426; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10426 = _T_4895 & ic_tag_valid_out_1_93; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10587 = _T_10586 | _T_10426; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_94; // @[Reg.scala 27:20] - wire _T_10428 = _T_4899 & ic_tag_valid_out_1_94; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10588 = _T_10587 | _T_10428; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10428 = _T_4899 & ic_tag_valid_out_1_94; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10588 = _T_10587 | _T_10428; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_95; // @[Reg.scala 27:20] - wire _T_10430 = _T_4903 & ic_tag_valid_out_1_95; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10589 = _T_10588 | _T_10430; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10430 = _T_4903 & ic_tag_valid_out_1_95; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10589 = _T_10588 | _T_10430; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_96; // @[Reg.scala 27:20] - wire _T_10432 = _T_4907 & ic_tag_valid_out_1_96; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10590 = _T_10589 | _T_10432; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10432 = _T_4907 & ic_tag_valid_out_1_96; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10590 = _T_10589 | _T_10432; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_97; // @[Reg.scala 27:20] - wire _T_10434 = _T_4911 & ic_tag_valid_out_1_97; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10591 = _T_10590 | _T_10434; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10434 = _T_4911 & ic_tag_valid_out_1_97; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10591 = _T_10590 | _T_10434; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_98; // @[Reg.scala 27:20] - wire _T_10436 = _T_4915 & ic_tag_valid_out_1_98; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10592 = _T_10591 | _T_10436; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10436 = _T_4915 & ic_tag_valid_out_1_98; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10592 = _T_10591 | _T_10436; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_99; // @[Reg.scala 27:20] - wire _T_10438 = _T_4919 & ic_tag_valid_out_1_99; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10593 = _T_10592 | _T_10438; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10438 = _T_4919 & ic_tag_valid_out_1_99; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10593 = _T_10592 | _T_10438; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_100; // @[Reg.scala 27:20] - wire _T_10440 = _T_4923 & ic_tag_valid_out_1_100; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10594 = _T_10593 | _T_10440; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10440 = _T_4923 & ic_tag_valid_out_1_100; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10594 = _T_10593 | _T_10440; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_101; // @[Reg.scala 27:20] - wire _T_10442 = _T_4927 & ic_tag_valid_out_1_101; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10595 = _T_10594 | _T_10442; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10442 = _T_4927 & ic_tag_valid_out_1_101; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10595 = _T_10594 | _T_10442; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_102; // @[Reg.scala 27:20] - wire _T_10444 = _T_4931 & ic_tag_valid_out_1_102; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10596 = _T_10595 | _T_10444; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10444 = _T_4931 & ic_tag_valid_out_1_102; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10596 = _T_10595 | _T_10444; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_103; // @[Reg.scala 27:20] - wire _T_10446 = _T_4935 & ic_tag_valid_out_1_103; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10597 = _T_10596 | _T_10446; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10446 = _T_4935 & ic_tag_valid_out_1_103; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10597 = _T_10596 | _T_10446; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_104; // @[Reg.scala 27:20] - wire _T_10448 = _T_4939 & ic_tag_valid_out_1_104; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10598 = _T_10597 | _T_10448; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10448 = _T_4939 & ic_tag_valid_out_1_104; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10598 = _T_10597 | _T_10448; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_105; // @[Reg.scala 27:20] - wire _T_10450 = _T_4943 & ic_tag_valid_out_1_105; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10599 = _T_10598 | _T_10450; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10450 = _T_4943 & ic_tag_valid_out_1_105; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10599 = _T_10598 | _T_10450; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_106; // @[Reg.scala 27:20] - wire _T_10452 = _T_4947 & ic_tag_valid_out_1_106; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10600 = _T_10599 | _T_10452; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10452 = _T_4947 & ic_tag_valid_out_1_106; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10600 = _T_10599 | _T_10452; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_107; // @[Reg.scala 27:20] - wire _T_10454 = _T_4951 & ic_tag_valid_out_1_107; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10601 = _T_10600 | _T_10454; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10454 = _T_4951 & ic_tag_valid_out_1_107; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10601 = _T_10600 | _T_10454; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_108; // @[Reg.scala 27:20] - wire _T_10456 = _T_4955 & ic_tag_valid_out_1_108; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10602 = _T_10601 | _T_10456; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10456 = _T_4955 & ic_tag_valid_out_1_108; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10602 = _T_10601 | _T_10456; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_109; // @[Reg.scala 27:20] - wire _T_10458 = _T_4959 & ic_tag_valid_out_1_109; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10603 = _T_10602 | _T_10458; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10458 = _T_4959 & ic_tag_valid_out_1_109; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10603 = _T_10602 | _T_10458; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_110; // @[Reg.scala 27:20] - wire _T_10460 = _T_4963 & ic_tag_valid_out_1_110; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10604 = _T_10603 | _T_10460; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10460 = _T_4963 & ic_tag_valid_out_1_110; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10604 = _T_10603 | _T_10460; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_111; // @[Reg.scala 27:20] - wire _T_10462 = _T_4967 & ic_tag_valid_out_1_111; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10605 = _T_10604 | _T_10462; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10462 = _T_4967 & ic_tag_valid_out_1_111; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10605 = _T_10604 | _T_10462; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_112; // @[Reg.scala 27:20] - wire _T_10464 = _T_4971 & ic_tag_valid_out_1_112; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10606 = _T_10605 | _T_10464; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10464 = _T_4971 & ic_tag_valid_out_1_112; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10606 = _T_10605 | _T_10464; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_113; // @[Reg.scala 27:20] - wire _T_10466 = _T_4975 & ic_tag_valid_out_1_113; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10607 = _T_10606 | _T_10466; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10466 = _T_4975 & ic_tag_valid_out_1_113; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10607 = _T_10606 | _T_10466; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_114; // @[Reg.scala 27:20] - wire _T_10468 = _T_4979 & ic_tag_valid_out_1_114; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10608 = _T_10607 | _T_10468; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10468 = _T_4979 & ic_tag_valid_out_1_114; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10608 = _T_10607 | _T_10468; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_115; // @[Reg.scala 27:20] - wire _T_10470 = _T_4983 & ic_tag_valid_out_1_115; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10609 = _T_10608 | _T_10470; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10470 = _T_4983 & ic_tag_valid_out_1_115; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10609 = _T_10608 | _T_10470; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_116; // @[Reg.scala 27:20] - wire _T_10472 = _T_4987 & ic_tag_valid_out_1_116; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10610 = _T_10609 | _T_10472; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10472 = _T_4987 & ic_tag_valid_out_1_116; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10610 = _T_10609 | _T_10472; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_117; // @[Reg.scala 27:20] - wire _T_10474 = _T_4991 & ic_tag_valid_out_1_117; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10611 = _T_10610 | _T_10474; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10474 = _T_4991 & ic_tag_valid_out_1_117; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10611 = _T_10610 | _T_10474; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_118; // @[Reg.scala 27:20] - wire _T_10476 = _T_4995 & ic_tag_valid_out_1_118; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10612 = _T_10611 | _T_10476; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10476 = _T_4995 & ic_tag_valid_out_1_118; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10612 = _T_10611 | _T_10476; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_119; // @[Reg.scala 27:20] - wire _T_10478 = _T_4999 & ic_tag_valid_out_1_119; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10613 = _T_10612 | _T_10478; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10478 = _T_4999 & ic_tag_valid_out_1_119; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10613 = _T_10612 | _T_10478; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_120; // @[Reg.scala 27:20] - wire _T_10480 = _T_5003 & ic_tag_valid_out_1_120; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10614 = _T_10613 | _T_10480; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10480 = _T_5003 & ic_tag_valid_out_1_120; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10614 = _T_10613 | _T_10480; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_121; // @[Reg.scala 27:20] - wire _T_10482 = _T_5007 & ic_tag_valid_out_1_121; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10615 = _T_10614 | _T_10482; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10482 = _T_5007 & ic_tag_valid_out_1_121; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10615 = _T_10614 | _T_10482; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_122; // @[Reg.scala 27:20] - wire _T_10484 = _T_5011 & ic_tag_valid_out_1_122; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10616 = _T_10615 | _T_10484; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10484 = _T_5011 & ic_tag_valid_out_1_122; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10616 = _T_10615 | _T_10484; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_123; // @[Reg.scala 27:20] - wire _T_10486 = _T_5015 & ic_tag_valid_out_1_123; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10617 = _T_10616 | _T_10486; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10486 = _T_5015 & ic_tag_valid_out_1_123; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10617 = _T_10616 | _T_10486; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_124; // @[Reg.scala 27:20] - wire _T_10488 = _T_5019 & ic_tag_valid_out_1_124; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10618 = _T_10617 | _T_10488; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10488 = _T_5019 & ic_tag_valid_out_1_124; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10618 = _T_10617 | _T_10488; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_125; // @[Reg.scala 27:20] - wire _T_10490 = _T_5023 & ic_tag_valid_out_1_125; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10619 = _T_10618 | _T_10490; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10490 = _T_5023 & ic_tag_valid_out_1_125; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10619 = _T_10618 | _T_10490; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_126; // @[Reg.scala 27:20] - wire _T_10492 = _T_5027 & ic_tag_valid_out_1_126; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10620 = _T_10619 | _T_10492; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10492 = _T_5027 & ic_tag_valid_out_1_126; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10620 = _T_10619 | _T_10492; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_1_127; // @[Reg.scala 27:20] - wire _T_10494 = _T_5031 & ic_tag_valid_out_1_127; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10621 = _T_10620 | _T_10494; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10494 = _T_5031 & ic_tag_valid_out_1_127; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10621 = _T_10620 | _T_10494; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_0; // @[Reg.scala 27:20] - wire _T_9857 = _T_4523 & ic_tag_valid_out_0_0; // @[el2_ifu_mem_ctl.scala 754:10] + wire _T_9857 = _T_4523 & ic_tag_valid_out_0_0; // @[el2_ifu_mem_ctl.scala 755:10] reg ic_tag_valid_out_0_1; // @[Reg.scala 27:20] - wire _T_9859 = _T_4527 & ic_tag_valid_out_0_1; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10112 = _T_9857 | _T_9859; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9859 = _T_4527 & ic_tag_valid_out_0_1; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10112 = _T_9857 | _T_9859; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_2; // @[Reg.scala 27:20] - wire _T_9861 = _T_4531 & ic_tag_valid_out_0_2; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10113 = _T_10112 | _T_9861; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9861 = _T_4531 & ic_tag_valid_out_0_2; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10113 = _T_10112 | _T_9861; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_3; // @[Reg.scala 27:20] - wire _T_9863 = _T_4535 & ic_tag_valid_out_0_3; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10114 = _T_10113 | _T_9863; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9863 = _T_4535 & ic_tag_valid_out_0_3; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10114 = _T_10113 | _T_9863; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_4; // @[Reg.scala 27:20] - wire _T_9865 = _T_4539 & ic_tag_valid_out_0_4; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10115 = _T_10114 | _T_9865; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9865 = _T_4539 & ic_tag_valid_out_0_4; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10115 = _T_10114 | _T_9865; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_5; // @[Reg.scala 27:20] - wire _T_9867 = _T_4543 & ic_tag_valid_out_0_5; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10116 = _T_10115 | _T_9867; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9867 = _T_4543 & ic_tag_valid_out_0_5; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10116 = _T_10115 | _T_9867; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_6; // @[Reg.scala 27:20] - wire _T_9869 = _T_4547 & ic_tag_valid_out_0_6; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10117 = _T_10116 | _T_9869; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9869 = _T_4547 & ic_tag_valid_out_0_6; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10117 = _T_10116 | _T_9869; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_7; // @[Reg.scala 27:20] - wire _T_9871 = _T_4551 & ic_tag_valid_out_0_7; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10118 = _T_10117 | _T_9871; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9871 = _T_4551 & ic_tag_valid_out_0_7; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10118 = _T_10117 | _T_9871; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_8; // @[Reg.scala 27:20] - wire _T_9873 = _T_4555 & ic_tag_valid_out_0_8; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10119 = _T_10118 | _T_9873; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9873 = _T_4555 & ic_tag_valid_out_0_8; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10119 = _T_10118 | _T_9873; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_9; // @[Reg.scala 27:20] - wire _T_9875 = _T_4559 & ic_tag_valid_out_0_9; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10120 = _T_10119 | _T_9875; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9875 = _T_4559 & ic_tag_valid_out_0_9; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10120 = _T_10119 | _T_9875; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_10; // @[Reg.scala 27:20] - wire _T_9877 = _T_4563 & ic_tag_valid_out_0_10; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10121 = _T_10120 | _T_9877; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9877 = _T_4563 & ic_tag_valid_out_0_10; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10121 = _T_10120 | _T_9877; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_11; // @[Reg.scala 27:20] - wire _T_9879 = _T_4567 & ic_tag_valid_out_0_11; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10122 = _T_10121 | _T_9879; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9879 = _T_4567 & ic_tag_valid_out_0_11; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10122 = _T_10121 | _T_9879; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_12; // @[Reg.scala 27:20] - wire _T_9881 = _T_4571 & ic_tag_valid_out_0_12; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10123 = _T_10122 | _T_9881; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9881 = _T_4571 & ic_tag_valid_out_0_12; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10123 = _T_10122 | _T_9881; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_13; // @[Reg.scala 27:20] - wire _T_9883 = _T_4575 & ic_tag_valid_out_0_13; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10124 = _T_10123 | _T_9883; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9883 = _T_4575 & ic_tag_valid_out_0_13; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10124 = _T_10123 | _T_9883; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_14; // @[Reg.scala 27:20] - wire _T_9885 = _T_4579 & ic_tag_valid_out_0_14; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10125 = _T_10124 | _T_9885; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9885 = _T_4579 & ic_tag_valid_out_0_14; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10125 = _T_10124 | _T_9885; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_15; // @[Reg.scala 27:20] - wire _T_9887 = _T_4583 & ic_tag_valid_out_0_15; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10126 = _T_10125 | _T_9887; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9887 = _T_4583 & ic_tag_valid_out_0_15; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10126 = _T_10125 | _T_9887; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_16; // @[Reg.scala 27:20] - wire _T_9889 = _T_4587 & ic_tag_valid_out_0_16; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10127 = _T_10126 | _T_9889; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9889 = _T_4587 & ic_tag_valid_out_0_16; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10127 = _T_10126 | _T_9889; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_17; // @[Reg.scala 27:20] - wire _T_9891 = _T_4591 & ic_tag_valid_out_0_17; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10128 = _T_10127 | _T_9891; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9891 = _T_4591 & ic_tag_valid_out_0_17; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10128 = _T_10127 | _T_9891; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_18; // @[Reg.scala 27:20] - wire _T_9893 = _T_4595 & ic_tag_valid_out_0_18; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10129 = _T_10128 | _T_9893; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9893 = _T_4595 & ic_tag_valid_out_0_18; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10129 = _T_10128 | _T_9893; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_19; // @[Reg.scala 27:20] - wire _T_9895 = _T_4599 & ic_tag_valid_out_0_19; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10130 = _T_10129 | _T_9895; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9895 = _T_4599 & ic_tag_valid_out_0_19; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10130 = _T_10129 | _T_9895; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_20; // @[Reg.scala 27:20] - wire _T_9897 = _T_4603 & ic_tag_valid_out_0_20; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10131 = _T_10130 | _T_9897; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9897 = _T_4603 & ic_tag_valid_out_0_20; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10131 = _T_10130 | _T_9897; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_21; // @[Reg.scala 27:20] - wire _T_9899 = _T_4607 & ic_tag_valid_out_0_21; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10132 = _T_10131 | _T_9899; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9899 = _T_4607 & ic_tag_valid_out_0_21; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10132 = _T_10131 | _T_9899; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_22; // @[Reg.scala 27:20] - wire _T_9901 = _T_4611 & ic_tag_valid_out_0_22; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10133 = _T_10132 | _T_9901; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9901 = _T_4611 & ic_tag_valid_out_0_22; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10133 = _T_10132 | _T_9901; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_23; // @[Reg.scala 27:20] - wire _T_9903 = _T_4615 & ic_tag_valid_out_0_23; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10134 = _T_10133 | _T_9903; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9903 = _T_4615 & ic_tag_valid_out_0_23; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10134 = _T_10133 | _T_9903; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_24; // @[Reg.scala 27:20] - wire _T_9905 = _T_4619 & ic_tag_valid_out_0_24; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10135 = _T_10134 | _T_9905; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9905 = _T_4619 & ic_tag_valid_out_0_24; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10135 = _T_10134 | _T_9905; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_25; // @[Reg.scala 27:20] - wire _T_9907 = _T_4623 & ic_tag_valid_out_0_25; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10136 = _T_10135 | _T_9907; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9907 = _T_4623 & ic_tag_valid_out_0_25; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10136 = _T_10135 | _T_9907; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_26; // @[Reg.scala 27:20] - wire _T_9909 = _T_4627 & ic_tag_valid_out_0_26; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10137 = _T_10136 | _T_9909; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9909 = _T_4627 & ic_tag_valid_out_0_26; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10137 = _T_10136 | _T_9909; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_27; // @[Reg.scala 27:20] - wire _T_9911 = _T_4631 & ic_tag_valid_out_0_27; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10138 = _T_10137 | _T_9911; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9911 = _T_4631 & ic_tag_valid_out_0_27; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10138 = _T_10137 | _T_9911; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_28; // @[Reg.scala 27:20] - wire _T_9913 = _T_4635 & ic_tag_valid_out_0_28; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10139 = _T_10138 | _T_9913; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9913 = _T_4635 & ic_tag_valid_out_0_28; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10139 = _T_10138 | _T_9913; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_29; // @[Reg.scala 27:20] - wire _T_9915 = _T_4639 & ic_tag_valid_out_0_29; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10140 = _T_10139 | _T_9915; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9915 = _T_4639 & ic_tag_valid_out_0_29; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10140 = _T_10139 | _T_9915; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_30; // @[Reg.scala 27:20] - wire _T_9917 = _T_4643 & ic_tag_valid_out_0_30; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10141 = _T_10140 | _T_9917; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9917 = _T_4643 & ic_tag_valid_out_0_30; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10141 = _T_10140 | _T_9917; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_31; // @[Reg.scala 27:20] - wire _T_9919 = _T_4647 & ic_tag_valid_out_0_31; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10142 = _T_10141 | _T_9919; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9919 = _T_4647 & ic_tag_valid_out_0_31; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10142 = _T_10141 | _T_9919; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_32; // @[Reg.scala 27:20] - wire _T_9921 = _T_4651 & ic_tag_valid_out_0_32; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10143 = _T_10142 | _T_9921; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9921 = _T_4651 & ic_tag_valid_out_0_32; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10143 = _T_10142 | _T_9921; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_33; // @[Reg.scala 27:20] - wire _T_9923 = _T_4655 & ic_tag_valid_out_0_33; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10144 = _T_10143 | _T_9923; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9923 = _T_4655 & ic_tag_valid_out_0_33; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10144 = _T_10143 | _T_9923; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_34; // @[Reg.scala 27:20] - wire _T_9925 = _T_4659 & ic_tag_valid_out_0_34; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10145 = _T_10144 | _T_9925; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9925 = _T_4659 & ic_tag_valid_out_0_34; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10145 = _T_10144 | _T_9925; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_35; // @[Reg.scala 27:20] - wire _T_9927 = _T_4663 & ic_tag_valid_out_0_35; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10146 = _T_10145 | _T_9927; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9927 = _T_4663 & ic_tag_valid_out_0_35; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10146 = _T_10145 | _T_9927; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_36; // @[Reg.scala 27:20] - wire _T_9929 = _T_4667 & ic_tag_valid_out_0_36; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10147 = _T_10146 | _T_9929; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9929 = _T_4667 & ic_tag_valid_out_0_36; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10147 = _T_10146 | _T_9929; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_37; // @[Reg.scala 27:20] - wire _T_9931 = _T_4671 & ic_tag_valid_out_0_37; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10148 = _T_10147 | _T_9931; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9931 = _T_4671 & ic_tag_valid_out_0_37; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10148 = _T_10147 | _T_9931; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_38; // @[Reg.scala 27:20] - wire _T_9933 = _T_4675 & ic_tag_valid_out_0_38; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10149 = _T_10148 | _T_9933; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9933 = _T_4675 & ic_tag_valid_out_0_38; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10149 = _T_10148 | _T_9933; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_39; // @[Reg.scala 27:20] - wire _T_9935 = _T_4679 & ic_tag_valid_out_0_39; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10150 = _T_10149 | _T_9935; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9935 = _T_4679 & ic_tag_valid_out_0_39; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10150 = _T_10149 | _T_9935; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_40; // @[Reg.scala 27:20] - wire _T_9937 = _T_4683 & ic_tag_valid_out_0_40; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10151 = _T_10150 | _T_9937; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9937 = _T_4683 & ic_tag_valid_out_0_40; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10151 = _T_10150 | _T_9937; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_41; // @[Reg.scala 27:20] - wire _T_9939 = _T_4687 & ic_tag_valid_out_0_41; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10152 = _T_10151 | _T_9939; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9939 = _T_4687 & ic_tag_valid_out_0_41; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10152 = _T_10151 | _T_9939; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_42; // @[Reg.scala 27:20] - wire _T_9941 = _T_4691 & ic_tag_valid_out_0_42; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10153 = _T_10152 | _T_9941; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9941 = _T_4691 & ic_tag_valid_out_0_42; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10153 = _T_10152 | _T_9941; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_43; // @[Reg.scala 27:20] - wire _T_9943 = _T_4695 & ic_tag_valid_out_0_43; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10154 = _T_10153 | _T_9943; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9943 = _T_4695 & ic_tag_valid_out_0_43; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10154 = _T_10153 | _T_9943; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_44; // @[Reg.scala 27:20] - wire _T_9945 = _T_4699 & ic_tag_valid_out_0_44; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10155 = _T_10154 | _T_9945; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9945 = _T_4699 & ic_tag_valid_out_0_44; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10155 = _T_10154 | _T_9945; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_45; // @[Reg.scala 27:20] - wire _T_9947 = _T_4703 & ic_tag_valid_out_0_45; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10156 = _T_10155 | _T_9947; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9947 = _T_4703 & ic_tag_valid_out_0_45; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10156 = _T_10155 | _T_9947; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_46; // @[Reg.scala 27:20] - wire _T_9949 = _T_4707 & ic_tag_valid_out_0_46; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10157 = _T_10156 | _T_9949; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9949 = _T_4707 & ic_tag_valid_out_0_46; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10157 = _T_10156 | _T_9949; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_47; // @[Reg.scala 27:20] - wire _T_9951 = _T_4711 & ic_tag_valid_out_0_47; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10158 = _T_10157 | _T_9951; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9951 = _T_4711 & ic_tag_valid_out_0_47; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10158 = _T_10157 | _T_9951; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_48; // @[Reg.scala 27:20] - wire _T_9953 = _T_4715 & ic_tag_valid_out_0_48; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10159 = _T_10158 | _T_9953; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9953 = _T_4715 & ic_tag_valid_out_0_48; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10159 = _T_10158 | _T_9953; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_49; // @[Reg.scala 27:20] - wire _T_9955 = _T_4719 & ic_tag_valid_out_0_49; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10160 = _T_10159 | _T_9955; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9955 = _T_4719 & ic_tag_valid_out_0_49; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10160 = _T_10159 | _T_9955; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_50; // @[Reg.scala 27:20] - wire _T_9957 = _T_4723 & ic_tag_valid_out_0_50; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10161 = _T_10160 | _T_9957; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9957 = _T_4723 & ic_tag_valid_out_0_50; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10161 = _T_10160 | _T_9957; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_51; // @[Reg.scala 27:20] - wire _T_9959 = _T_4727 & ic_tag_valid_out_0_51; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10162 = _T_10161 | _T_9959; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9959 = _T_4727 & ic_tag_valid_out_0_51; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10162 = _T_10161 | _T_9959; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_52; // @[Reg.scala 27:20] - wire _T_9961 = _T_4731 & ic_tag_valid_out_0_52; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10163 = _T_10162 | _T_9961; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9961 = _T_4731 & ic_tag_valid_out_0_52; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10163 = _T_10162 | _T_9961; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_53; // @[Reg.scala 27:20] - wire _T_9963 = _T_4735 & ic_tag_valid_out_0_53; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10164 = _T_10163 | _T_9963; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9963 = _T_4735 & ic_tag_valid_out_0_53; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10164 = _T_10163 | _T_9963; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_54; // @[Reg.scala 27:20] - wire _T_9965 = _T_4739 & ic_tag_valid_out_0_54; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10165 = _T_10164 | _T_9965; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9965 = _T_4739 & ic_tag_valid_out_0_54; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10165 = _T_10164 | _T_9965; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_55; // @[Reg.scala 27:20] - wire _T_9967 = _T_4743 & ic_tag_valid_out_0_55; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10166 = _T_10165 | _T_9967; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9967 = _T_4743 & ic_tag_valid_out_0_55; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10166 = _T_10165 | _T_9967; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_56; // @[Reg.scala 27:20] - wire _T_9969 = _T_4747 & ic_tag_valid_out_0_56; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10167 = _T_10166 | _T_9969; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9969 = _T_4747 & ic_tag_valid_out_0_56; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10167 = _T_10166 | _T_9969; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_57; // @[Reg.scala 27:20] - wire _T_9971 = _T_4751 & ic_tag_valid_out_0_57; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10168 = _T_10167 | _T_9971; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9971 = _T_4751 & ic_tag_valid_out_0_57; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10168 = _T_10167 | _T_9971; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_58; // @[Reg.scala 27:20] - wire _T_9973 = _T_4755 & ic_tag_valid_out_0_58; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10169 = _T_10168 | _T_9973; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9973 = _T_4755 & ic_tag_valid_out_0_58; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10169 = _T_10168 | _T_9973; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_59; // @[Reg.scala 27:20] - wire _T_9975 = _T_4759 & ic_tag_valid_out_0_59; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10170 = _T_10169 | _T_9975; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9975 = _T_4759 & ic_tag_valid_out_0_59; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10170 = _T_10169 | _T_9975; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_60; // @[Reg.scala 27:20] - wire _T_9977 = _T_4763 & ic_tag_valid_out_0_60; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10171 = _T_10170 | _T_9977; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9977 = _T_4763 & ic_tag_valid_out_0_60; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10171 = _T_10170 | _T_9977; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_61; // @[Reg.scala 27:20] - wire _T_9979 = _T_4767 & ic_tag_valid_out_0_61; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10172 = _T_10171 | _T_9979; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9979 = _T_4767 & ic_tag_valid_out_0_61; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10172 = _T_10171 | _T_9979; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_62; // @[Reg.scala 27:20] - wire _T_9981 = _T_4771 & ic_tag_valid_out_0_62; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10173 = _T_10172 | _T_9981; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9981 = _T_4771 & ic_tag_valid_out_0_62; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10173 = _T_10172 | _T_9981; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_63; // @[Reg.scala 27:20] - wire _T_9983 = _T_4775 & ic_tag_valid_out_0_63; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10174 = _T_10173 | _T_9983; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9983 = _T_4775 & ic_tag_valid_out_0_63; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10174 = _T_10173 | _T_9983; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_64; // @[Reg.scala 27:20] - wire _T_9985 = _T_4779 & ic_tag_valid_out_0_64; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10175 = _T_10174 | _T_9985; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9985 = _T_4779 & ic_tag_valid_out_0_64; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10175 = _T_10174 | _T_9985; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_65; // @[Reg.scala 27:20] - wire _T_9987 = _T_4783 & ic_tag_valid_out_0_65; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10176 = _T_10175 | _T_9987; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9987 = _T_4783 & ic_tag_valid_out_0_65; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10176 = _T_10175 | _T_9987; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_66; // @[Reg.scala 27:20] - wire _T_9989 = _T_4787 & ic_tag_valid_out_0_66; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10177 = _T_10176 | _T_9989; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9989 = _T_4787 & ic_tag_valid_out_0_66; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10177 = _T_10176 | _T_9989; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_67; // @[Reg.scala 27:20] - wire _T_9991 = _T_4791 & ic_tag_valid_out_0_67; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10178 = _T_10177 | _T_9991; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9991 = _T_4791 & ic_tag_valid_out_0_67; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10178 = _T_10177 | _T_9991; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_68; // @[Reg.scala 27:20] - wire _T_9993 = _T_4795 & ic_tag_valid_out_0_68; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10179 = _T_10178 | _T_9993; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9993 = _T_4795 & ic_tag_valid_out_0_68; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10179 = _T_10178 | _T_9993; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_69; // @[Reg.scala 27:20] - wire _T_9995 = _T_4799 & ic_tag_valid_out_0_69; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10180 = _T_10179 | _T_9995; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9995 = _T_4799 & ic_tag_valid_out_0_69; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10180 = _T_10179 | _T_9995; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_70; // @[Reg.scala 27:20] - wire _T_9997 = _T_4803 & ic_tag_valid_out_0_70; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10181 = _T_10180 | _T_9997; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9997 = _T_4803 & ic_tag_valid_out_0_70; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10181 = _T_10180 | _T_9997; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_71; // @[Reg.scala 27:20] - wire _T_9999 = _T_4807 & ic_tag_valid_out_0_71; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10182 = _T_10181 | _T_9999; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9999 = _T_4807 & ic_tag_valid_out_0_71; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10182 = _T_10181 | _T_9999; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_72; // @[Reg.scala 27:20] - wire _T_10001 = _T_4811 & ic_tag_valid_out_0_72; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10183 = _T_10182 | _T_10001; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10001 = _T_4811 & ic_tag_valid_out_0_72; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10183 = _T_10182 | _T_10001; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_73; // @[Reg.scala 27:20] - wire _T_10003 = _T_4815 & ic_tag_valid_out_0_73; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10184 = _T_10183 | _T_10003; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10003 = _T_4815 & ic_tag_valid_out_0_73; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10184 = _T_10183 | _T_10003; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_74; // @[Reg.scala 27:20] - wire _T_10005 = _T_4819 & ic_tag_valid_out_0_74; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10185 = _T_10184 | _T_10005; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10005 = _T_4819 & ic_tag_valid_out_0_74; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10185 = _T_10184 | _T_10005; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_75; // @[Reg.scala 27:20] - wire _T_10007 = _T_4823 & ic_tag_valid_out_0_75; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10186 = _T_10185 | _T_10007; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10007 = _T_4823 & ic_tag_valid_out_0_75; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10186 = _T_10185 | _T_10007; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_76; // @[Reg.scala 27:20] - wire _T_10009 = _T_4827 & ic_tag_valid_out_0_76; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10187 = _T_10186 | _T_10009; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10009 = _T_4827 & ic_tag_valid_out_0_76; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10187 = _T_10186 | _T_10009; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_77; // @[Reg.scala 27:20] - wire _T_10011 = _T_4831 & ic_tag_valid_out_0_77; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10188 = _T_10187 | _T_10011; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10011 = _T_4831 & ic_tag_valid_out_0_77; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10188 = _T_10187 | _T_10011; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_78; // @[Reg.scala 27:20] - wire _T_10013 = _T_4835 & ic_tag_valid_out_0_78; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10189 = _T_10188 | _T_10013; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10013 = _T_4835 & ic_tag_valid_out_0_78; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10189 = _T_10188 | _T_10013; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_79; // @[Reg.scala 27:20] - wire _T_10015 = _T_4839 & ic_tag_valid_out_0_79; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10190 = _T_10189 | _T_10015; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10015 = _T_4839 & ic_tag_valid_out_0_79; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10190 = _T_10189 | _T_10015; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_80; // @[Reg.scala 27:20] - wire _T_10017 = _T_4843 & ic_tag_valid_out_0_80; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10191 = _T_10190 | _T_10017; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10017 = _T_4843 & ic_tag_valid_out_0_80; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10191 = _T_10190 | _T_10017; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_81; // @[Reg.scala 27:20] - wire _T_10019 = _T_4847 & ic_tag_valid_out_0_81; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10192 = _T_10191 | _T_10019; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10019 = _T_4847 & ic_tag_valid_out_0_81; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10192 = _T_10191 | _T_10019; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_82; // @[Reg.scala 27:20] - wire _T_10021 = _T_4851 & ic_tag_valid_out_0_82; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10193 = _T_10192 | _T_10021; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10021 = _T_4851 & ic_tag_valid_out_0_82; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10193 = _T_10192 | _T_10021; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_83; // @[Reg.scala 27:20] - wire _T_10023 = _T_4855 & ic_tag_valid_out_0_83; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10194 = _T_10193 | _T_10023; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10023 = _T_4855 & ic_tag_valid_out_0_83; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10194 = _T_10193 | _T_10023; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_84; // @[Reg.scala 27:20] - wire _T_10025 = _T_4859 & ic_tag_valid_out_0_84; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10195 = _T_10194 | _T_10025; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10025 = _T_4859 & ic_tag_valid_out_0_84; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10195 = _T_10194 | _T_10025; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_85; // @[Reg.scala 27:20] - wire _T_10027 = _T_4863 & ic_tag_valid_out_0_85; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10196 = _T_10195 | _T_10027; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10027 = _T_4863 & ic_tag_valid_out_0_85; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10196 = _T_10195 | _T_10027; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_86; // @[Reg.scala 27:20] - wire _T_10029 = _T_4867 & ic_tag_valid_out_0_86; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10197 = _T_10196 | _T_10029; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10029 = _T_4867 & ic_tag_valid_out_0_86; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10197 = _T_10196 | _T_10029; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_87; // @[Reg.scala 27:20] - wire _T_10031 = _T_4871 & ic_tag_valid_out_0_87; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10198 = _T_10197 | _T_10031; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10031 = _T_4871 & ic_tag_valid_out_0_87; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10198 = _T_10197 | _T_10031; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_88; // @[Reg.scala 27:20] - wire _T_10033 = _T_4875 & ic_tag_valid_out_0_88; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10199 = _T_10198 | _T_10033; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10033 = _T_4875 & ic_tag_valid_out_0_88; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10199 = _T_10198 | _T_10033; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_89; // @[Reg.scala 27:20] - wire _T_10035 = _T_4879 & ic_tag_valid_out_0_89; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10200 = _T_10199 | _T_10035; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10035 = _T_4879 & ic_tag_valid_out_0_89; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10200 = _T_10199 | _T_10035; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_90; // @[Reg.scala 27:20] - wire _T_10037 = _T_4883 & ic_tag_valid_out_0_90; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10201 = _T_10200 | _T_10037; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10037 = _T_4883 & ic_tag_valid_out_0_90; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10201 = _T_10200 | _T_10037; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_91; // @[Reg.scala 27:20] - wire _T_10039 = _T_4887 & ic_tag_valid_out_0_91; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10202 = _T_10201 | _T_10039; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10039 = _T_4887 & ic_tag_valid_out_0_91; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10202 = _T_10201 | _T_10039; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_92; // @[Reg.scala 27:20] - wire _T_10041 = _T_4891 & ic_tag_valid_out_0_92; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10203 = _T_10202 | _T_10041; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10041 = _T_4891 & ic_tag_valid_out_0_92; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10203 = _T_10202 | _T_10041; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_93; // @[Reg.scala 27:20] - wire _T_10043 = _T_4895 & ic_tag_valid_out_0_93; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10204 = _T_10203 | _T_10043; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10043 = _T_4895 & ic_tag_valid_out_0_93; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10204 = _T_10203 | _T_10043; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_94; // @[Reg.scala 27:20] - wire _T_10045 = _T_4899 & ic_tag_valid_out_0_94; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10205 = _T_10204 | _T_10045; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10045 = _T_4899 & ic_tag_valid_out_0_94; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10205 = _T_10204 | _T_10045; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_95; // @[Reg.scala 27:20] - wire _T_10047 = _T_4903 & ic_tag_valid_out_0_95; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10206 = _T_10205 | _T_10047; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10047 = _T_4903 & ic_tag_valid_out_0_95; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10206 = _T_10205 | _T_10047; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_96; // @[Reg.scala 27:20] - wire _T_10049 = _T_4907 & ic_tag_valid_out_0_96; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10207 = _T_10206 | _T_10049; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10049 = _T_4907 & ic_tag_valid_out_0_96; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10207 = _T_10206 | _T_10049; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_97; // @[Reg.scala 27:20] - wire _T_10051 = _T_4911 & ic_tag_valid_out_0_97; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10208 = _T_10207 | _T_10051; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10051 = _T_4911 & ic_tag_valid_out_0_97; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10208 = _T_10207 | _T_10051; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_98; // @[Reg.scala 27:20] - wire _T_10053 = _T_4915 & ic_tag_valid_out_0_98; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10209 = _T_10208 | _T_10053; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10053 = _T_4915 & ic_tag_valid_out_0_98; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10209 = _T_10208 | _T_10053; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_99; // @[Reg.scala 27:20] - wire _T_10055 = _T_4919 & ic_tag_valid_out_0_99; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10210 = _T_10209 | _T_10055; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10055 = _T_4919 & ic_tag_valid_out_0_99; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10210 = _T_10209 | _T_10055; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_100; // @[Reg.scala 27:20] - wire _T_10057 = _T_4923 & ic_tag_valid_out_0_100; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10211 = _T_10210 | _T_10057; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10057 = _T_4923 & ic_tag_valid_out_0_100; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10211 = _T_10210 | _T_10057; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_101; // @[Reg.scala 27:20] - wire _T_10059 = _T_4927 & ic_tag_valid_out_0_101; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10212 = _T_10211 | _T_10059; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10059 = _T_4927 & ic_tag_valid_out_0_101; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10212 = _T_10211 | _T_10059; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_102; // @[Reg.scala 27:20] - wire _T_10061 = _T_4931 & ic_tag_valid_out_0_102; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10213 = _T_10212 | _T_10061; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10061 = _T_4931 & ic_tag_valid_out_0_102; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10213 = _T_10212 | _T_10061; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_103; // @[Reg.scala 27:20] - wire _T_10063 = _T_4935 & ic_tag_valid_out_0_103; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10214 = _T_10213 | _T_10063; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10063 = _T_4935 & ic_tag_valid_out_0_103; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10214 = _T_10213 | _T_10063; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_104; // @[Reg.scala 27:20] - wire _T_10065 = _T_4939 & ic_tag_valid_out_0_104; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10215 = _T_10214 | _T_10065; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10065 = _T_4939 & ic_tag_valid_out_0_104; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10215 = _T_10214 | _T_10065; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_105; // @[Reg.scala 27:20] - wire _T_10067 = _T_4943 & ic_tag_valid_out_0_105; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10216 = _T_10215 | _T_10067; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10067 = _T_4943 & ic_tag_valid_out_0_105; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10216 = _T_10215 | _T_10067; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_106; // @[Reg.scala 27:20] - wire _T_10069 = _T_4947 & ic_tag_valid_out_0_106; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10217 = _T_10216 | _T_10069; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10069 = _T_4947 & ic_tag_valid_out_0_106; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10217 = _T_10216 | _T_10069; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_107; // @[Reg.scala 27:20] - wire _T_10071 = _T_4951 & ic_tag_valid_out_0_107; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10218 = _T_10217 | _T_10071; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10071 = _T_4951 & ic_tag_valid_out_0_107; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10218 = _T_10217 | _T_10071; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_108; // @[Reg.scala 27:20] - wire _T_10073 = _T_4955 & ic_tag_valid_out_0_108; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10219 = _T_10218 | _T_10073; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10073 = _T_4955 & ic_tag_valid_out_0_108; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10219 = _T_10218 | _T_10073; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_109; // @[Reg.scala 27:20] - wire _T_10075 = _T_4959 & ic_tag_valid_out_0_109; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10220 = _T_10219 | _T_10075; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10075 = _T_4959 & ic_tag_valid_out_0_109; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10220 = _T_10219 | _T_10075; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_110; // @[Reg.scala 27:20] - wire _T_10077 = _T_4963 & ic_tag_valid_out_0_110; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10221 = _T_10220 | _T_10077; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10077 = _T_4963 & ic_tag_valid_out_0_110; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10221 = _T_10220 | _T_10077; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_111; // @[Reg.scala 27:20] - wire _T_10079 = _T_4967 & ic_tag_valid_out_0_111; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10222 = _T_10221 | _T_10079; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10079 = _T_4967 & ic_tag_valid_out_0_111; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10222 = _T_10221 | _T_10079; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_112; // @[Reg.scala 27:20] - wire _T_10081 = _T_4971 & ic_tag_valid_out_0_112; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10223 = _T_10222 | _T_10081; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10081 = _T_4971 & ic_tag_valid_out_0_112; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10223 = _T_10222 | _T_10081; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_113; // @[Reg.scala 27:20] - wire _T_10083 = _T_4975 & ic_tag_valid_out_0_113; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10224 = _T_10223 | _T_10083; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10083 = _T_4975 & ic_tag_valid_out_0_113; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10224 = _T_10223 | _T_10083; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_114; // @[Reg.scala 27:20] - wire _T_10085 = _T_4979 & ic_tag_valid_out_0_114; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10225 = _T_10224 | _T_10085; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10085 = _T_4979 & ic_tag_valid_out_0_114; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10225 = _T_10224 | _T_10085; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_115; // @[Reg.scala 27:20] - wire _T_10087 = _T_4983 & ic_tag_valid_out_0_115; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10226 = _T_10225 | _T_10087; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10087 = _T_4983 & ic_tag_valid_out_0_115; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10226 = _T_10225 | _T_10087; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_116; // @[Reg.scala 27:20] - wire _T_10089 = _T_4987 & ic_tag_valid_out_0_116; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10227 = _T_10226 | _T_10089; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10089 = _T_4987 & ic_tag_valid_out_0_116; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10227 = _T_10226 | _T_10089; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_117; // @[Reg.scala 27:20] - wire _T_10091 = _T_4991 & ic_tag_valid_out_0_117; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10228 = _T_10227 | _T_10091; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10091 = _T_4991 & ic_tag_valid_out_0_117; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10228 = _T_10227 | _T_10091; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_118; // @[Reg.scala 27:20] - wire _T_10093 = _T_4995 & ic_tag_valid_out_0_118; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10229 = _T_10228 | _T_10093; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10093 = _T_4995 & ic_tag_valid_out_0_118; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10229 = _T_10228 | _T_10093; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_119; // @[Reg.scala 27:20] - wire _T_10095 = _T_4999 & ic_tag_valid_out_0_119; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10230 = _T_10229 | _T_10095; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10095 = _T_4999 & ic_tag_valid_out_0_119; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10230 = _T_10229 | _T_10095; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_120; // @[Reg.scala 27:20] - wire _T_10097 = _T_5003 & ic_tag_valid_out_0_120; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10231 = _T_10230 | _T_10097; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10097 = _T_5003 & ic_tag_valid_out_0_120; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10231 = _T_10230 | _T_10097; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_121; // @[Reg.scala 27:20] - wire _T_10099 = _T_5007 & ic_tag_valid_out_0_121; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10232 = _T_10231 | _T_10099; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10099 = _T_5007 & ic_tag_valid_out_0_121; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10232 = _T_10231 | _T_10099; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_122; // @[Reg.scala 27:20] - wire _T_10101 = _T_5011 & ic_tag_valid_out_0_122; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10233 = _T_10232 | _T_10101; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10101 = _T_5011 & ic_tag_valid_out_0_122; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10233 = _T_10232 | _T_10101; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_123; // @[Reg.scala 27:20] - wire _T_10103 = _T_5015 & ic_tag_valid_out_0_123; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10234 = _T_10233 | _T_10103; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10103 = _T_5015 & ic_tag_valid_out_0_123; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10234 = _T_10233 | _T_10103; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_124; // @[Reg.scala 27:20] - wire _T_10105 = _T_5019 & ic_tag_valid_out_0_124; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10235 = _T_10234 | _T_10105; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10105 = _T_5019 & ic_tag_valid_out_0_124; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10235 = _T_10234 | _T_10105; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_125; // @[Reg.scala 27:20] - wire _T_10107 = _T_5023 & ic_tag_valid_out_0_125; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10236 = _T_10235 | _T_10107; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10107 = _T_5023 & ic_tag_valid_out_0_125; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10236 = _T_10235 | _T_10107; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_126; // @[Reg.scala 27:20] - wire _T_10109 = _T_5027 & ic_tag_valid_out_0_126; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10237 = _T_10236 | _T_10109; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10109 = _T_5027 & ic_tag_valid_out_0_126; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10237 = _T_10236 | _T_10109; // @[el2_ifu_mem_ctl.scala 755:91] reg ic_tag_valid_out_0_127; // @[Reg.scala 27:20] - wire _T_10111 = _T_5031 & ic_tag_valid_out_0_127; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10238 = _T_10237 | _T_10111; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_10111 = _T_5031 & ic_tag_valid_out_0_127; // @[el2_ifu_mem_ctl.scala 755:10] + wire _T_10238 = _T_10237 | _T_10111; // @[el2_ifu_mem_ctl.scala 755:91] wire [1:0] ic_tag_valid_unq = {_T_10621,_T_10238}; // @[Cat.scala 29:58] reg [1:0] ic_debug_way_ff; // @[Reg.scala 27:20] - reg ic_debug_rd_en_ff; // @[el2_ifu_mem_ctl.scala 828:54] + reg ic_debug_rd_en_ff; // @[el2_ifu_mem_ctl.scala 829:54] wire [1:0] _T_10661 = ic_debug_rd_en_ff ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_10662 = ic_debug_way_ff & _T_10661; // @[el2_ifu_mem_ctl.scala 809:67] - wire [1:0] _T_10663 = ic_tag_valid_unq & _T_10662; // @[el2_ifu_mem_ctl.scala 809:48] - wire ic_debug_tag_val_rd_out = |_T_10663; // @[el2_ifu_mem_ctl.scala 809:115] + wire [1:0] _T_10662 = ic_debug_way_ff & _T_10661; // @[el2_ifu_mem_ctl.scala 810:67] + wire [1:0] _T_10663 = ic_tag_valid_unq & _T_10662; // @[el2_ifu_mem_ctl.scala 810:48] + wire ic_debug_tag_val_rd_out = |_T_10663; // @[el2_ifu_mem_ctl.scala 810:115] wire [65:0] _T_1208 = {2'h0,io_ictag_debug_rd_data[25:21],32'h0,io_ictag_debug_rd_data[20:0],1'h0,way_status,3'h0,ic_debug_tag_val_rd_out}; // @[Cat.scala 29:58] reg [70:0] _T_1209; // @[Reg.scala 27:20] - wire ifu_wr_cumulative_err = ifu_wr_cumulative_err_data & _T_2591; // @[el2_ifu_mem_ctl.scala 364:80] - wire _T_1247 = ~ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 369:98] - wire sel_byp_data = _T_1251 & _T_1247; // @[el2_ifu_mem_ctl.scala 369:96] + wire ifu_wr_cumulative_err = ifu_wr_cumulative_err_data & _T_2591; // @[el2_ifu_mem_ctl.scala 365:80] + wire _T_1247 = ~ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 370:98] + wire sel_byp_data = _T_1251 & _T_1247; // @[el2_ifu_mem_ctl.scala 370:96] wire [63:0] _T_1258 = fetch_req_iccm_f ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_1259 = _T_1258 & io_iccm_rd_data; // @[el2_ifu_mem_ctl.scala 376:64] + wire [63:0] _T_1259 = _T_1258 & io_iccm_rd_data; // @[el2_ifu_mem_ctl.scala 377:64] wire [63:0] _T_1261 = sel_byp_data ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire _T_2113 = ~ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 444:31] - wire _T_1626 = ~ifu_fetch_addr_int_f[1]; // @[el2_ifu_mem_ctl.scala 440:38] + wire _T_2113 = ~ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 445:31] + wire _T_1626 = ~ifu_fetch_addr_int_f[1]; // @[el2_ifu_mem_ctl.scala 441:38] wire [3:0] byp_fetch_index_inc_0 = {byp_fetch_index_inc,1'h0}; // @[Cat.scala 29:58] - wire _T_1627 = byp_fetch_index_inc_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1627 = byp_fetch_index_inc_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1675 = _T_1627 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] - wire _T_1630 = byp_fetch_index_inc_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1630 = byp_fetch_index_inc_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1676 = _T_1630 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1691 = _T_1675 | _T_1676; // @[Mux.scala 27:72] - wire _T_1633 = byp_fetch_index_inc_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1633 = byp_fetch_index_inc_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1677 = _T_1633 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1692 = _T_1691 | _T_1677; // @[Mux.scala 27:72] - wire _T_1636 = byp_fetch_index_inc_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1636 = byp_fetch_index_inc_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1678 = _T_1636 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1693 = _T_1692 | _T_1678; // @[Mux.scala 27:72] - wire _T_1639 = byp_fetch_index_inc_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1639 = byp_fetch_index_inc_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1679 = _T_1639 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1694 = _T_1693 | _T_1679; // @[Mux.scala 27:72] - wire _T_1642 = byp_fetch_index_inc_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1642 = byp_fetch_index_inc_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1680 = _T_1642 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1695 = _T_1694 | _T_1680; // @[Mux.scala 27:72] - wire _T_1645 = byp_fetch_index_inc_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1645 = byp_fetch_index_inc_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1681 = _T_1645 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1696 = _T_1695 | _T_1681; // @[Mux.scala 27:72] - wire _T_1648 = byp_fetch_index_inc_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1648 = byp_fetch_index_inc_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1682 = _T_1648 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1697 = _T_1696 | _T_1682; // @[Mux.scala 27:72] - wire _T_1651 = byp_fetch_index_inc_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1651 = byp_fetch_index_inc_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1683 = _T_1651 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1698 = _T_1697 | _T_1683; // @[Mux.scala 27:72] - wire _T_1654 = byp_fetch_index_inc_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1654 = byp_fetch_index_inc_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1684 = _T_1654 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1699 = _T_1698 | _T_1684; // @[Mux.scala 27:72] - wire _T_1657 = byp_fetch_index_inc_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1657 = byp_fetch_index_inc_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1685 = _T_1657 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1700 = _T_1699 | _T_1685; // @[Mux.scala 27:72] - wire _T_1660 = byp_fetch_index_inc_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1660 = byp_fetch_index_inc_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1686 = _T_1660 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1701 = _T_1700 | _T_1686; // @[Mux.scala 27:72] - wire _T_1663 = byp_fetch_index_inc_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1663 = byp_fetch_index_inc_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1687 = _T_1663 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1702 = _T_1701 | _T_1687; // @[Mux.scala 27:72] - wire _T_1666 = byp_fetch_index_inc_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1666 = byp_fetch_index_inc_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1688 = _T_1666 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1703 = _T_1702 | _T_1688; // @[Mux.scala 27:72] - wire _T_1669 = byp_fetch_index_inc_0 == 4'he; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1669 = byp_fetch_index_inc_0 == 4'he; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1689 = _T_1669 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1704 = _T_1703 | _T_1689; // @[Mux.scala 27:72] - wire _T_1672 = byp_fetch_index_inc_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1672 = byp_fetch_index_inc_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1690 = _T_1672 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1705 = _T_1704 | _T_1690; // @[Mux.scala 27:72] wire [3:0] byp_fetch_index_1 = {ifu_fetch_addr_int_f[4:2],1'h1}; // @[Cat.scala 29:58] - wire _T_1707 = byp_fetch_index_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1707 = byp_fetch_index_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1755 = _T_1707 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_1710 = byp_fetch_index_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1710 = byp_fetch_index_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1756 = _T_1710 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1771 = _T_1755 | _T_1756; // @[Mux.scala 27:72] - wire _T_1713 = byp_fetch_index_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1713 = byp_fetch_index_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1757 = _T_1713 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1772 = _T_1771 | _T_1757; // @[Mux.scala 27:72] - wire _T_1716 = byp_fetch_index_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1716 = byp_fetch_index_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1758 = _T_1716 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1773 = _T_1772 | _T_1758; // @[Mux.scala 27:72] - wire _T_1719 = byp_fetch_index_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1719 = byp_fetch_index_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1759 = _T_1719 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1774 = _T_1773 | _T_1759; // @[Mux.scala 27:72] - wire _T_1722 = byp_fetch_index_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1722 = byp_fetch_index_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1760 = _T_1722 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1775 = _T_1774 | _T_1760; // @[Mux.scala 27:72] - wire _T_1725 = byp_fetch_index_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1725 = byp_fetch_index_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1761 = _T_1725 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1776 = _T_1775 | _T_1761; // @[Mux.scala 27:72] - wire _T_1728 = byp_fetch_index_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1728 = byp_fetch_index_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1762 = _T_1728 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1777 = _T_1776 | _T_1762; // @[Mux.scala 27:72] - wire _T_1731 = byp_fetch_index_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1731 = byp_fetch_index_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1763 = _T_1731 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1778 = _T_1777 | _T_1763; // @[Mux.scala 27:72] - wire _T_1734 = byp_fetch_index_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1734 = byp_fetch_index_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1764 = _T_1734 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1779 = _T_1778 | _T_1764; // @[Mux.scala 27:72] - wire _T_1737 = byp_fetch_index_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1737 = byp_fetch_index_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1765 = _T_1737 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1780 = _T_1779 | _T_1765; // @[Mux.scala 27:72] - wire _T_1740 = byp_fetch_index_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1740 = byp_fetch_index_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1766 = _T_1740 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1781 = _T_1780 | _T_1766; // @[Mux.scala 27:72] - wire _T_1743 = byp_fetch_index_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1743 = byp_fetch_index_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1767 = _T_1743 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1782 = _T_1781 | _T_1767; // @[Mux.scala 27:72] - wire _T_1746 = byp_fetch_index_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1746 = byp_fetch_index_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1768 = _T_1746 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1783 = _T_1782 | _T_1768; // @[Mux.scala 27:72] - wire _T_1749 = byp_fetch_index_1 == 4'he; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1749 = byp_fetch_index_1 == 4'he; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1769 = _T_1749 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1784 = _T_1783 | _T_1769; // @[Mux.scala 27:72] - wire _T_1752 = byp_fetch_index_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1752 = byp_fetch_index_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1770 = _T_1752 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1785 = _T_1784 | _T_1770; // @[Mux.scala 27:72] wire [3:0] byp_fetch_index_0 = {ifu_fetch_addr_int_f[4:2],1'h0}; // @[Cat.scala 29:58] - wire _T_1787 = byp_fetch_index_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1787 = byp_fetch_index_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1835 = _T_1787 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_1790 = byp_fetch_index_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1790 = byp_fetch_index_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1836 = _T_1790 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1851 = _T_1835 | _T_1836; // @[Mux.scala 27:72] - wire _T_1793 = byp_fetch_index_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1793 = byp_fetch_index_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1837 = _T_1793 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1852 = _T_1851 | _T_1837; // @[Mux.scala 27:72] - wire _T_1796 = byp_fetch_index_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1796 = byp_fetch_index_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1838 = _T_1796 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1853 = _T_1852 | _T_1838; // @[Mux.scala 27:72] - wire _T_1799 = byp_fetch_index_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1799 = byp_fetch_index_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1839 = _T_1799 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1854 = _T_1853 | _T_1839; // @[Mux.scala 27:72] - wire _T_1802 = byp_fetch_index_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1802 = byp_fetch_index_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1840 = _T_1802 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1855 = _T_1854 | _T_1840; // @[Mux.scala 27:72] - wire _T_1805 = byp_fetch_index_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1805 = byp_fetch_index_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1841 = _T_1805 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1856 = _T_1855 | _T_1841; // @[Mux.scala 27:72] - wire _T_1808 = byp_fetch_index_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1808 = byp_fetch_index_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1842 = _T_1808 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1857 = _T_1856 | _T_1842; // @[Mux.scala 27:72] - wire _T_1811 = byp_fetch_index_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1811 = byp_fetch_index_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1843 = _T_1811 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1858 = _T_1857 | _T_1843; // @[Mux.scala 27:72] - wire _T_1814 = byp_fetch_index_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1814 = byp_fetch_index_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1844 = _T_1814 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1859 = _T_1858 | _T_1844; // @[Mux.scala 27:72] - wire _T_1817 = byp_fetch_index_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1817 = byp_fetch_index_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1845 = _T_1817 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1860 = _T_1859 | _T_1845; // @[Mux.scala 27:72] - wire _T_1820 = byp_fetch_index_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1820 = byp_fetch_index_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1846 = _T_1820 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1861 = _T_1860 | _T_1846; // @[Mux.scala 27:72] - wire _T_1823 = byp_fetch_index_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1823 = byp_fetch_index_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1847 = _T_1823 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1862 = _T_1861 | _T_1847; // @[Mux.scala 27:72] - wire _T_1826 = byp_fetch_index_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1826 = byp_fetch_index_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1848 = _T_1826 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1863 = _T_1862 | _T_1848; // @[Mux.scala 27:72] - wire _T_1829 = byp_fetch_index_0 == 4'he; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1829 = byp_fetch_index_0 == 4'he; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1849 = _T_1829 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1864 = _T_1863 | _T_1849; // @[Mux.scala 27:72] - wire _T_1832 = byp_fetch_index_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1832 = byp_fetch_index_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1850 = _T_1832 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1865 = _T_1864 | _T_1850; // @[Mux.scala 27:72] wire [79:0] _T_1868 = {_T_1705,_T_1785,_T_1865}; // @[Cat.scala 29:58] wire [3:0] byp_fetch_index_inc_1 = {byp_fetch_index_inc,1'h1}; // @[Cat.scala 29:58] - wire _T_1869 = byp_fetch_index_inc_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1869 = byp_fetch_index_inc_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1917 = _T_1869 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] - wire _T_1872 = byp_fetch_index_inc_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1872 = byp_fetch_index_inc_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1918 = _T_1872 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1933 = _T_1917 | _T_1918; // @[Mux.scala 27:72] - wire _T_1875 = byp_fetch_index_inc_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1875 = byp_fetch_index_inc_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1919 = _T_1875 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1934 = _T_1933 | _T_1919; // @[Mux.scala 27:72] - wire _T_1878 = byp_fetch_index_inc_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1878 = byp_fetch_index_inc_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1920 = _T_1878 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1935 = _T_1934 | _T_1920; // @[Mux.scala 27:72] - wire _T_1881 = byp_fetch_index_inc_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1881 = byp_fetch_index_inc_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1921 = _T_1881 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1936 = _T_1935 | _T_1921; // @[Mux.scala 27:72] - wire _T_1884 = byp_fetch_index_inc_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1884 = byp_fetch_index_inc_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1922 = _T_1884 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1937 = _T_1936 | _T_1922; // @[Mux.scala 27:72] - wire _T_1887 = byp_fetch_index_inc_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1887 = byp_fetch_index_inc_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1923 = _T_1887 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1938 = _T_1937 | _T_1923; // @[Mux.scala 27:72] - wire _T_1890 = byp_fetch_index_inc_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1890 = byp_fetch_index_inc_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1924 = _T_1890 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1939 = _T_1938 | _T_1924; // @[Mux.scala 27:72] - wire _T_1893 = byp_fetch_index_inc_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1893 = byp_fetch_index_inc_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1925 = _T_1893 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1940 = _T_1939 | _T_1925; // @[Mux.scala 27:72] - wire _T_1896 = byp_fetch_index_inc_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1896 = byp_fetch_index_inc_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1926 = _T_1896 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1941 = _T_1940 | _T_1926; // @[Mux.scala 27:72] - wire _T_1899 = byp_fetch_index_inc_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1899 = byp_fetch_index_inc_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1927 = _T_1899 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1942 = _T_1941 | _T_1927; // @[Mux.scala 27:72] - wire _T_1902 = byp_fetch_index_inc_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1902 = byp_fetch_index_inc_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1928 = _T_1902 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1943 = _T_1942 | _T_1928; // @[Mux.scala 27:72] - wire _T_1905 = byp_fetch_index_inc_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1905 = byp_fetch_index_inc_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1929 = _T_1905 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1944 = _T_1943 | _T_1929; // @[Mux.scala 27:72] - wire _T_1908 = byp_fetch_index_inc_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1908 = byp_fetch_index_inc_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1930 = _T_1908 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1945 = _T_1944 | _T_1930; // @[Mux.scala 27:72] - wire _T_1911 = byp_fetch_index_inc_1 == 4'he; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1911 = byp_fetch_index_inc_1 == 4'he; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1931 = _T_1911 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1946 = _T_1945 | _T_1931; // @[Mux.scala 27:72] - wire _T_1914 = byp_fetch_index_inc_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1914 = byp_fetch_index_inc_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1932 = _T_1914 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1947 = _T_1946 | _T_1932; // @[Mux.scala 27:72] wire [31:0] _T_1997 = _T_1627 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] @@ -3228,49 +3230,49 @@ module el2_ifu_mem_ctl( wire [31:0] _T_2012 = _T_1672 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2027 = _T_2026 | _T_2012; // @[Mux.scala 27:72] wire [79:0] _T_2110 = {_T_1947,_T_2027,_T_1785}; // @[Cat.scala 29:58] - wire [79:0] ic_byp_data_only_pre_new = _T_1626 ? _T_1868 : _T_2110; // @[el2_ifu_mem_ctl.scala 440:37] + wire [79:0] ic_byp_data_only_pre_new = _T_1626 ? _T_1868 : _T_2110; // @[el2_ifu_mem_ctl.scala 441:37] wire [79:0] _T_2115 = {16'h0,ic_byp_data_only_pre_new[79:16]}; // @[Cat.scala 29:58] - wire [79:0] ic_byp_data_only_new = _T_2113 ? ic_byp_data_only_pre_new : _T_2115; // @[el2_ifu_mem_ctl.scala 444:30] - wire [79:0] _GEN_602 = {{16'd0}, _T_1261}; // @[el2_ifu_mem_ctl.scala 376:109] - wire [79:0] _T_1262 = _GEN_602 & ic_byp_data_only_new; // @[el2_ifu_mem_ctl.scala 376:109] - wire [79:0] _GEN_603 = {{16'd0}, _T_1259}; // @[el2_ifu_mem_ctl.scala 376:83] - wire [79:0] ic_premux_data = _GEN_603 | _T_1262; // @[el2_ifu_mem_ctl.scala 376:83] - wire fetch_req_f_qual = io_ic_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 383:38] - wire [1:0] _T_1271 = ifc_region_acc_fault_f ? 2'h2 : 2'h0; // @[el2_ifu_mem_ctl.scala 387:8] - wire _T_1273 = fetch_req_f_qual & io_ifu_bp_inst_mask_f; // @[el2_ifu_mem_ctl.scala 389:45] - wire _T_1275 = byp_fetch_index == 5'h1f; // @[el2_ifu_mem_ctl.scala 389:80] - wire _T_1276 = ~_T_1275; // @[el2_ifu_mem_ctl.scala 389:71] - wire _T_1277 = _T_1273 & _T_1276; // @[el2_ifu_mem_ctl.scala 389:69] - wire _T_1278 = err_stop_state != 2'h2; // @[el2_ifu_mem_ctl.scala 389:131] - wire _T_1279 = _T_1277 & _T_1278; // @[el2_ifu_mem_ctl.scala 389:114] + wire [79:0] ic_byp_data_only_new = _T_2113 ? ic_byp_data_only_pre_new : _T_2115; // @[el2_ifu_mem_ctl.scala 445:30] + wire [79:0] _GEN_602 = {{16'd0}, _T_1261}; // @[el2_ifu_mem_ctl.scala 377:109] + wire [79:0] _T_1262 = _GEN_602 & ic_byp_data_only_new; // @[el2_ifu_mem_ctl.scala 377:109] + wire [79:0] _GEN_603 = {{16'd0}, _T_1259}; // @[el2_ifu_mem_ctl.scala 377:83] + wire [79:0] ic_premux_data = _GEN_603 | _T_1262; // @[el2_ifu_mem_ctl.scala 377:83] + wire fetch_req_f_qual = io_ic_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 384:38] + wire [1:0] _T_1271 = ifc_region_acc_fault_f ? 2'h2 : 2'h0; // @[el2_ifu_mem_ctl.scala 388:8] + wire _T_1273 = fetch_req_f_qual & io_ifu_bp_inst_mask_f; // @[el2_ifu_mem_ctl.scala 390:45] + wire _T_1275 = byp_fetch_index == 5'h1f; // @[el2_ifu_mem_ctl.scala 390:80] + wire _T_1276 = ~_T_1275; // @[el2_ifu_mem_ctl.scala 390:71] + wire _T_1277 = _T_1273 & _T_1276; // @[el2_ifu_mem_ctl.scala 390:69] + wire _T_1278 = err_stop_state != 2'h2; // @[el2_ifu_mem_ctl.scala 390:131] + wire _T_1279 = _T_1277 & _T_1278; // @[el2_ifu_mem_ctl.scala 390:114] wire [7:0] _T_1368 = {ic_miss_buff_data_valid_in_7,ic_miss_buff_data_valid_in_6,ic_miss_buff_data_valid_in_5,ic_miss_buff_data_valid_in_4,ic_miss_buff_data_valid_in_3,ic_miss_buff_data_valid_in_2,ic_miss_buff_data_valid_in_1,ic_miss_buff_data_valid_in_0}; // @[Cat.scala 29:58] - wire _T_1373 = ic_miss_buff_data_error[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire _T_2659 = |io_ifu_axi_rresp; // @[el2_ifu_mem_ctl.scala 620:47] - wire _T_2660 = _T_2659 & _T_13; // @[el2_ifu_mem_ctl.scala 620:50] - wire bus_ifu_wr_data_error = _T_2660 & miss_pending; // @[el2_ifu_mem_ctl.scala 620:68] - wire ic_miss_buff_data_error_in_0 = write_fill_data_0 ? bus_ifu_wr_data_error : _T_1373; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1377 = ic_miss_buff_data_error[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_1 = write_fill_data_1 ? bus_ifu_wr_data_error : _T_1377; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1381 = ic_miss_buff_data_error[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_2 = write_fill_data_2 ? bus_ifu_wr_data_error : _T_1381; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1385 = ic_miss_buff_data_error[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_3 = write_fill_data_3 ? bus_ifu_wr_data_error : _T_1385; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1389 = ic_miss_buff_data_error[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_4 = write_fill_data_4 ? bus_ifu_wr_data_error : _T_1389; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1393 = ic_miss_buff_data_error[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_5 = write_fill_data_5 ? bus_ifu_wr_data_error : _T_1393; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1397 = ic_miss_buff_data_error[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_6 = write_fill_data_6 ? bus_ifu_wr_data_error : _T_1397; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1401 = ic_miss_buff_data_error[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_7 = write_fill_data_7 ? bus_ifu_wr_data_error : _T_1401; // @[el2_ifu_mem_ctl.scala 406:72] + wire _T_1373 = ic_miss_buff_data_error[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire _T_2659 = |io_ifu_axi_rresp; // @[el2_ifu_mem_ctl.scala 621:47] + wire _T_2660 = _T_2659 & _T_13; // @[el2_ifu_mem_ctl.scala 621:50] + wire bus_ifu_wr_data_error = _T_2660 & miss_pending; // @[el2_ifu_mem_ctl.scala 621:68] + wire ic_miss_buff_data_error_in_0 = write_fill_data_0 ? bus_ifu_wr_data_error : _T_1373; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1377 = ic_miss_buff_data_error[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_1 = write_fill_data_1 ? bus_ifu_wr_data_error : _T_1377; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1381 = ic_miss_buff_data_error[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_2 = write_fill_data_2 ? bus_ifu_wr_data_error : _T_1381; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1385 = ic_miss_buff_data_error[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_3 = write_fill_data_3 ? bus_ifu_wr_data_error : _T_1385; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1389 = ic_miss_buff_data_error[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_4 = write_fill_data_4 ? bus_ifu_wr_data_error : _T_1389; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1393 = ic_miss_buff_data_error[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_5 = write_fill_data_5 ? bus_ifu_wr_data_error : _T_1393; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1397 = ic_miss_buff_data_error[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_6 = write_fill_data_6 ? bus_ifu_wr_data_error : _T_1397; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1401 = ic_miss_buff_data_error[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_7 = write_fill_data_7 ? bus_ifu_wr_data_error : _T_1401; // @[el2_ifu_mem_ctl.scala 407:72] wire [7:0] _T_1408 = {ic_miss_buff_data_error_in_7,ic_miss_buff_data_error_in_6,ic_miss_buff_data_error_in_5,ic_miss_buff_data_error_in_4,ic_miss_buff_data_error_in_3,ic_miss_buff_data_error_in_2,ic_miss_buff_data_error_in_1,ic_miss_buff_data_error_in_0}; // @[Cat.scala 29:58] reg [6:0] perr_ic_index_ff; // @[Reg.scala 27:20] wire _T_2465 = 3'h0 == perr_state; // @[Conditional.scala 37:30] - wire _T_2473 = _T_6 & _T_317; // @[el2_ifu_mem_ctl.scala 489:65] - wire _T_2474 = _T_2473 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 489:88] - wire _T_2476 = _T_2474 & _T_2587; // @[el2_ifu_mem_ctl.scala 489:112] + wire _T_2473 = _T_6 & _T_317; // @[el2_ifu_mem_ctl.scala 490:65] + wire _T_2474 = _T_2473 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 490:88] + wire _T_2476 = _T_2474 & _T_2587; // @[el2_ifu_mem_ctl.scala 490:112] wire _T_2477 = 3'h1 == perr_state; // @[Conditional.scala 37:30] - wire _T_2478 = io_dec_tlu_flush_lower_wb | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 494:50] + wire _T_2478 = io_dec_tlu_flush_lower_wb | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 495:50] wire _T_2480 = 3'h2 == perr_state; // @[Conditional.scala 37:30] wire _T_2486 = 3'h4 == perr_state; // @[Conditional.scala 37:30] wire _T_2488 = 3'h3 == perr_state; // @[Conditional.scala 37:30] @@ -3279,28 +3281,28 @@ module el2_ifu_mem_ctl( wire _GEN_43 = _T_2477 ? _T_2478 : _GEN_41; // @[Conditional.scala 39:67] wire perr_state_en = _T_2465 ? _T_2476 : _GEN_43; // @[Conditional.scala 40:58] wire perr_sb_write_status = _T_2465 & perr_state_en; // @[Conditional.scala 40:58] - wire _T_2479 = io_dec_tlu_flush_lower_wb & io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 495:56] + wire _T_2479 = io_dec_tlu_flush_lower_wb & io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 496:56] wire _GEN_44 = _T_2477 & _T_2479; // @[Conditional.scala 39:67] wire perr_sel_invalidate = _T_2465 ? 1'h0 : _GEN_44; // @[Conditional.scala 40:58] wire [1:0] perr_err_inv_way = perr_sel_invalidate ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 480:58] - wire _T_2462 = ~dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 479:49] - wire _T_2467 = io_ic_error_start & _T_317; // @[el2_ifu_mem_ctl.scala 488:87] - wire _T_2481 = io_dec_tlu_flush_err_wb & io_dec_tlu_flush_lower_wb; // @[el2_ifu_mem_ctl.scala 498:54] - wire _T_2482 = _T_2481 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 498:84] - wire _T_2491 = perr_state == 3'h2; // @[el2_ifu_mem_ctl.scala 519:66] - wire _T_2492 = io_dec_tlu_flush_err_wb & _T_2491; // @[el2_ifu_mem_ctl.scala 519:52] - wire _T_2494 = _T_2492 & _T_2587; // @[el2_ifu_mem_ctl.scala 519:81] - wire _T_2496 = io_dec_tlu_flush_lower_wb | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 522:59] - wire _T_2497 = _T_2496 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 522:86] - wire _T_2511 = _T_2496 | io_ifu_fetch_val[0]; // @[el2_ifu_mem_ctl.scala 525:81] - wire _T_2512 = _T_2511 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 525:103] - wire _T_2513 = _T_2512 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 525:126] - wire _T_2533 = _T_2511 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 532:103] - wire _T_2540 = ~io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 537:62] - wire _T_2541 = io_dec_tlu_flush_lower_wb & _T_2540; // @[el2_ifu_mem_ctl.scala 537:60] - wire _T_2542 = _T_2541 | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 537:88] - wire _T_2543 = _T_2542 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 537:115] + reg dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 481:58] + wire _T_2462 = ~dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 480:49] + wire _T_2467 = io_ic_error_start & _T_317; // @[el2_ifu_mem_ctl.scala 489:87] + wire _T_2481 = io_dec_tlu_flush_err_wb & io_dec_tlu_flush_lower_wb; // @[el2_ifu_mem_ctl.scala 499:54] + wire _T_2482 = _T_2481 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 499:84] + wire _T_2491 = perr_state == 3'h2; // @[el2_ifu_mem_ctl.scala 520:66] + wire _T_2492 = io_dec_tlu_flush_err_wb & _T_2491; // @[el2_ifu_mem_ctl.scala 520:52] + wire _T_2494 = _T_2492 & _T_2587; // @[el2_ifu_mem_ctl.scala 520:81] + wire _T_2496 = io_dec_tlu_flush_lower_wb | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 523:59] + wire _T_2497 = _T_2496 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 523:86] + wire _T_2511 = _T_2496 | io_ifu_fetch_val[0]; // @[el2_ifu_mem_ctl.scala 526:81] + wire _T_2512 = _T_2511 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 526:103] + wire _T_2513 = _T_2512 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 526:126] + wire _T_2533 = _T_2511 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 533:103] + wire _T_2540 = ~io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 538:62] + wire _T_2541 = io_dec_tlu_flush_lower_wb & _T_2540; // @[el2_ifu_mem_ctl.scala 538:60] + wire _T_2542 = _T_2541 | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 538:88] + wire _T_2543 = _T_2542 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 538:115] wire _GEN_51 = _T_2539 & _T_2497; // @[Conditional.scala 39:67] wire _GEN_54 = _T_2522 ? _T_2533 : _GEN_51; // @[Conditional.scala 39:67] wire _GEN_56 = _T_2522 | _T_2539; // @[Conditional.scala 39:67] @@ -3308,65 +3310,65 @@ module el2_ifu_mem_ctl( wire _GEN_60 = _T_2495 | _GEN_56; // @[Conditional.scala 39:67] wire err_stop_state_en = _T_2490 ? _T_2494 : _GEN_58; // @[Conditional.scala 40:58] reg ifu_bus_cmd_valid; // @[Reg.scala 27:20] - wire _T_2555 = ic_act_miss_f | ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 554:64] - wire _T_2557 = _T_2555 & _T_2587; // @[el2_ifu_mem_ctl.scala 554:85] + wire _T_2555 = ic_act_miss_f | ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 555:64] + wire _T_2557 = _T_2555 & _T_2587; // @[el2_ifu_mem_ctl.scala 555:85] reg [2:0] bus_cmd_beat_count; // @[Reg.scala 27:20] - wire _T_2559 = bus_cmd_beat_count == 3'h7; // @[el2_ifu_mem_ctl.scala 554:133] - wire _T_2560 = _T_2559 & ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 554:164] - wire _T_2561 = _T_2560 & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 554:184] - wire _T_2562 = _T_2561 & miss_pending; // @[el2_ifu_mem_ctl.scala 554:204] - wire _T_2563 = ~_T_2562; // @[el2_ifu_mem_ctl.scala 554:112] - wire ifc_bus_ic_req_ff_in = _T_2557 & _T_2563; // @[el2_ifu_mem_ctl.scala 554:110] - wire _T_2564 = io_ifu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 555:80] - wire ifu_bus_arready = io_ifu_axi_arready & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 586:45] - wire _T_2581 = io_ifu_axi_arvalid & ifu_bus_arready; // @[el2_ifu_mem_ctl.scala 589:35] - wire _T_2582 = _T_2581 & miss_pending; // @[el2_ifu_mem_ctl.scala 589:53] - wire bus_cmd_sent = _T_2582 & _T_2587; // @[el2_ifu_mem_ctl.scala 589:68] + wire _T_2559 = bus_cmd_beat_count == 3'h7; // @[el2_ifu_mem_ctl.scala 555:133] + wire _T_2560 = _T_2559 & ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 555:164] + wire _T_2561 = _T_2560 & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 555:184] + wire _T_2562 = _T_2561 & miss_pending; // @[el2_ifu_mem_ctl.scala 555:204] + wire _T_2563 = ~_T_2562; // @[el2_ifu_mem_ctl.scala 555:112] + wire ifc_bus_ic_req_ff_in = _T_2557 & _T_2563; // @[el2_ifu_mem_ctl.scala 555:110] + wire _T_2564 = io_ifu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 556:80] + wire ifu_bus_arready = io_ifu_axi_arready & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 587:45] + wire _T_2581 = io_ifu_axi_arvalid & ifu_bus_arready; // @[el2_ifu_mem_ctl.scala 590:35] + wire _T_2582 = _T_2581 & miss_pending; // @[el2_ifu_mem_ctl.scala 590:53] + wire bus_cmd_sent = _T_2582 & _T_2587; // @[el2_ifu_mem_ctl.scala 590:68] wire [2:0] _T_2572 = ifu_bus_cmd_valid ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_2574 = {miss_addr,bus_rd_addr_count,3'h0}; // @[Cat.scala 29:58] wire [31:0] _T_2576 = ifu_bus_cmd_valid ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] reg ifu_bus_arready_unq_ff; // @[Reg.scala 27:20] reg ifu_bus_arvalid_ff; // @[Reg.scala 27:20] - wire ifu_bus_arready_ff = ifu_bus_arready_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 587:51] - wire _T_2602 = ~scnd_miss_req; // @[el2_ifu_mem_ctl.scala 597:73] - wire _T_2603 = _T_2588 & _T_2602; // @[el2_ifu_mem_ctl.scala 597:71] - wire _T_2605 = last_data_recieved_ff & _T_1339; // @[el2_ifu_mem_ctl.scala 597:114] - wire last_data_recieved_in = _T_2603 | _T_2605; // @[el2_ifu_mem_ctl.scala 597:89] - wire [2:0] _T_2611 = bus_rd_addr_count + 3'h1; // @[el2_ifu_mem_ctl.scala 602:45] - wire _T_2614 = io_ifu_bus_clk_en | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 603:81] - wire _T_2615 = _T_2614 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 603:97] - wire _T_2617 = ifu_bus_cmd_valid & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 605:48] - wire _T_2618 = _T_2617 & miss_pending; // @[el2_ifu_mem_ctl.scala 605:68] - wire bus_inc_cmd_beat_cnt = _T_2618 & _T_2587; // @[el2_ifu_mem_ctl.scala 605:83] - wire bus_reset_cmd_beat_cnt_secondlast = ic_act_miss_f & uncacheable_miss_in; // @[el2_ifu_mem_ctl.scala 607:57] - wire _T_2622 = ~bus_inc_cmd_beat_cnt; // @[el2_ifu_mem_ctl.scala 608:31] - wire _T_2623 = ic_act_miss_f | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 608:71] - wire _T_2624 = _T_2623 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 608:87] - wire _T_2625 = ~_T_2624; // @[el2_ifu_mem_ctl.scala 608:55] - wire bus_hold_cmd_beat_cnt = _T_2622 & _T_2625; // @[el2_ifu_mem_ctl.scala 608:53] - wire _T_2626 = bus_inc_cmd_beat_cnt | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 609:46] - wire bus_cmd_beat_en = _T_2626 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 609:62] - wire [2:0] _T_2629 = bus_cmd_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 611:46] + wire ifu_bus_arready_ff = ifu_bus_arready_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 588:51] + wire _T_2602 = ~scnd_miss_req; // @[el2_ifu_mem_ctl.scala 598:73] + wire _T_2603 = _T_2588 & _T_2602; // @[el2_ifu_mem_ctl.scala 598:71] + wire _T_2605 = last_data_recieved_ff & _T_1339; // @[el2_ifu_mem_ctl.scala 598:114] + wire last_data_recieved_in = _T_2603 | _T_2605; // @[el2_ifu_mem_ctl.scala 598:89] + wire [2:0] _T_2611 = bus_rd_addr_count + 3'h1; // @[el2_ifu_mem_ctl.scala 603:45] + wire _T_2614 = io_ifu_bus_clk_en | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 604:81] + wire _T_2615 = _T_2614 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 604:97] + wire _T_2617 = ifu_bus_cmd_valid & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 606:48] + wire _T_2618 = _T_2617 & miss_pending; // @[el2_ifu_mem_ctl.scala 606:68] + wire bus_inc_cmd_beat_cnt = _T_2618 & _T_2587; // @[el2_ifu_mem_ctl.scala 606:83] + wire bus_reset_cmd_beat_cnt_secondlast = ic_act_miss_f & uncacheable_miss_in; // @[el2_ifu_mem_ctl.scala 608:57] + wire _T_2622 = ~bus_inc_cmd_beat_cnt; // @[el2_ifu_mem_ctl.scala 609:31] + wire _T_2623 = ic_act_miss_f | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 609:71] + wire _T_2624 = _T_2623 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 609:87] + wire _T_2625 = ~_T_2624; // @[el2_ifu_mem_ctl.scala 609:55] + wire bus_hold_cmd_beat_cnt = _T_2622 & _T_2625; // @[el2_ifu_mem_ctl.scala 609:53] + wire _T_2626 = bus_inc_cmd_beat_cnt | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 610:46] + wire bus_cmd_beat_en = _T_2626 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 610:62] + wire [2:0] _T_2629 = bus_cmd_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 612:46] wire [2:0] _T_2631 = bus_reset_cmd_beat_cnt_secondlast ? 3'h6 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2632 = bus_inc_cmd_beat_cnt ? _T_2629 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2633 = bus_hold_cmd_beat_cnt ? bus_cmd_beat_count : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2635 = _T_2631 | _T_2632; // @[Mux.scala 27:72] wire [2:0] bus_new_cmd_beat_count = _T_2635 | _T_2633; // @[Mux.scala 27:72] - wire _T_2639 = _T_2615 & bus_cmd_beat_en; // @[el2_ifu_mem_ctl.scala 612:125] - reg ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 623:62] - wire _T_2667 = ~iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 628:50] - wire _T_2668 = io_ifc_dma_access_ok & _T_2667; // @[el2_ifu_mem_ctl.scala 628:47] - wire _T_2669 = ~io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 628:70] - wire ifc_dma_access_ok_d = _T_2668 & _T_2669; // @[el2_ifu_mem_ctl.scala 628:68] - wire _T_2673 = _T_2668 & ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 629:72] - wire _T_2674 = perr_state == 3'h0; // @[el2_ifu_mem_ctl.scala 629:111] - wire _T_2675 = _T_2673 & _T_2674; // @[el2_ifu_mem_ctl.scala 629:97] - wire ifc_dma_access_q_ok = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 629:127] - wire _T_2678 = ifc_dma_access_q_ok & io_dma_iccm_req; // @[el2_ifu_mem_ctl.scala 632:40] - wire _T_2679 = _T_2678 & io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 632:58] - wire _T_2682 = ~io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 633:60] - wire _T_2683 = _T_2678 & _T_2682; // @[el2_ifu_mem_ctl.scala 633:58] - wire _T_2684 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[el2_ifu_mem_ctl.scala 633:104] + wire _T_2639 = _T_2615 & bus_cmd_beat_en; // @[el2_ifu_mem_ctl.scala 613:125] + reg ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 624:62] + wire _T_2667 = ~iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 629:50] + wire _T_2668 = io_ifc_dma_access_ok & _T_2667; // @[el2_ifu_mem_ctl.scala 629:47] + wire _T_2669 = ~io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 629:70] + wire ifc_dma_access_ok_d = _T_2668 & _T_2669; // @[el2_ifu_mem_ctl.scala 629:68] + wire _T_2673 = _T_2668 & ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 630:72] + wire _T_2674 = perr_state == 3'h0; // @[el2_ifu_mem_ctl.scala 630:111] + wire _T_2675 = _T_2673 & _T_2674; // @[el2_ifu_mem_ctl.scala 630:97] + wire ifc_dma_access_q_ok = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 630:127] + wire _T_2678 = ifc_dma_access_q_ok & io_dma_iccm_req; // @[el2_ifu_mem_ctl.scala 633:40] + wire _T_2679 = _T_2678 & io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 633:58] + wire _T_2682 = ~io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 634:60] + wire _T_2683 = _T_2678 & _T_2682; // @[el2_ifu_mem_ctl.scala 634:58] + wire _T_2684 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[el2_ifu_mem_ctl.scala 634:104] wire [2:0] _T_2689 = io_dma_iccm_req ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] wire [8:0] _T_2795 = {io_dma_mem_wdata[48],io_dma_mem_wdata[46],io_dma_mem_wdata[44],io_dma_mem_wdata[42],io_dma_mem_wdata[40],io_dma_mem_wdata[38],io_dma_mem_wdata[37],io_dma_mem_wdata[35],io_dma_mem_wdata[33]}; // @[el2_lib.scala 268:22] wire [17:0] _T_2804 = {io_dma_mem_wdata[63],io_dma_mem_wdata[62],io_dma_mem_wdata[60],io_dma_mem_wdata[59],io_dma_mem_wdata[57],io_dma_mem_wdata[55],io_dma_mem_wdata[53],io_dma_mem_wdata[52],io_dma_mem_wdata[50],_T_2795}; // @[el2_lib.scala 268:22] @@ -3410,12 +3412,12 @@ module el2_ifu_mem_ctl( wire _T_3081 = _T_3079 ^ _T_3080; // @[el2_lib.scala 269:18] wire [6:0] _T_3082 = {_T_3081,_T_3001,_T_3019,_T_3037,_T_3052,_T_3067,_T_3073}; // @[Cat.scala 29:58] wire [13:0] dma_mem_ecc = {_T_2885,_T_2805,_T_2823,_T_2841,_T_2856,_T_2871,_T_2877,_T_3082}; // @[Cat.scala 29:58] - wire _T_3084 = ~_T_2678; // @[el2_ifu_mem_ctl.scala 638:45] - wire _T_3085 = iccm_correct_ecc & _T_3084; // @[el2_ifu_mem_ctl.scala 638:43] + wire _T_3084 = ~_T_2678; // @[el2_ifu_mem_ctl.scala 639:45] + wire _T_3085 = iccm_correct_ecc & _T_3084; // @[el2_ifu_mem_ctl.scala 639:43] reg [38:0] iccm_ecc_corr_data_ff; // @[Reg.scala 27:20] wire [77:0] _T_3086 = {iccm_ecc_corr_data_ff,iccm_ecc_corr_data_ff}; // @[Cat.scala 29:58] wire [77:0] _T_3093 = {dma_mem_ecc[13:7],io_dma_mem_wdata[63:32],dma_mem_ecc[6:0],io_dma_mem_wdata[31:0]}; // @[Cat.scala 29:58] - reg [1:0] dma_mem_addr_ff; // @[el2_ifu_mem_ctl.scala 652:53] + reg [1:0] dma_mem_addr_ff; // @[el2_ifu_mem_ctl.scala 653:53] wire _T_3425 = _T_3337[5:0] == 6'h27; // @[el2_lib.scala 307:41] wire _T_3423 = _T_3337[5:0] == 6'h26; // @[el2_lib.scala 307:41] wire _T_3421 = _T_3337[5:0] == 6'h25; // @[el2_lib.scala 307:41] @@ -3514,316 +3516,316 @@ module el2_ifu_mem_ctl( wire [38:0] _T_3871 = _T_3870 ^ _T_3831; // @[el2_lib.scala 310:76] wire [38:0] _T_3872 = _T_3726 ? _T_3871 : _T_3831; // @[el2_lib.scala 310:31] wire [31:0] iccm_corrected_data_1 = {_T_3872[37:32],_T_3872[30:16],_T_3872[14:8],_T_3872[6:4],_T_3872[2]}; // @[Cat.scala 29:58] - wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 644:35] + wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 645:35] wire _T_3345 = ~_T_3337[6]; // @[el2_lib.scala 303:55] wire _T_3346 = _T_3339 & _T_3345; // @[el2_lib.scala 303:53] wire _T_3730 = ~_T_3722[6]; // @[el2_lib.scala 303:55] wire _T_3731 = _T_3724 & _T_3730; // @[el2_lib.scala 303:53] wire [1:0] iccm_double_ecc_error = {_T_3346,_T_3731}; // @[Cat.scala 29:58] - wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 646:53] + wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 647:53] wire [63:0] _T_3097 = {io_dma_mem_addr,io_dma_mem_addr}; // @[Cat.scala 29:58] wire [63:0] _T_3098 = {iccm_dma_rdata_1_muxed,_T_3487[37:32],_T_3487[30:16],_T_3487[14:8],_T_3487[6:4],_T_3487[2]}; // @[Cat.scala 29:58] - reg [2:0] dma_mem_tag_ff; // @[el2_ifu_mem_ctl.scala 648:54] - reg [2:0] iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 649:69] - reg iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 654:71] - reg [63:0] iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 658:70] - wire _T_3103 = _T_2678 & _T_2667; // @[el2_ifu_mem_ctl.scala 661:65] - wire _T_3106 = _T_3084 & iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 662:50] + reg [2:0] dma_mem_tag_ff; // @[el2_ifu_mem_ctl.scala 649:54] + reg [2:0] iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 650:69] + reg iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 655:71] + reg [63:0] iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 659:70] + wire _T_3103 = _T_2678 & _T_2667; // @[el2_ifu_mem_ctl.scala 662:65] + wire _T_3106 = _T_3084 & iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 663:50] reg [13:0] iccm_ecc_corr_index_ff; // @[Reg.scala 27:20] wire [14:0] _T_3107 = {iccm_ecc_corr_index_ff,1'h0}; // @[Cat.scala 29:58] - wire [15:0] _T_3109 = _T_3106 ? {{1'd0}, _T_3107} : io_ifc_fetch_addr_bf[15:0]; // @[el2_ifu_mem_ctl.scala 662:8] - wire [31:0] _T_3110 = _T_3103 ? io_dma_mem_addr : {{16'd0}, _T_3109}; // @[el2_ifu_mem_ctl.scala 661:25] + wire [15:0] _T_3109 = _T_3106 ? {{1'd0}, _T_3107} : io_ifc_fetch_addr_bf[15:0]; // @[el2_ifu_mem_ctl.scala 663:8] + wire [31:0] _T_3110 = _T_3103 ? io_dma_mem_addr : {{16'd0}, _T_3109}; // @[el2_ifu_mem_ctl.scala 662:25] wire _T_3499 = _T_3337 == 7'h40; // @[el2_lib.scala 313:62] wire _T_3500 = _T_3487[38] ^ _T_3499; // @[el2_lib.scala 313:44] wire [6:0] iccm_corrected_ecc_0 = {_T_3500,_T_3487[31],_T_3487[15],_T_3487[7],_T_3487[3],_T_3487[1:0]}; // @[Cat.scala 29:58] wire _T_3884 = _T_3722 == 7'h40; // @[el2_lib.scala 313:62] wire _T_3885 = _T_3872[38] ^ _T_3884; // @[el2_lib.scala 313:44] wire [6:0] iccm_corrected_ecc_1 = {_T_3885,_T_3872[31],_T_3872[15],_T_3872[7],_T_3872[3],_T_3872[1:0]}; // @[Cat.scala 29:58] - wire _T_3901 = _T_3 & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 674:58] - wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 676:38] - wire [6:0] iccm_corrected_ecc_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_ecc_0 : iccm_corrected_ecc_1; // @[el2_ifu_mem_ctl.scala 677:37] - reg iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 685:62] - wire _T_3909 = ~iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 679:76] - wire _T_3910 = io_iccm_rd_ecc_single_err & _T_3909; // @[el2_ifu_mem_ctl.scala 679:74] - wire _T_3912 = _T_3910 & _T_317; // @[el2_ifu_mem_ctl.scala 679:104] - wire iccm_ecc_write_status = _T_3912 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 679:127] - wire _T_3913 = io_iccm_rd_ecc_single_err | iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 680:67] - wire iccm_rd_ecc_single_err_hold_in = _T_3913 & _T_317; // @[el2_ifu_mem_ctl.scala 680:96] - reg [13:0] iccm_rw_addr_f; // @[el2_ifu_mem_ctl.scala 684:51] - wire [13:0] _T_3918 = iccm_rw_addr_f + 14'h1; // @[el2_ifu_mem_ctl.scala 683:102] + wire _T_3901 = _T_3 & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 675:58] + wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 677:38] + wire [6:0] iccm_corrected_ecc_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_ecc_0 : iccm_corrected_ecc_1; // @[el2_ifu_mem_ctl.scala 678:37] + reg iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 686:62] + wire _T_3909 = ~iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 680:76] + wire _T_3910 = io_iccm_rd_ecc_single_err & _T_3909; // @[el2_ifu_mem_ctl.scala 680:74] + wire _T_3912 = _T_3910 & _T_317; // @[el2_ifu_mem_ctl.scala 680:104] + wire iccm_ecc_write_status = _T_3912 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 680:127] + wire _T_3913 = io_iccm_rd_ecc_single_err | iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 681:67] + wire iccm_rd_ecc_single_err_hold_in = _T_3913 & _T_317; // @[el2_ifu_mem_ctl.scala 681:96] + reg [13:0] iccm_rw_addr_f; // @[el2_ifu_mem_ctl.scala 685:51] + wire [13:0] _T_3918 = iccm_rw_addr_f + 14'h1; // @[el2_ifu_mem_ctl.scala 684:102] wire [38:0] _T_3922 = {iccm_corrected_ecc_f_mux,iccm_corrected_data_f_mux}; // @[Cat.scala 29:58] - wire _T_3927 = ~io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 688:41] - wire _T_3928 = io_ifc_fetch_req_bf & _T_3927; // @[el2_ifu_mem_ctl.scala 688:39] - wire _T_3929 = ~io_ifc_iccm_access_bf; // @[el2_ifu_mem_ctl.scala 688:72] - wire _T_3930 = _T_3928 & _T_3929; // @[el2_ifu_mem_ctl.scala 688:70] - wire _T_3932 = ~miss_state_en; // @[el2_ifu_mem_ctl.scala 689:34] - wire _T_3933 = _T_2233 & _T_3932; // @[el2_ifu_mem_ctl.scala 689:32] - wire _T_3936 = _T_2249 & _T_3932; // @[el2_ifu_mem_ctl.scala 690:37] - wire _T_3937 = _T_3933 | _T_3936; // @[el2_ifu_mem_ctl.scala 689:88] - wire _T_3938 = miss_state == 3'h7; // @[el2_ifu_mem_ctl.scala 691:19] - wire _T_3940 = _T_3938 & _T_3932; // @[el2_ifu_mem_ctl.scala 691:41] - wire _T_3941 = _T_3937 | _T_3940; // @[el2_ifu_mem_ctl.scala 690:88] - wire _T_3942 = miss_state == 3'h3; // @[el2_ifu_mem_ctl.scala 692:19] - wire _T_3944 = _T_3942 & _T_3932; // @[el2_ifu_mem_ctl.scala 692:35] - wire _T_3945 = _T_3941 | _T_3944; // @[el2_ifu_mem_ctl.scala 691:88] - wire _T_3948 = _T_2248 & _T_3932; // @[el2_ifu_mem_ctl.scala 693:38] - wire _T_3949 = _T_3945 | _T_3948; // @[el2_ifu_mem_ctl.scala 692:88] - wire _T_3951 = _T_2249 & miss_state_en; // @[el2_ifu_mem_ctl.scala 694:37] - wire _T_3952 = miss_nxtstate == 3'h3; // @[el2_ifu_mem_ctl.scala 694:71] - wire _T_3953 = _T_3951 & _T_3952; // @[el2_ifu_mem_ctl.scala 694:54] - wire _T_3954 = _T_3949 | _T_3953; // @[el2_ifu_mem_ctl.scala 693:57] - wire _T_3955 = ~_T_3954; // @[el2_ifu_mem_ctl.scala 689:5] - wire _T_3956 = _T_3930 & _T_3955; // @[el2_ifu_mem_ctl.scala 688:96] - wire _T_3957 = io_ifc_fetch_req_bf & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 695:28] - wire _T_3959 = _T_3957 & _T_3927; // @[el2_ifu_mem_ctl.scala 695:50] - wire _T_3961 = _T_3959 & _T_3929; // @[el2_ifu_mem_ctl.scala 695:81] + wire _T_3927 = ~io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 689:41] + wire _T_3928 = io_ifc_fetch_req_bf & _T_3927; // @[el2_ifu_mem_ctl.scala 689:39] + wire _T_3929 = ~io_ifc_iccm_access_bf; // @[el2_ifu_mem_ctl.scala 689:72] + wire _T_3930 = _T_3928 & _T_3929; // @[el2_ifu_mem_ctl.scala 689:70] + wire _T_3932 = ~miss_state_en; // @[el2_ifu_mem_ctl.scala 690:34] + wire _T_3933 = _T_2233 & _T_3932; // @[el2_ifu_mem_ctl.scala 690:32] + wire _T_3936 = _T_2249 & _T_3932; // @[el2_ifu_mem_ctl.scala 691:37] + wire _T_3937 = _T_3933 | _T_3936; // @[el2_ifu_mem_ctl.scala 690:88] + wire _T_3938 = miss_state == 3'h7; // @[el2_ifu_mem_ctl.scala 692:19] + wire _T_3940 = _T_3938 & _T_3932; // @[el2_ifu_mem_ctl.scala 692:41] + wire _T_3941 = _T_3937 | _T_3940; // @[el2_ifu_mem_ctl.scala 691:88] + wire _T_3942 = miss_state == 3'h3; // @[el2_ifu_mem_ctl.scala 693:19] + wire _T_3944 = _T_3942 & _T_3932; // @[el2_ifu_mem_ctl.scala 693:35] + wire _T_3945 = _T_3941 | _T_3944; // @[el2_ifu_mem_ctl.scala 692:88] + wire _T_3948 = _T_2248 & _T_3932; // @[el2_ifu_mem_ctl.scala 694:38] + wire _T_3949 = _T_3945 | _T_3948; // @[el2_ifu_mem_ctl.scala 693:88] + wire _T_3951 = _T_2249 & miss_state_en; // @[el2_ifu_mem_ctl.scala 695:37] + wire _T_3952 = miss_nxtstate == 3'h3; // @[el2_ifu_mem_ctl.scala 695:71] + wire _T_3953 = _T_3951 & _T_3952; // @[el2_ifu_mem_ctl.scala 695:54] + wire _T_3954 = _T_3949 | _T_3953; // @[el2_ifu_mem_ctl.scala 694:57] + wire _T_3955 = ~_T_3954; // @[el2_ifu_mem_ctl.scala 690:5] + wire _T_3956 = _T_3930 & _T_3955; // @[el2_ifu_mem_ctl.scala 689:96] + wire _T_3957 = io_ifc_fetch_req_bf & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 696:28] + wire _T_3959 = _T_3957 & _T_3927; // @[el2_ifu_mem_ctl.scala 696:50] + wire _T_3961 = _T_3959 & _T_3929; // @[el2_ifu_mem_ctl.scala 696:81] wire [1:0] _T_3964 = write_ic_16_bytes ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_10646 = bus_ifu_wr_en_ff_q & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 786:74] - wire bus_wren_1 = _T_10646 & miss_pending; // @[el2_ifu_mem_ctl.scala 786:98] - wire _T_10645 = bus_ifu_wr_en_ff_q & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 786:74] - wire bus_wren_0 = _T_10645 & miss_pending; // @[el2_ifu_mem_ctl.scala 786:98] + wire _T_10646 = bus_ifu_wr_en_ff_q & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 787:74] + wire bus_wren_1 = _T_10646 & miss_pending; // @[el2_ifu_mem_ctl.scala 787:98] + wire _T_10645 = bus_ifu_wr_en_ff_q & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 787:74] + wire bus_wren_0 = _T_10645 & miss_pending; // @[el2_ifu_mem_ctl.scala 787:98] wire [1:0] bus_ic_wr_en = {bus_wren_1,bus_wren_0}; // @[Cat.scala 29:58] - wire _T_3970 = ~_T_108; // @[el2_ifu_mem_ctl.scala 698:106] - wire _T_3971 = _T_2233 & _T_3970; // @[el2_ifu_mem_ctl.scala 698:104] - wire _T_3972 = _T_2249 | _T_3971; // @[el2_ifu_mem_ctl.scala 698:77] - wire _T_3976 = ~_T_51; // @[el2_ifu_mem_ctl.scala 698:172] - wire _T_3977 = _T_3972 & _T_3976; // @[el2_ifu_mem_ctl.scala 698:170] - wire _T_3978 = ~_T_3977; // @[el2_ifu_mem_ctl.scala 698:44] - wire _T_3982 = reset_ic_in | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 701:64] - wire _T_3983 = ~_T_3982; // @[el2_ifu_mem_ctl.scala 701:50] - wire _T_3984 = _T_276 & _T_3983; // @[el2_ifu_mem_ctl.scala 701:48] - wire _T_3985 = ~reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 701:81] - wire ic_valid = _T_3984 & _T_3985; // @[el2_ifu_mem_ctl.scala 701:79] - wire _T_3987 = debug_c1_clken & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 702:82] - reg [6:0] ifu_status_wr_addr_ff; // @[el2_ifu_mem_ctl.scala 705:14] - wire _T_3990 = io_ic_debug_wr_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 708:74] - wire _T_10643 = bus_ifu_wr_en_ff_q & last_beat; // @[el2_ifu_mem_ctl.scala 785:45] - wire way_status_wr_en = _T_10643 | ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 785:58] - wire way_status_wr_en_w_debug = way_status_wr_en | _T_3990; // @[el2_ifu_mem_ctl.scala 708:53] - reg way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 710:14] - wire [2:0] _T_3994 = {{2'd0}, io_ic_debug_wr_data[4]}; // @[el2_ifu_mem_ctl.scala 714:10] - wire way_status_hit_new = io_ic_rd_hit[0]; // @[el2_ifu_mem_ctl.scala 781:41] - wire way_status_new = _T_10643 ? replace_way_mb_any_0 : way_status_hit_new; // @[el2_ifu_mem_ctl.scala 784:26] - reg [2:0] way_status_new_ff; // @[el2_ifu_mem_ctl.scala 716:14] - wire way_status_clken_0 = ifu_status_wr_addr_ff[6:3] == 4'h0; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_1 = ifu_status_wr_addr_ff[6:3] == 4'h1; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_2 = ifu_status_wr_addr_ff[6:3] == 4'h2; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_3 = ifu_status_wr_addr_ff[6:3] == 4'h3; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_4 = ifu_status_wr_addr_ff[6:3] == 4'h4; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_5 = ifu_status_wr_addr_ff[6:3] == 4'h5; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_6 = ifu_status_wr_addr_ff[6:3] == 4'h6; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_7 = ifu_status_wr_addr_ff[6:3] == 4'h7; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_8 = ifu_status_wr_addr_ff[6:3] == 4'h8; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_9 = ifu_status_wr_addr_ff[6:3] == 4'h9; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_10 = ifu_status_wr_addr_ff[6:3] == 4'ha; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_11 = ifu_status_wr_addr_ff[6:3] == 4'hb; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_12 = ifu_status_wr_addr_ff[6:3] == 4'hc; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_13 = ifu_status_wr_addr_ff[6:3] == 4'hd; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_14 = ifu_status_wr_addr_ff[6:3] == 4'he; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_15 = ifu_status_wr_addr_ff[6:3] == 4'hf; // @[el2_ifu_mem_ctl.scala 718:132] - wire _T_4011 = ifu_status_wr_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4012 = _T_4011 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4013 = _T_4012 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4015 = ifu_status_wr_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4016 = _T_4015 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4017 = _T_4016 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4019 = ifu_status_wr_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4020 = _T_4019 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4021 = _T_4020 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4023 = ifu_status_wr_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4024 = _T_4023 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4025 = _T_4024 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4027 = ifu_status_wr_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4028 = _T_4027 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4029 = _T_4028 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4031 = ifu_status_wr_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4032 = _T_4031 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4033 = _T_4032 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4035 = ifu_status_wr_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4036 = _T_4035 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4037 = _T_4036 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4039 = ifu_status_wr_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4040 = _T_4039 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4041 = _T_4040 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4045 = _T_4012 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4049 = _T_4016 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4053 = _T_4020 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4057 = _T_4024 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4061 = _T_4028 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4065 = _T_4032 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4069 = _T_4036 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4073 = _T_4040 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4077 = _T_4012 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4081 = _T_4016 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4085 = _T_4020 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4089 = _T_4024 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4093 = _T_4028 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4097 = _T_4032 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4101 = _T_4036 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4105 = _T_4040 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4109 = _T_4012 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4113 = _T_4016 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4117 = _T_4020 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4121 = _T_4024 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4125 = _T_4028 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4129 = _T_4032 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4133 = _T_4036 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4137 = _T_4040 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4141 = _T_4012 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4145 = _T_4016 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4149 = _T_4020 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4153 = _T_4024 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4157 = _T_4028 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4161 = _T_4032 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4165 = _T_4036 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4169 = _T_4040 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4173 = _T_4012 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4177 = _T_4016 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4181 = _T_4020 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4185 = _T_4024 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4189 = _T_4028 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4193 = _T_4032 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4197 = _T_4036 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4201 = _T_4040 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4205 = _T_4012 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4209 = _T_4016 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4213 = _T_4020 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4217 = _T_4024 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4221 = _T_4028 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4225 = _T_4032 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4229 = _T_4036 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4233 = _T_4040 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4237 = _T_4012 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4241 = _T_4016 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4245 = _T_4020 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4249 = _T_4024 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4253 = _T_4028 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4257 = _T_4032 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4261 = _T_4036 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4265 = _T_4040 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4269 = _T_4012 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4273 = _T_4016 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4277 = _T_4020 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4281 = _T_4024 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4285 = _T_4028 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4289 = _T_4032 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4293 = _T_4036 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4297 = _T_4040 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4301 = _T_4012 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4305 = _T_4016 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4309 = _T_4020 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4313 = _T_4024 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4317 = _T_4028 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4321 = _T_4032 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4325 = _T_4036 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4329 = _T_4040 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4333 = _T_4012 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4337 = _T_4016 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4341 = _T_4020 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4345 = _T_4024 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4349 = _T_4028 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4353 = _T_4032 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4357 = _T_4036 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4361 = _T_4040 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4365 = _T_4012 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4369 = _T_4016 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4373 = _T_4020 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4377 = _T_4024 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4381 = _T_4028 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4385 = _T_4032 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4389 = _T_4036 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4393 = _T_4040 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4397 = _T_4012 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4401 = _T_4016 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4405 = _T_4020 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4409 = _T_4024 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4413 = _T_4028 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4417 = _T_4032 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4421 = _T_4036 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4425 = _T_4040 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4429 = _T_4012 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4433 = _T_4016 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4437 = _T_4020 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4441 = _T_4024 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4445 = _T_4028 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4449 = _T_4032 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4453 = _T_4036 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4457 = _T_4040 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4461 = _T_4012 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4465 = _T_4016 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4469 = _T_4020 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4473 = _T_4024 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4477 = _T_4028 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4481 = _T_4032 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4485 = _T_4036 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4489 = _T_4040 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4493 = _T_4012 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4497 = _T_4016 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4501 = _T_4020 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4505 = _T_4024 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4509 = _T_4028 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4513 = _T_4032 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4517 = _T_4036 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4521 = _T_4040 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_10649 = _T_100 & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 788:84] - wire _T_10650 = _T_10649 & miss_pending; // @[el2_ifu_mem_ctl.scala 788:108] - wire bus_wren_last_1 = _T_10650 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 788:123] - wire wren_reset_miss_1 = replace_way_mb_any_1 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 789:84] - wire _T_10652 = bus_wren_last_1 | wren_reset_miss_1; // @[el2_ifu_mem_ctl.scala 790:73] - wire _T_10647 = _T_100 & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 788:84] - wire _T_10648 = _T_10647 & miss_pending; // @[el2_ifu_mem_ctl.scala 788:108] - wire bus_wren_last_0 = _T_10648 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 788:123] - wire wren_reset_miss_0 = replace_way_mb_any_0 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 789:84] - wire _T_10651 = bus_wren_last_0 | wren_reset_miss_0; // @[el2_ifu_mem_ctl.scala 790:73] + wire _T_3970 = ~_T_108; // @[el2_ifu_mem_ctl.scala 699:106] + wire _T_3971 = _T_2233 & _T_3970; // @[el2_ifu_mem_ctl.scala 699:104] + wire _T_3972 = _T_2249 | _T_3971; // @[el2_ifu_mem_ctl.scala 699:77] + wire _T_3976 = ~_T_51; // @[el2_ifu_mem_ctl.scala 699:172] + wire _T_3977 = _T_3972 & _T_3976; // @[el2_ifu_mem_ctl.scala 699:170] + wire _T_3978 = ~_T_3977; // @[el2_ifu_mem_ctl.scala 699:44] + wire _T_3982 = reset_ic_in | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 702:64] + wire _T_3983 = ~_T_3982; // @[el2_ifu_mem_ctl.scala 702:50] + wire _T_3984 = _T_276 & _T_3983; // @[el2_ifu_mem_ctl.scala 702:48] + wire _T_3985 = ~reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 702:81] + wire ic_valid = _T_3984 & _T_3985; // @[el2_ifu_mem_ctl.scala 702:79] + wire _T_3987 = debug_c1_clken & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 703:82] + reg [6:0] ifu_status_wr_addr_ff; // @[el2_ifu_mem_ctl.scala 706:14] + wire _T_3990 = io_ic_debug_wr_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 709:74] + wire _T_10643 = bus_ifu_wr_en_ff_q & last_beat; // @[el2_ifu_mem_ctl.scala 786:45] + wire way_status_wr_en = _T_10643 | ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 786:58] + wire way_status_wr_en_w_debug = way_status_wr_en | _T_3990; // @[el2_ifu_mem_ctl.scala 709:53] + reg way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 711:14] + wire [2:0] _T_3994 = {{2'd0}, io_ic_debug_wr_data[4]}; // @[el2_ifu_mem_ctl.scala 715:10] + wire way_status_hit_new = io_ic_rd_hit[0]; // @[el2_ifu_mem_ctl.scala 782:41] + wire way_status_new = _T_10643 ? replace_way_mb_any_0 : way_status_hit_new; // @[el2_ifu_mem_ctl.scala 785:26] + reg [2:0] way_status_new_ff; // @[el2_ifu_mem_ctl.scala 717:14] + wire way_status_clken_0 = ifu_status_wr_addr_ff[6:3] == 4'h0; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_1 = ifu_status_wr_addr_ff[6:3] == 4'h1; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_2 = ifu_status_wr_addr_ff[6:3] == 4'h2; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_3 = ifu_status_wr_addr_ff[6:3] == 4'h3; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_4 = ifu_status_wr_addr_ff[6:3] == 4'h4; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_5 = ifu_status_wr_addr_ff[6:3] == 4'h5; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_6 = ifu_status_wr_addr_ff[6:3] == 4'h6; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_7 = ifu_status_wr_addr_ff[6:3] == 4'h7; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_8 = ifu_status_wr_addr_ff[6:3] == 4'h8; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_9 = ifu_status_wr_addr_ff[6:3] == 4'h9; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_10 = ifu_status_wr_addr_ff[6:3] == 4'ha; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_11 = ifu_status_wr_addr_ff[6:3] == 4'hb; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_12 = ifu_status_wr_addr_ff[6:3] == 4'hc; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_13 = ifu_status_wr_addr_ff[6:3] == 4'hd; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_14 = ifu_status_wr_addr_ff[6:3] == 4'he; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_15 = ifu_status_wr_addr_ff[6:3] == 4'hf; // @[el2_ifu_mem_ctl.scala 719:132] + wire _T_4011 = ifu_status_wr_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4012 = _T_4011 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4013 = _T_4012 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4015 = ifu_status_wr_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4016 = _T_4015 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4017 = _T_4016 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4019 = ifu_status_wr_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4020 = _T_4019 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4021 = _T_4020 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4023 = ifu_status_wr_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4024 = _T_4023 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4025 = _T_4024 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4027 = ifu_status_wr_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4028 = _T_4027 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4029 = _T_4028 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4031 = ifu_status_wr_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4032 = _T_4031 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4033 = _T_4032 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4035 = ifu_status_wr_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4036 = _T_4035 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4037 = _T_4036 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4039 = ifu_status_wr_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4040 = _T_4039 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4041 = _T_4040 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4045 = _T_4012 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4049 = _T_4016 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4053 = _T_4020 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4057 = _T_4024 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4061 = _T_4028 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4065 = _T_4032 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4069 = _T_4036 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4073 = _T_4040 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4077 = _T_4012 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4081 = _T_4016 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4085 = _T_4020 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4089 = _T_4024 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4093 = _T_4028 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4097 = _T_4032 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4101 = _T_4036 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4105 = _T_4040 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4109 = _T_4012 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4113 = _T_4016 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4117 = _T_4020 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4121 = _T_4024 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4125 = _T_4028 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4129 = _T_4032 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4133 = _T_4036 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4137 = _T_4040 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4141 = _T_4012 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4145 = _T_4016 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4149 = _T_4020 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4153 = _T_4024 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4157 = _T_4028 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4161 = _T_4032 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4165 = _T_4036 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4169 = _T_4040 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4173 = _T_4012 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4177 = _T_4016 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4181 = _T_4020 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4185 = _T_4024 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4189 = _T_4028 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4193 = _T_4032 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4197 = _T_4036 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4201 = _T_4040 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4205 = _T_4012 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4209 = _T_4016 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4213 = _T_4020 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4217 = _T_4024 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4221 = _T_4028 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4225 = _T_4032 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4229 = _T_4036 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4233 = _T_4040 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4237 = _T_4012 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4241 = _T_4016 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4245 = _T_4020 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4249 = _T_4024 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4253 = _T_4028 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4257 = _T_4032 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4261 = _T_4036 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4265 = _T_4040 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4269 = _T_4012 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4273 = _T_4016 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4277 = _T_4020 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4281 = _T_4024 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4285 = _T_4028 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4289 = _T_4032 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4293 = _T_4036 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4297 = _T_4040 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4301 = _T_4012 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4305 = _T_4016 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4309 = _T_4020 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4313 = _T_4024 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4317 = _T_4028 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4321 = _T_4032 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4325 = _T_4036 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4329 = _T_4040 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4333 = _T_4012 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4337 = _T_4016 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4341 = _T_4020 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4345 = _T_4024 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4349 = _T_4028 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4353 = _T_4032 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4357 = _T_4036 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4361 = _T_4040 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4365 = _T_4012 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4369 = _T_4016 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4373 = _T_4020 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4377 = _T_4024 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4381 = _T_4028 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4385 = _T_4032 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4389 = _T_4036 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4393 = _T_4040 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4397 = _T_4012 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4401 = _T_4016 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4405 = _T_4020 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4409 = _T_4024 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4413 = _T_4028 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4417 = _T_4032 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4421 = _T_4036 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4425 = _T_4040 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4429 = _T_4012 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4433 = _T_4016 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4437 = _T_4020 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4441 = _T_4024 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4445 = _T_4028 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4449 = _T_4032 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4453 = _T_4036 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4457 = _T_4040 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4461 = _T_4012 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4465 = _T_4016 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4469 = _T_4020 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4473 = _T_4024 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4477 = _T_4028 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4481 = _T_4032 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4485 = _T_4036 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4489 = _T_4040 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4493 = _T_4012 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4497 = _T_4016 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4501 = _T_4020 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4505 = _T_4024 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4509 = _T_4028 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4513 = _T_4032 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4517 = _T_4036 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4521 = _T_4040 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_10649 = _T_100 & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 789:84] + wire _T_10650 = _T_10649 & miss_pending; // @[el2_ifu_mem_ctl.scala 789:108] + wire bus_wren_last_1 = _T_10650 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 789:123] + wire wren_reset_miss_1 = replace_way_mb_any_1 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 790:84] + wire _T_10652 = bus_wren_last_1 | wren_reset_miss_1; // @[el2_ifu_mem_ctl.scala 791:73] + wire _T_10647 = _T_100 & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 789:84] + wire _T_10648 = _T_10647 & miss_pending; // @[el2_ifu_mem_ctl.scala 789:108] + wire bus_wren_last_0 = _T_10648 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 789:123] + wire wren_reset_miss_0 = replace_way_mb_any_0 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 790:84] + wire _T_10651 = bus_wren_last_0 | wren_reset_miss_0; // @[el2_ifu_mem_ctl.scala 791:73] wire [1:0] ifu_tag_wren = {_T_10652,_T_10651}; // @[Cat.scala 29:58] wire [1:0] _T_10687 = _T_3990 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] ic_debug_tag_wr_en = _T_10687 & io_ic_debug_way; // @[el2_ifu_mem_ctl.scala 824:90] - wire [1:0] ifu_tag_wren_w_debug = ifu_tag_wren | ic_debug_tag_wr_en; // @[el2_ifu_mem_ctl.scala 731:45] - reg [1:0] ifu_tag_wren_ff; // @[el2_ifu_mem_ctl.scala 733:14] - reg ic_valid_ff; // @[el2_ifu_mem_ctl.scala 737:14] - wire _T_5170 = ifu_ic_rw_int_addr_ff[6:5] == 2'h0; // @[el2_ifu_mem_ctl.scala 741:78] - wire _T_5172 = _T_5170 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 741:87] - wire _T_5174 = perr_ic_index_ff[6:5] == 2'h0; // @[el2_ifu_mem_ctl.scala 742:70] - wire _T_5176 = _T_5174 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 742:79] - wire _T_5177 = _T_5172 | _T_5176; // @[el2_ifu_mem_ctl.scala 741:109] - wire _T_5178 = _T_5177 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:102] - wire _T_5182 = _T_5170 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 741:87] - wire _T_5186 = _T_5174 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 742:79] - wire _T_5187 = _T_5182 | _T_5186; // @[el2_ifu_mem_ctl.scala 741:109] - wire _T_5188 = _T_5187 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:102] + wire [1:0] ic_debug_tag_wr_en = _T_10687 & io_ic_debug_way; // @[el2_ifu_mem_ctl.scala 825:90] + wire [1:0] ifu_tag_wren_w_debug = ifu_tag_wren | ic_debug_tag_wr_en; // @[el2_ifu_mem_ctl.scala 732:45] + reg [1:0] ifu_tag_wren_ff; // @[el2_ifu_mem_ctl.scala 734:14] + reg ic_valid_ff; // @[el2_ifu_mem_ctl.scala 738:14] + wire _T_5170 = ifu_ic_rw_int_addr_ff[6:5] == 2'h0; // @[el2_ifu_mem_ctl.scala 742:78] + wire _T_5172 = _T_5170 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 742:87] + wire _T_5174 = perr_ic_index_ff[6:5] == 2'h0; // @[el2_ifu_mem_ctl.scala 743:70] + wire _T_5176 = _T_5174 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 743:79] + wire _T_5177 = _T_5172 | _T_5176; // @[el2_ifu_mem_ctl.scala 742:109] + wire _T_5178 = _T_5177 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:102] + wire _T_5182 = _T_5170 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 742:87] + wire _T_5186 = _T_5174 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 743:79] + wire _T_5187 = _T_5182 | _T_5186; // @[el2_ifu_mem_ctl.scala 742:109] + wire _T_5188 = _T_5187 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:102] wire [1:0] tag_valid_clken_0 = {_T_5188,_T_5178}; // @[Cat.scala 29:58] - wire _T_5190 = ifu_ic_rw_int_addr_ff[6:5] == 2'h1; // @[el2_ifu_mem_ctl.scala 741:78] - wire _T_5192 = _T_5190 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 741:87] - wire _T_5194 = perr_ic_index_ff[6:5] == 2'h1; // @[el2_ifu_mem_ctl.scala 742:70] - wire _T_5196 = _T_5194 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 742:79] - wire _T_5197 = _T_5192 | _T_5196; // @[el2_ifu_mem_ctl.scala 741:109] - wire _T_5198 = _T_5197 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:102] - wire _T_5202 = _T_5190 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 741:87] - wire _T_5206 = _T_5194 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 742:79] - wire _T_5207 = _T_5202 | _T_5206; // @[el2_ifu_mem_ctl.scala 741:109] - wire _T_5208 = _T_5207 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:102] + wire _T_5190 = ifu_ic_rw_int_addr_ff[6:5] == 2'h1; // @[el2_ifu_mem_ctl.scala 742:78] + wire _T_5192 = _T_5190 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 742:87] + wire _T_5194 = perr_ic_index_ff[6:5] == 2'h1; // @[el2_ifu_mem_ctl.scala 743:70] + wire _T_5196 = _T_5194 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 743:79] + wire _T_5197 = _T_5192 | _T_5196; // @[el2_ifu_mem_ctl.scala 742:109] + wire _T_5198 = _T_5197 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:102] + wire _T_5202 = _T_5190 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 742:87] + wire _T_5206 = _T_5194 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 743:79] + wire _T_5207 = _T_5202 | _T_5206; // @[el2_ifu_mem_ctl.scala 742:109] + wire _T_5208 = _T_5207 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:102] wire [1:0] tag_valid_clken_1 = {_T_5208,_T_5198}; // @[Cat.scala 29:58] - wire _T_5210 = ifu_ic_rw_int_addr_ff[6:5] == 2'h2; // @[el2_ifu_mem_ctl.scala 741:78] - wire _T_5212 = _T_5210 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 741:87] - wire _T_5214 = perr_ic_index_ff[6:5] == 2'h2; // @[el2_ifu_mem_ctl.scala 742:70] - wire _T_5216 = _T_5214 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 742:79] - wire _T_5217 = _T_5212 | _T_5216; // @[el2_ifu_mem_ctl.scala 741:109] - wire _T_5218 = _T_5217 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:102] - wire _T_5222 = _T_5210 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 741:87] - wire _T_5226 = _T_5214 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 742:79] - wire _T_5227 = _T_5222 | _T_5226; // @[el2_ifu_mem_ctl.scala 741:109] - wire _T_5228 = _T_5227 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:102] + wire _T_5210 = ifu_ic_rw_int_addr_ff[6:5] == 2'h2; // @[el2_ifu_mem_ctl.scala 742:78] + wire _T_5212 = _T_5210 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 742:87] + wire _T_5214 = perr_ic_index_ff[6:5] == 2'h2; // @[el2_ifu_mem_ctl.scala 743:70] + wire _T_5216 = _T_5214 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 743:79] + wire _T_5217 = _T_5212 | _T_5216; // @[el2_ifu_mem_ctl.scala 742:109] + wire _T_5218 = _T_5217 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:102] + wire _T_5222 = _T_5210 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 742:87] + wire _T_5226 = _T_5214 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 743:79] + wire _T_5227 = _T_5222 | _T_5226; // @[el2_ifu_mem_ctl.scala 742:109] + wire _T_5228 = _T_5227 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:102] wire [1:0] tag_valid_clken_2 = {_T_5228,_T_5218}; // @[Cat.scala 29:58] - wire _T_5230 = ifu_ic_rw_int_addr_ff[6:5] == 2'h3; // @[el2_ifu_mem_ctl.scala 741:78] - wire _T_5232 = _T_5230 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 741:87] - wire _T_5234 = perr_ic_index_ff[6:5] == 2'h3; // @[el2_ifu_mem_ctl.scala 742:70] - wire _T_5236 = _T_5234 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 742:79] - wire _T_5237 = _T_5232 | _T_5236; // @[el2_ifu_mem_ctl.scala 741:109] - wire _T_5238 = _T_5237 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:102] - wire _T_5242 = _T_5230 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 741:87] - wire _T_5246 = _T_5234 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 742:79] - wire _T_5247 = _T_5242 | _T_5246; // @[el2_ifu_mem_ctl.scala 741:109] - wire _T_5248 = _T_5247 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:102] + wire _T_5230 = ifu_ic_rw_int_addr_ff[6:5] == 2'h3; // @[el2_ifu_mem_ctl.scala 742:78] + wire _T_5232 = _T_5230 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 742:87] + wire _T_5234 = perr_ic_index_ff[6:5] == 2'h3; // @[el2_ifu_mem_ctl.scala 743:70] + wire _T_5236 = _T_5234 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 743:79] + wire _T_5237 = _T_5232 | _T_5236; // @[el2_ifu_mem_ctl.scala 742:109] + wire _T_5238 = _T_5237 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:102] + wire _T_5242 = _T_5230 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 742:87] + wire _T_5246 = _T_5234 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 743:79] + wire _T_5247 = _T_5242 | _T_5246; // @[el2_ifu_mem_ctl.scala 742:109] + wire _T_5248 = _T_5247 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:102] wire [1:0] tag_valid_clken_3 = {_T_5248,_T_5238}; // @[Cat.scala 29:58] wire [9:0] _T_5257 = {ic_tag_valid_out_1_127,ic_tag_valid_out_1_126,ic_tag_valid_out_1_125,ic_tag_valid_out_1_124,ic_tag_valid_out_1_123,ic_tag_valid_out_1_122,ic_tag_valid_out_1_121,ic_tag_valid_out_1_120,ic_tag_valid_out_1_119,ic_tag_valid_out_1_118}; // @[Cat.scala 29:58] wire [18:0] _T_5266 = {_T_5257,ic_tag_valid_out_1_117,ic_tag_valid_out_1_116,ic_tag_valid_out_1_115,ic_tag_valid_out_1_114,ic_tag_valid_out_1_113,ic_tag_valid_out_1_112,ic_tag_valid_out_1_111,ic_tag_valid_out_1_110,ic_tag_valid_out_1_109}; // @[Cat.scala 29:58] @@ -3855,1511 +3857,1512 @@ module el2_ifu_mem_ctl( wire [117:0] _T_5492 = {_T_5483,ic_tag_valid_out_0_18,ic_tag_valid_out_0_17,ic_tag_valid_out_0_16,ic_tag_valid_out_0_15,ic_tag_valid_out_0_14,ic_tag_valid_out_0_13,ic_tag_valid_out_0_12,ic_tag_valid_out_0_11,ic_tag_valid_out_0_10}; // @[Cat.scala 29:58] wire [126:0] _T_5501 = {_T_5492,ic_tag_valid_out_0_9,ic_tag_valid_out_0_8,ic_tag_valid_out_0_7,ic_tag_valid_out_0_6,ic_tag_valid_out_0_5,ic_tag_valid_out_0_4,ic_tag_valid_out_0_3,ic_tag_valid_out_0_2,ic_tag_valid_out_0_1}; // @[Cat.scala 29:58] wire [127:0] _T_5502 = {_T_5501,ic_tag_valid_out_0_0}; // @[Cat.scala 29:58] - wire _T_5506 = ic_valid_ff & _T_195; // @[el2_ifu_mem_ctl.scala 750:66] - wire _T_5507 = ~perr_sel_invalidate; // @[el2_ifu_mem_ctl.scala 750:93] - wire _T_5508 = _T_5506 & _T_5507; // @[el2_ifu_mem_ctl.scala 750:91] - wire _T_5511 = _T_4523 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5512 = perr_ic_index_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5514 = _T_5512 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5515 = _T_5511 | _T_5514; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5516 = _T_5515 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5518 = _T_5516 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5528 = _T_4527 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5529 = perr_ic_index_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5531 = _T_5529 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5532 = _T_5528 | _T_5531; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5533 = _T_5532 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5535 = _T_5533 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5545 = _T_4531 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5546 = perr_ic_index_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5548 = _T_5546 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5549 = _T_5545 | _T_5548; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5550 = _T_5549 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5552 = _T_5550 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5562 = _T_4535 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5563 = perr_ic_index_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5565 = _T_5563 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5566 = _T_5562 | _T_5565; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5567 = _T_5566 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5569 = _T_5567 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5579 = _T_4539 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5580 = perr_ic_index_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5582 = _T_5580 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5583 = _T_5579 | _T_5582; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5584 = _T_5583 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5586 = _T_5584 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5596 = _T_4543 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5597 = perr_ic_index_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5599 = _T_5597 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5600 = _T_5596 | _T_5599; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5601 = _T_5600 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5603 = _T_5601 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5613 = _T_4547 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5614 = perr_ic_index_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5616 = _T_5614 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5617 = _T_5613 | _T_5616; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5618 = _T_5617 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5620 = _T_5618 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5630 = _T_4551 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5631 = perr_ic_index_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5633 = _T_5631 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5634 = _T_5630 | _T_5633; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5635 = _T_5634 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5637 = _T_5635 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5647 = _T_4555 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5648 = perr_ic_index_ff == 7'h8; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5650 = _T_5648 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5651 = _T_5647 | _T_5650; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5652 = _T_5651 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5654 = _T_5652 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5664 = _T_4559 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5665 = perr_ic_index_ff == 7'h9; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5667 = _T_5665 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5668 = _T_5664 | _T_5667; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5669 = _T_5668 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5671 = _T_5669 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5681 = _T_4563 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5682 = perr_ic_index_ff == 7'ha; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5684 = _T_5682 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5685 = _T_5681 | _T_5684; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5686 = _T_5685 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5688 = _T_5686 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5698 = _T_4567 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5699 = perr_ic_index_ff == 7'hb; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5701 = _T_5699 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5702 = _T_5698 | _T_5701; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5703 = _T_5702 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5705 = _T_5703 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5715 = _T_4571 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5716 = perr_ic_index_ff == 7'hc; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5718 = _T_5716 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5719 = _T_5715 | _T_5718; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5720 = _T_5719 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5722 = _T_5720 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5732 = _T_4575 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5733 = perr_ic_index_ff == 7'hd; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5735 = _T_5733 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5736 = _T_5732 | _T_5735; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5737 = _T_5736 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5739 = _T_5737 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5749 = _T_4579 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5750 = perr_ic_index_ff == 7'he; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5752 = _T_5750 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5753 = _T_5749 | _T_5752; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5754 = _T_5753 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5756 = _T_5754 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5766 = _T_4583 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5767 = perr_ic_index_ff == 7'hf; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5769 = _T_5767 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5770 = _T_5766 | _T_5769; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5771 = _T_5770 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5773 = _T_5771 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5783 = _T_4587 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5784 = perr_ic_index_ff == 7'h10; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5786 = _T_5784 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5787 = _T_5783 | _T_5786; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5788 = _T_5787 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5790 = _T_5788 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5800 = _T_4591 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5801 = perr_ic_index_ff == 7'h11; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5803 = _T_5801 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5804 = _T_5800 | _T_5803; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5805 = _T_5804 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5807 = _T_5805 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5817 = _T_4595 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5818 = perr_ic_index_ff == 7'h12; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5820 = _T_5818 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5821 = _T_5817 | _T_5820; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5822 = _T_5821 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5824 = _T_5822 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5834 = _T_4599 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5835 = perr_ic_index_ff == 7'h13; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5837 = _T_5835 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5838 = _T_5834 | _T_5837; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5839 = _T_5838 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5841 = _T_5839 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5851 = _T_4603 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5852 = perr_ic_index_ff == 7'h14; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5854 = _T_5852 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5855 = _T_5851 | _T_5854; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5856 = _T_5855 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5858 = _T_5856 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5868 = _T_4607 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5869 = perr_ic_index_ff == 7'h15; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5871 = _T_5869 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5872 = _T_5868 | _T_5871; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5873 = _T_5872 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5875 = _T_5873 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5885 = _T_4611 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5886 = perr_ic_index_ff == 7'h16; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5888 = _T_5886 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5889 = _T_5885 | _T_5888; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5890 = _T_5889 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5892 = _T_5890 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5902 = _T_4615 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5903 = perr_ic_index_ff == 7'h17; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5905 = _T_5903 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5906 = _T_5902 | _T_5905; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5907 = _T_5906 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5909 = _T_5907 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5919 = _T_4619 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5920 = perr_ic_index_ff == 7'h18; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5922 = _T_5920 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5923 = _T_5919 | _T_5922; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5924 = _T_5923 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5926 = _T_5924 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5936 = _T_4623 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5937 = perr_ic_index_ff == 7'h19; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5939 = _T_5937 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5940 = _T_5936 | _T_5939; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5941 = _T_5940 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5943 = _T_5941 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5953 = _T_4627 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5954 = perr_ic_index_ff == 7'h1a; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5956 = _T_5954 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5957 = _T_5953 | _T_5956; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5958 = _T_5957 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5960 = _T_5958 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5970 = _T_4631 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5971 = perr_ic_index_ff == 7'h1b; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5973 = _T_5971 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5974 = _T_5970 | _T_5973; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5975 = _T_5974 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5977 = _T_5975 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_5987 = _T_4635 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_5988 = perr_ic_index_ff == 7'h1c; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_5990 = _T_5988 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_5991 = _T_5987 | _T_5990; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_5992 = _T_5991 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_5994 = _T_5992 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6004 = _T_4639 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6005 = perr_ic_index_ff == 7'h1d; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6007 = _T_6005 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6008 = _T_6004 | _T_6007; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6009 = _T_6008 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6011 = _T_6009 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6021 = _T_4643 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6022 = perr_ic_index_ff == 7'h1e; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6024 = _T_6022 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6025 = _T_6021 | _T_6024; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6026 = _T_6025 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6028 = _T_6026 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6038 = _T_4647 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6039 = perr_ic_index_ff == 7'h1f; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6041 = _T_6039 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6042 = _T_6038 | _T_6041; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6043 = _T_6042 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6045 = _T_6043 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6055 = _T_4523 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6058 = _T_5512 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6059 = _T_6055 | _T_6058; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6060 = _T_6059 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6062 = _T_6060 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6072 = _T_4527 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6075 = _T_5529 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6076 = _T_6072 | _T_6075; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6077 = _T_6076 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6079 = _T_6077 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6089 = _T_4531 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6092 = _T_5546 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6093 = _T_6089 | _T_6092; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6094 = _T_6093 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6096 = _T_6094 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6106 = _T_4535 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6109 = _T_5563 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6110 = _T_6106 | _T_6109; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6111 = _T_6110 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6113 = _T_6111 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6123 = _T_4539 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6126 = _T_5580 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6127 = _T_6123 | _T_6126; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6128 = _T_6127 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6130 = _T_6128 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6140 = _T_4543 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6143 = _T_5597 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6144 = _T_6140 | _T_6143; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6145 = _T_6144 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6147 = _T_6145 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6157 = _T_4547 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6160 = _T_5614 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6161 = _T_6157 | _T_6160; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6162 = _T_6161 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6164 = _T_6162 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6174 = _T_4551 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6177 = _T_5631 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6178 = _T_6174 | _T_6177; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6179 = _T_6178 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6181 = _T_6179 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6191 = _T_4555 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6194 = _T_5648 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6195 = _T_6191 | _T_6194; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6196 = _T_6195 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6198 = _T_6196 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6208 = _T_4559 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6211 = _T_5665 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6212 = _T_6208 | _T_6211; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6213 = _T_6212 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6215 = _T_6213 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6225 = _T_4563 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6228 = _T_5682 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6229 = _T_6225 | _T_6228; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6230 = _T_6229 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6232 = _T_6230 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6242 = _T_4567 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6245 = _T_5699 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6246 = _T_6242 | _T_6245; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6247 = _T_6246 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6249 = _T_6247 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6259 = _T_4571 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6262 = _T_5716 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6263 = _T_6259 | _T_6262; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6264 = _T_6263 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6266 = _T_6264 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6276 = _T_4575 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6279 = _T_5733 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6280 = _T_6276 | _T_6279; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6281 = _T_6280 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6283 = _T_6281 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6293 = _T_4579 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6296 = _T_5750 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6297 = _T_6293 | _T_6296; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6298 = _T_6297 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6300 = _T_6298 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6310 = _T_4583 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6313 = _T_5767 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6314 = _T_6310 | _T_6313; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6315 = _T_6314 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6317 = _T_6315 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6327 = _T_4587 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6330 = _T_5784 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6331 = _T_6327 | _T_6330; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6332 = _T_6331 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6334 = _T_6332 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6344 = _T_4591 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6347 = _T_5801 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6348 = _T_6344 | _T_6347; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6349 = _T_6348 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6351 = _T_6349 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6361 = _T_4595 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6364 = _T_5818 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6365 = _T_6361 | _T_6364; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6366 = _T_6365 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6368 = _T_6366 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6378 = _T_4599 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6381 = _T_5835 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6382 = _T_6378 | _T_6381; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6383 = _T_6382 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6385 = _T_6383 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6395 = _T_4603 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6398 = _T_5852 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6399 = _T_6395 | _T_6398; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6400 = _T_6399 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6402 = _T_6400 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6412 = _T_4607 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6415 = _T_5869 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6416 = _T_6412 | _T_6415; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6417 = _T_6416 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6419 = _T_6417 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6429 = _T_4611 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6432 = _T_5886 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6433 = _T_6429 | _T_6432; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6434 = _T_6433 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6436 = _T_6434 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6446 = _T_4615 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6449 = _T_5903 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6450 = _T_6446 | _T_6449; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6451 = _T_6450 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6453 = _T_6451 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6463 = _T_4619 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6466 = _T_5920 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6467 = _T_6463 | _T_6466; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6468 = _T_6467 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6470 = _T_6468 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6480 = _T_4623 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6483 = _T_5937 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6484 = _T_6480 | _T_6483; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6485 = _T_6484 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6487 = _T_6485 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6497 = _T_4627 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6500 = _T_5954 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6501 = _T_6497 | _T_6500; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6502 = _T_6501 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6504 = _T_6502 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6514 = _T_4631 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6517 = _T_5971 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6518 = _T_6514 | _T_6517; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6519 = _T_6518 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6521 = _T_6519 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6531 = _T_4635 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6534 = _T_5988 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6535 = _T_6531 | _T_6534; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6536 = _T_6535 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6538 = _T_6536 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6548 = _T_4639 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6551 = _T_6005 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6552 = _T_6548 | _T_6551; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6553 = _T_6552 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6555 = _T_6553 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6565 = _T_4643 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6568 = _T_6022 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6569 = _T_6565 | _T_6568; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6570 = _T_6569 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6572 = _T_6570 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6582 = _T_4647 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6585 = _T_6039 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6586 = _T_6582 | _T_6585; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6587 = _T_6586 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6589 = _T_6587 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6599 = _T_4651 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6600 = perr_ic_index_ff == 7'h20; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6602 = _T_6600 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6603 = _T_6599 | _T_6602; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6604 = _T_6603 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6606 = _T_6604 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6616 = _T_4655 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6617 = perr_ic_index_ff == 7'h21; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6619 = _T_6617 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6620 = _T_6616 | _T_6619; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6621 = _T_6620 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6623 = _T_6621 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6633 = _T_4659 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6634 = perr_ic_index_ff == 7'h22; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6636 = _T_6634 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6637 = _T_6633 | _T_6636; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6638 = _T_6637 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6640 = _T_6638 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6650 = _T_4663 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6651 = perr_ic_index_ff == 7'h23; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6653 = _T_6651 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6654 = _T_6650 | _T_6653; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6655 = _T_6654 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6657 = _T_6655 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6667 = _T_4667 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6668 = perr_ic_index_ff == 7'h24; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6670 = _T_6668 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6671 = _T_6667 | _T_6670; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6672 = _T_6671 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6674 = _T_6672 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6684 = _T_4671 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6685 = perr_ic_index_ff == 7'h25; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6687 = _T_6685 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6688 = _T_6684 | _T_6687; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6689 = _T_6688 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6691 = _T_6689 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6701 = _T_4675 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6702 = perr_ic_index_ff == 7'h26; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6704 = _T_6702 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6705 = _T_6701 | _T_6704; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6706 = _T_6705 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6708 = _T_6706 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6718 = _T_4679 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6719 = perr_ic_index_ff == 7'h27; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6721 = _T_6719 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6722 = _T_6718 | _T_6721; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6723 = _T_6722 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6725 = _T_6723 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6735 = _T_4683 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6736 = perr_ic_index_ff == 7'h28; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6738 = _T_6736 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6739 = _T_6735 | _T_6738; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6740 = _T_6739 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6742 = _T_6740 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6752 = _T_4687 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6753 = perr_ic_index_ff == 7'h29; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6755 = _T_6753 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6756 = _T_6752 | _T_6755; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6757 = _T_6756 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6759 = _T_6757 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6769 = _T_4691 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6770 = perr_ic_index_ff == 7'h2a; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6772 = _T_6770 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6773 = _T_6769 | _T_6772; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6774 = _T_6773 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6776 = _T_6774 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6786 = _T_4695 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6787 = perr_ic_index_ff == 7'h2b; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6789 = _T_6787 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6790 = _T_6786 | _T_6789; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6791 = _T_6790 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6793 = _T_6791 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6803 = _T_4699 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6804 = perr_ic_index_ff == 7'h2c; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6806 = _T_6804 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6807 = _T_6803 | _T_6806; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6808 = _T_6807 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6810 = _T_6808 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6820 = _T_4703 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6821 = perr_ic_index_ff == 7'h2d; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6823 = _T_6821 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6824 = _T_6820 | _T_6823; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6825 = _T_6824 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6827 = _T_6825 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6837 = _T_4707 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6838 = perr_ic_index_ff == 7'h2e; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6840 = _T_6838 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6841 = _T_6837 | _T_6840; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6842 = _T_6841 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6844 = _T_6842 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6854 = _T_4711 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6855 = perr_ic_index_ff == 7'h2f; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6857 = _T_6855 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6858 = _T_6854 | _T_6857; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6859 = _T_6858 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6861 = _T_6859 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6871 = _T_4715 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6872 = perr_ic_index_ff == 7'h30; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6874 = _T_6872 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6875 = _T_6871 | _T_6874; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6876 = _T_6875 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6878 = _T_6876 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6888 = _T_4719 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6889 = perr_ic_index_ff == 7'h31; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6891 = _T_6889 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6892 = _T_6888 | _T_6891; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6893 = _T_6892 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6895 = _T_6893 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6905 = _T_4723 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6906 = perr_ic_index_ff == 7'h32; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6908 = _T_6906 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6909 = _T_6905 | _T_6908; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6910 = _T_6909 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6912 = _T_6910 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6922 = _T_4727 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6923 = perr_ic_index_ff == 7'h33; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6925 = _T_6923 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6926 = _T_6922 | _T_6925; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6927 = _T_6926 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6929 = _T_6927 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6939 = _T_4731 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6940 = perr_ic_index_ff == 7'h34; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6942 = _T_6940 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6943 = _T_6939 | _T_6942; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6944 = _T_6943 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6946 = _T_6944 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6956 = _T_4735 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6957 = perr_ic_index_ff == 7'h35; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6959 = _T_6957 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6960 = _T_6956 | _T_6959; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6961 = _T_6960 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6963 = _T_6961 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6973 = _T_4739 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6974 = perr_ic_index_ff == 7'h36; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6976 = _T_6974 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6977 = _T_6973 | _T_6976; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6978 = _T_6977 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6980 = _T_6978 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_6990 = _T_4743 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_6991 = perr_ic_index_ff == 7'h37; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_6993 = _T_6991 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_6994 = _T_6990 | _T_6993; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_6995 = _T_6994 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_6997 = _T_6995 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7007 = _T_4747 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7008 = perr_ic_index_ff == 7'h38; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7010 = _T_7008 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7011 = _T_7007 | _T_7010; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7012 = _T_7011 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7014 = _T_7012 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7024 = _T_4751 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7025 = perr_ic_index_ff == 7'h39; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7027 = _T_7025 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7028 = _T_7024 | _T_7027; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7029 = _T_7028 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7031 = _T_7029 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7041 = _T_4755 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7042 = perr_ic_index_ff == 7'h3a; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7044 = _T_7042 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7045 = _T_7041 | _T_7044; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7046 = _T_7045 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7048 = _T_7046 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7058 = _T_4759 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7059 = perr_ic_index_ff == 7'h3b; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7061 = _T_7059 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7062 = _T_7058 | _T_7061; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7063 = _T_7062 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7065 = _T_7063 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7075 = _T_4763 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7076 = perr_ic_index_ff == 7'h3c; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7078 = _T_7076 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7079 = _T_7075 | _T_7078; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7080 = _T_7079 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7082 = _T_7080 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7092 = _T_4767 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7093 = perr_ic_index_ff == 7'h3d; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7095 = _T_7093 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7096 = _T_7092 | _T_7095; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7097 = _T_7096 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7099 = _T_7097 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7109 = _T_4771 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7110 = perr_ic_index_ff == 7'h3e; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7112 = _T_7110 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7113 = _T_7109 | _T_7112; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7114 = _T_7113 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7116 = _T_7114 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7126 = _T_4775 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7127 = perr_ic_index_ff == 7'h3f; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7129 = _T_7127 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7130 = _T_7126 | _T_7129; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7131 = _T_7130 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7133 = _T_7131 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7143 = _T_4651 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7146 = _T_6600 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7147 = _T_7143 | _T_7146; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7148 = _T_7147 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7150 = _T_7148 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7160 = _T_4655 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7163 = _T_6617 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7164 = _T_7160 | _T_7163; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7165 = _T_7164 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7167 = _T_7165 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7177 = _T_4659 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7180 = _T_6634 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7181 = _T_7177 | _T_7180; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7182 = _T_7181 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7184 = _T_7182 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7194 = _T_4663 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7197 = _T_6651 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7198 = _T_7194 | _T_7197; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7199 = _T_7198 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7201 = _T_7199 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7211 = _T_4667 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7214 = _T_6668 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7215 = _T_7211 | _T_7214; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7216 = _T_7215 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7218 = _T_7216 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7228 = _T_4671 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7231 = _T_6685 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7232 = _T_7228 | _T_7231; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7233 = _T_7232 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7235 = _T_7233 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7245 = _T_4675 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7248 = _T_6702 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7249 = _T_7245 | _T_7248; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7250 = _T_7249 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7252 = _T_7250 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7262 = _T_4679 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7265 = _T_6719 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7266 = _T_7262 | _T_7265; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7267 = _T_7266 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7269 = _T_7267 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7279 = _T_4683 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7282 = _T_6736 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7283 = _T_7279 | _T_7282; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7284 = _T_7283 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7286 = _T_7284 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7296 = _T_4687 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7299 = _T_6753 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7300 = _T_7296 | _T_7299; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7301 = _T_7300 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7303 = _T_7301 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7313 = _T_4691 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7316 = _T_6770 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7317 = _T_7313 | _T_7316; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7318 = _T_7317 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7320 = _T_7318 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7330 = _T_4695 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7333 = _T_6787 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7334 = _T_7330 | _T_7333; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7335 = _T_7334 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7337 = _T_7335 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7347 = _T_4699 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7350 = _T_6804 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7351 = _T_7347 | _T_7350; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7352 = _T_7351 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7354 = _T_7352 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7364 = _T_4703 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7367 = _T_6821 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7368 = _T_7364 | _T_7367; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7369 = _T_7368 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7371 = _T_7369 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7381 = _T_4707 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7384 = _T_6838 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7385 = _T_7381 | _T_7384; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7386 = _T_7385 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7388 = _T_7386 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7398 = _T_4711 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7401 = _T_6855 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7402 = _T_7398 | _T_7401; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7403 = _T_7402 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7405 = _T_7403 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7415 = _T_4715 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7418 = _T_6872 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7419 = _T_7415 | _T_7418; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7420 = _T_7419 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7422 = _T_7420 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7432 = _T_4719 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7435 = _T_6889 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7436 = _T_7432 | _T_7435; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7437 = _T_7436 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7439 = _T_7437 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7449 = _T_4723 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7452 = _T_6906 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7453 = _T_7449 | _T_7452; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7454 = _T_7453 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7456 = _T_7454 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7466 = _T_4727 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7469 = _T_6923 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7470 = _T_7466 | _T_7469; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7471 = _T_7470 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7473 = _T_7471 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7483 = _T_4731 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7486 = _T_6940 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7487 = _T_7483 | _T_7486; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7488 = _T_7487 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7490 = _T_7488 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7500 = _T_4735 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7503 = _T_6957 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7504 = _T_7500 | _T_7503; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7505 = _T_7504 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7507 = _T_7505 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7517 = _T_4739 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7520 = _T_6974 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7521 = _T_7517 | _T_7520; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7522 = _T_7521 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7524 = _T_7522 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7534 = _T_4743 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7537 = _T_6991 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7538 = _T_7534 | _T_7537; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7539 = _T_7538 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7541 = _T_7539 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7551 = _T_4747 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7554 = _T_7008 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7555 = _T_7551 | _T_7554; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7556 = _T_7555 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7558 = _T_7556 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7568 = _T_4751 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7571 = _T_7025 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7572 = _T_7568 | _T_7571; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7573 = _T_7572 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7575 = _T_7573 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7585 = _T_4755 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7588 = _T_7042 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7589 = _T_7585 | _T_7588; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7590 = _T_7589 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7592 = _T_7590 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7602 = _T_4759 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7605 = _T_7059 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7606 = _T_7602 | _T_7605; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7607 = _T_7606 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7609 = _T_7607 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7619 = _T_4763 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7622 = _T_7076 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7623 = _T_7619 | _T_7622; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7624 = _T_7623 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7626 = _T_7624 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7636 = _T_4767 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7639 = _T_7093 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7640 = _T_7636 | _T_7639; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7641 = _T_7640 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7643 = _T_7641 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7653 = _T_4771 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7656 = _T_7110 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7657 = _T_7653 | _T_7656; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7658 = _T_7657 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7660 = _T_7658 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7670 = _T_4775 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7673 = _T_7127 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7674 = _T_7670 | _T_7673; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7675 = _T_7674 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7677 = _T_7675 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7687 = _T_4779 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7688 = perr_ic_index_ff == 7'h40; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7690 = _T_7688 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7691 = _T_7687 | _T_7690; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7692 = _T_7691 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7694 = _T_7692 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7704 = _T_4783 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7705 = perr_ic_index_ff == 7'h41; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7707 = _T_7705 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7708 = _T_7704 | _T_7707; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7709 = _T_7708 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7711 = _T_7709 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7721 = _T_4787 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7722 = perr_ic_index_ff == 7'h42; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7724 = _T_7722 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7725 = _T_7721 | _T_7724; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7726 = _T_7725 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7728 = _T_7726 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7738 = _T_4791 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7739 = perr_ic_index_ff == 7'h43; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7741 = _T_7739 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7742 = _T_7738 | _T_7741; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7743 = _T_7742 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7745 = _T_7743 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7755 = _T_4795 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7756 = perr_ic_index_ff == 7'h44; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7758 = _T_7756 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7759 = _T_7755 | _T_7758; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7760 = _T_7759 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7762 = _T_7760 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7772 = _T_4799 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7773 = perr_ic_index_ff == 7'h45; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7775 = _T_7773 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7776 = _T_7772 | _T_7775; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7777 = _T_7776 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7779 = _T_7777 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7789 = _T_4803 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7790 = perr_ic_index_ff == 7'h46; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7792 = _T_7790 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7793 = _T_7789 | _T_7792; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7794 = _T_7793 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7796 = _T_7794 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7806 = _T_4807 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7807 = perr_ic_index_ff == 7'h47; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7809 = _T_7807 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7810 = _T_7806 | _T_7809; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7811 = _T_7810 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7813 = _T_7811 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7823 = _T_4811 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7824 = perr_ic_index_ff == 7'h48; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7826 = _T_7824 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7827 = _T_7823 | _T_7826; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7828 = _T_7827 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7830 = _T_7828 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7840 = _T_4815 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7841 = perr_ic_index_ff == 7'h49; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7843 = _T_7841 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7844 = _T_7840 | _T_7843; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7845 = _T_7844 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7847 = _T_7845 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7857 = _T_4819 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7858 = perr_ic_index_ff == 7'h4a; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7860 = _T_7858 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7861 = _T_7857 | _T_7860; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7862 = _T_7861 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7864 = _T_7862 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7874 = _T_4823 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7875 = perr_ic_index_ff == 7'h4b; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7877 = _T_7875 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7878 = _T_7874 | _T_7877; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7879 = _T_7878 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7881 = _T_7879 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7891 = _T_4827 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7892 = perr_ic_index_ff == 7'h4c; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7894 = _T_7892 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7895 = _T_7891 | _T_7894; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7896 = _T_7895 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7898 = _T_7896 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7908 = _T_4831 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7909 = perr_ic_index_ff == 7'h4d; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7911 = _T_7909 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7912 = _T_7908 | _T_7911; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7913 = _T_7912 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7915 = _T_7913 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7925 = _T_4835 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7926 = perr_ic_index_ff == 7'h4e; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7928 = _T_7926 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7929 = _T_7925 | _T_7928; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7930 = _T_7929 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7932 = _T_7930 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7942 = _T_4839 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7943 = perr_ic_index_ff == 7'h4f; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7945 = _T_7943 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7946 = _T_7942 | _T_7945; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7947 = _T_7946 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7949 = _T_7947 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7959 = _T_4843 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7960 = perr_ic_index_ff == 7'h50; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7962 = _T_7960 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7963 = _T_7959 | _T_7962; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7964 = _T_7963 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7966 = _T_7964 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7976 = _T_4847 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7977 = perr_ic_index_ff == 7'h51; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7979 = _T_7977 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7980 = _T_7976 | _T_7979; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7981 = _T_7980 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_7983 = _T_7981 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_7993 = _T_4851 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_7994 = perr_ic_index_ff == 7'h52; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_7996 = _T_7994 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_7997 = _T_7993 | _T_7996; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_7998 = _T_7997 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8000 = _T_7998 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8010 = _T_4855 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8011 = perr_ic_index_ff == 7'h53; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8013 = _T_8011 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8014 = _T_8010 | _T_8013; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8015 = _T_8014 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8017 = _T_8015 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8027 = _T_4859 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8028 = perr_ic_index_ff == 7'h54; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8030 = _T_8028 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8031 = _T_8027 | _T_8030; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8032 = _T_8031 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8034 = _T_8032 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8044 = _T_4863 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8045 = perr_ic_index_ff == 7'h55; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8047 = _T_8045 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8048 = _T_8044 | _T_8047; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8049 = _T_8048 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8051 = _T_8049 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8061 = _T_4867 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8062 = perr_ic_index_ff == 7'h56; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8064 = _T_8062 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8065 = _T_8061 | _T_8064; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8066 = _T_8065 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8068 = _T_8066 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8078 = _T_4871 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8079 = perr_ic_index_ff == 7'h57; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8081 = _T_8079 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8082 = _T_8078 | _T_8081; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8083 = _T_8082 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8085 = _T_8083 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8095 = _T_4875 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8096 = perr_ic_index_ff == 7'h58; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8098 = _T_8096 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8099 = _T_8095 | _T_8098; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8100 = _T_8099 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8102 = _T_8100 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8112 = _T_4879 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8113 = perr_ic_index_ff == 7'h59; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8115 = _T_8113 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8116 = _T_8112 | _T_8115; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8117 = _T_8116 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8119 = _T_8117 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8129 = _T_4883 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8130 = perr_ic_index_ff == 7'h5a; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8132 = _T_8130 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8133 = _T_8129 | _T_8132; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8134 = _T_8133 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8136 = _T_8134 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8146 = _T_4887 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8147 = perr_ic_index_ff == 7'h5b; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8149 = _T_8147 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8150 = _T_8146 | _T_8149; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8151 = _T_8150 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8153 = _T_8151 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8163 = _T_4891 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8164 = perr_ic_index_ff == 7'h5c; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8166 = _T_8164 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8167 = _T_8163 | _T_8166; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8168 = _T_8167 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8170 = _T_8168 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8180 = _T_4895 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8181 = perr_ic_index_ff == 7'h5d; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8183 = _T_8181 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8184 = _T_8180 | _T_8183; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8185 = _T_8184 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8187 = _T_8185 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8197 = _T_4899 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8198 = perr_ic_index_ff == 7'h5e; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8200 = _T_8198 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8201 = _T_8197 | _T_8200; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8202 = _T_8201 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8204 = _T_8202 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8214 = _T_4903 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8215 = perr_ic_index_ff == 7'h5f; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8217 = _T_8215 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8218 = _T_8214 | _T_8217; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8219 = _T_8218 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8221 = _T_8219 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8231 = _T_4779 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8234 = _T_7688 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8235 = _T_8231 | _T_8234; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8236 = _T_8235 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8238 = _T_8236 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8248 = _T_4783 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8251 = _T_7705 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8252 = _T_8248 | _T_8251; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8253 = _T_8252 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8255 = _T_8253 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8265 = _T_4787 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8268 = _T_7722 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8269 = _T_8265 | _T_8268; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8270 = _T_8269 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8272 = _T_8270 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8282 = _T_4791 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8285 = _T_7739 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8286 = _T_8282 | _T_8285; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8287 = _T_8286 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8289 = _T_8287 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8299 = _T_4795 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8302 = _T_7756 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8303 = _T_8299 | _T_8302; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8304 = _T_8303 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8306 = _T_8304 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8316 = _T_4799 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8319 = _T_7773 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8320 = _T_8316 | _T_8319; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8321 = _T_8320 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8323 = _T_8321 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8333 = _T_4803 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8336 = _T_7790 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8337 = _T_8333 | _T_8336; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8338 = _T_8337 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8340 = _T_8338 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8350 = _T_4807 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8353 = _T_7807 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8354 = _T_8350 | _T_8353; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8355 = _T_8354 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8357 = _T_8355 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8367 = _T_4811 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8370 = _T_7824 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8371 = _T_8367 | _T_8370; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8372 = _T_8371 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8374 = _T_8372 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8384 = _T_4815 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8387 = _T_7841 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8388 = _T_8384 | _T_8387; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8389 = _T_8388 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8391 = _T_8389 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8401 = _T_4819 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8404 = _T_7858 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8405 = _T_8401 | _T_8404; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8406 = _T_8405 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8408 = _T_8406 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8418 = _T_4823 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8421 = _T_7875 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8422 = _T_8418 | _T_8421; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8423 = _T_8422 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8425 = _T_8423 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8435 = _T_4827 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8438 = _T_7892 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8439 = _T_8435 | _T_8438; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8440 = _T_8439 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8442 = _T_8440 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8452 = _T_4831 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8455 = _T_7909 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8456 = _T_8452 | _T_8455; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8457 = _T_8456 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8459 = _T_8457 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8469 = _T_4835 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8472 = _T_7926 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8473 = _T_8469 | _T_8472; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8474 = _T_8473 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8476 = _T_8474 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8486 = _T_4839 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8489 = _T_7943 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8490 = _T_8486 | _T_8489; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8491 = _T_8490 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8493 = _T_8491 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8503 = _T_4843 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8506 = _T_7960 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8507 = _T_8503 | _T_8506; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8508 = _T_8507 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8510 = _T_8508 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8520 = _T_4847 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8523 = _T_7977 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8524 = _T_8520 | _T_8523; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8525 = _T_8524 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8527 = _T_8525 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8537 = _T_4851 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8540 = _T_7994 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8541 = _T_8537 | _T_8540; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8542 = _T_8541 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8544 = _T_8542 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8554 = _T_4855 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8557 = _T_8011 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8558 = _T_8554 | _T_8557; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8559 = _T_8558 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8561 = _T_8559 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8571 = _T_4859 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8574 = _T_8028 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8575 = _T_8571 | _T_8574; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8576 = _T_8575 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8578 = _T_8576 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8588 = _T_4863 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8591 = _T_8045 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8592 = _T_8588 | _T_8591; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8593 = _T_8592 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8595 = _T_8593 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8605 = _T_4867 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8608 = _T_8062 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8609 = _T_8605 | _T_8608; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8610 = _T_8609 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8612 = _T_8610 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8622 = _T_4871 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8625 = _T_8079 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8626 = _T_8622 | _T_8625; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8627 = _T_8626 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8629 = _T_8627 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8639 = _T_4875 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8642 = _T_8096 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8643 = _T_8639 | _T_8642; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8644 = _T_8643 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8646 = _T_8644 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8656 = _T_4879 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8659 = _T_8113 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8660 = _T_8656 | _T_8659; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8661 = _T_8660 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8663 = _T_8661 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8673 = _T_4883 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8676 = _T_8130 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8677 = _T_8673 | _T_8676; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8678 = _T_8677 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8680 = _T_8678 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8690 = _T_4887 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8693 = _T_8147 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8694 = _T_8690 | _T_8693; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8695 = _T_8694 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8697 = _T_8695 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8707 = _T_4891 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8710 = _T_8164 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8711 = _T_8707 | _T_8710; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8712 = _T_8711 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8714 = _T_8712 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8724 = _T_4895 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8727 = _T_8181 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8728 = _T_8724 | _T_8727; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8729 = _T_8728 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8731 = _T_8729 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8741 = _T_4899 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8744 = _T_8198 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8745 = _T_8741 | _T_8744; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8746 = _T_8745 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8748 = _T_8746 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8758 = _T_4903 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8761 = _T_8215 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8762 = _T_8758 | _T_8761; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8763 = _T_8762 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8765 = _T_8763 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8775 = _T_4907 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8776 = perr_ic_index_ff == 7'h60; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8778 = _T_8776 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8779 = _T_8775 | _T_8778; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8780 = _T_8779 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8782 = _T_8780 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8792 = _T_4911 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8793 = perr_ic_index_ff == 7'h61; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8795 = _T_8793 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8796 = _T_8792 | _T_8795; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8797 = _T_8796 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8799 = _T_8797 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8809 = _T_4915 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8810 = perr_ic_index_ff == 7'h62; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8812 = _T_8810 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8813 = _T_8809 | _T_8812; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8814 = _T_8813 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8816 = _T_8814 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8826 = _T_4919 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8827 = perr_ic_index_ff == 7'h63; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8829 = _T_8827 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8830 = _T_8826 | _T_8829; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8831 = _T_8830 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8833 = _T_8831 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8843 = _T_4923 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8844 = perr_ic_index_ff == 7'h64; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8846 = _T_8844 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8847 = _T_8843 | _T_8846; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8848 = _T_8847 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8850 = _T_8848 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8860 = _T_4927 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8861 = perr_ic_index_ff == 7'h65; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8863 = _T_8861 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8864 = _T_8860 | _T_8863; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8865 = _T_8864 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8867 = _T_8865 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8877 = _T_4931 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8878 = perr_ic_index_ff == 7'h66; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8880 = _T_8878 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8881 = _T_8877 | _T_8880; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8882 = _T_8881 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8884 = _T_8882 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8894 = _T_4935 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8895 = perr_ic_index_ff == 7'h67; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8897 = _T_8895 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8898 = _T_8894 | _T_8897; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8899 = _T_8898 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8901 = _T_8899 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8911 = _T_4939 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8912 = perr_ic_index_ff == 7'h68; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8914 = _T_8912 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8915 = _T_8911 | _T_8914; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8916 = _T_8915 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8918 = _T_8916 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8928 = _T_4943 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8929 = perr_ic_index_ff == 7'h69; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8931 = _T_8929 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8932 = _T_8928 | _T_8931; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8933 = _T_8932 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8935 = _T_8933 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8945 = _T_4947 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8946 = perr_ic_index_ff == 7'h6a; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8948 = _T_8946 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8949 = _T_8945 | _T_8948; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8950 = _T_8949 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8952 = _T_8950 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8962 = _T_4951 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8963 = perr_ic_index_ff == 7'h6b; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8965 = _T_8963 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8966 = _T_8962 | _T_8965; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8967 = _T_8966 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8969 = _T_8967 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8979 = _T_4955 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8980 = perr_ic_index_ff == 7'h6c; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8982 = _T_8980 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_8983 = _T_8979 | _T_8982; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_8984 = _T_8983 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_8986 = _T_8984 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_8996 = _T_4959 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_8997 = perr_ic_index_ff == 7'h6d; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_8999 = _T_8997 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9000 = _T_8996 | _T_8999; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9001 = _T_9000 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9003 = _T_9001 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9013 = _T_4963 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9014 = perr_ic_index_ff == 7'h6e; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9016 = _T_9014 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9017 = _T_9013 | _T_9016; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9018 = _T_9017 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9020 = _T_9018 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9030 = _T_4967 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9031 = perr_ic_index_ff == 7'h6f; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9033 = _T_9031 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9034 = _T_9030 | _T_9033; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9035 = _T_9034 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9037 = _T_9035 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9047 = _T_4971 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9048 = perr_ic_index_ff == 7'h70; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9050 = _T_9048 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9051 = _T_9047 | _T_9050; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9052 = _T_9051 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9054 = _T_9052 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9064 = _T_4975 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9065 = perr_ic_index_ff == 7'h71; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9067 = _T_9065 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9068 = _T_9064 | _T_9067; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9069 = _T_9068 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9071 = _T_9069 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9081 = _T_4979 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9082 = perr_ic_index_ff == 7'h72; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9084 = _T_9082 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9085 = _T_9081 | _T_9084; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9086 = _T_9085 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9088 = _T_9086 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9098 = _T_4983 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9099 = perr_ic_index_ff == 7'h73; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9101 = _T_9099 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9102 = _T_9098 | _T_9101; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9103 = _T_9102 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9105 = _T_9103 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9115 = _T_4987 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9116 = perr_ic_index_ff == 7'h74; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9118 = _T_9116 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9119 = _T_9115 | _T_9118; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9120 = _T_9119 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9122 = _T_9120 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9132 = _T_4991 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9133 = perr_ic_index_ff == 7'h75; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9135 = _T_9133 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9136 = _T_9132 | _T_9135; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9137 = _T_9136 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9139 = _T_9137 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9149 = _T_4995 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9150 = perr_ic_index_ff == 7'h76; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9152 = _T_9150 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9153 = _T_9149 | _T_9152; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9154 = _T_9153 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9156 = _T_9154 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9166 = _T_4999 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9167 = perr_ic_index_ff == 7'h77; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9169 = _T_9167 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9170 = _T_9166 | _T_9169; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9171 = _T_9170 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9173 = _T_9171 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9183 = _T_5003 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9184 = perr_ic_index_ff == 7'h78; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9186 = _T_9184 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9187 = _T_9183 | _T_9186; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9188 = _T_9187 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9190 = _T_9188 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9200 = _T_5007 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9201 = perr_ic_index_ff == 7'h79; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9203 = _T_9201 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9204 = _T_9200 | _T_9203; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9205 = _T_9204 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9207 = _T_9205 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9217 = _T_5011 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9218 = perr_ic_index_ff == 7'h7a; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9220 = _T_9218 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9221 = _T_9217 | _T_9220; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9222 = _T_9221 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9224 = _T_9222 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9234 = _T_5015 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9235 = perr_ic_index_ff == 7'h7b; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9237 = _T_9235 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9238 = _T_9234 | _T_9237; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9239 = _T_9238 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9241 = _T_9239 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9251 = _T_5019 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9252 = perr_ic_index_ff == 7'h7c; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9254 = _T_9252 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9255 = _T_9251 | _T_9254; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9256 = _T_9255 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9258 = _T_9256 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9268 = _T_5023 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9269 = perr_ic_index_ff == 7'h7d; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9271 = _T_9269 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9272 = _T_9268 | _T_9271; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9273 = _T_9272 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9275 = _T_9273 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9285 = _T_5027 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9286 = perr_ic_index_ff == 7'h7e; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9288 = _T_9286 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9289 = _T_9285 | _T_9288; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9290 = _T_9289 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9292 = _T_9290 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9302 = _T_5031 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9303 = perr_ic_index_ff == 7'h7f; // @[el2_ifu_mem_ctl.scala 751:102] - wire _T_9305 = _T_9303 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9306 = _T_9302 | _T_9305; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9307 = _T_9306 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9309 = _T_9307 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9319 = _T_4907 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9322 = _T_8776 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9323 = _T_9319 | _T_9322; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9324 = _T_9323 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9326 = _T_9324 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9336 = _T_4911 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9339 = _T_8793 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9340 = _T_9336 | _T_9339; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9341 = _T_9340 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9343 = _T_9341 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9353 = _T_4915 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9356 = _T_8810 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9357 = _T_9353 | _T_9356; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9358 = _T_9357 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9360 = _T_9358 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9370 = _T_4919 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9373 = _T_8827 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9374 = _T_9370 | _T_9373; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9375 = _T_9374 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9377 = _T_9375 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9387 = _T_4923 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9390 = _T_8844 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9391 = _T_9387 | _T_9390; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9392 = _T_9391 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9394 = _T_9392 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9404 = _T_4927 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9407 = _T_8861 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9408 = _T_9404 | _T_9407; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9409 = _T_9408 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9411 = _T_9409 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9421 = _T_4931 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9424 = _T_8878 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9425 = _T_9421 | _T_9424; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9426 = _T_9425 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9428 = _T_9426 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9438 = _T_4935 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9441 = _T_8895 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9442 = _T_9438 | _T_9441; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9443 = _T_9442 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9445 = _T_9443 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9455 = _T_4939 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9458 = _T_8912 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9459 = _T_9455 | _T_9458; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9460 = _T_9459 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9462 = _T_9460 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9472 = _T_4943 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9475 = _T_8929 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9476 = _T_9472 | _T_9475; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9477 = _T_9476 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9479 = _T_9477 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9489 = _T_4947 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9492 = _T_8946 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9493 = _T_9489 | _T_9492; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9494 = _T_9493 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9496 = _T_9494 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9506 = _T_4951 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9509 = _T_8963 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9510 = _T_9506 | _T_9509; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9511 = _T_9510 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9513 = _T_9511 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9523 = _T_4955 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9526 = _T_8980 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9527 = _T_9523 | _T_9526; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9528 = _T_9527 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9530 = _T_9528 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9540 = _T_4959 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9543 = _T_8997 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9544 = _T_9540 | _T_9543; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9545 = _T_9544 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9547 = _T_9545 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9557 = _T_4963 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9560 = _T_9014 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9561 = _T_9557 | _T_9560; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9562 = _T_9561 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9564 = _T_9562 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9574 = _T_4967 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9577 = _T_9031 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9578 = _T_9574 | _T_9577; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9579 = _T_9578 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9581 = _T_9579 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9591 = _T_4971 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9594 = _T_9048 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9595 = _T_9591 | _T_9594; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9596 = _T_9595 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9598 = _T_9596 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9608 = _T_4975 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9611 = _T_9065 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9612 = _T_9608 | _T_9611; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9613 = _T_9612 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9615 = _T_9613 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9625 = _T_4979 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9628 = _T_9082 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9629 = _T_9625 | _T_9628; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9630 = _T_9629 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9632 = _T_9630 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9642 = _T_4983 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9645 = _T_9099 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9646 = _T_9642 | _T_9645; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9647 = _T_9646 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9649 = _T_9647 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9659 = _T_4987 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9662 = _T_9116 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9663 = _T_9659 | _T_9662; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9664 = _T_9663 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9666 = _T_9664 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9676 = _T_4991 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9679 = _T_9133 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9680 = _T_9676 | _T_9679; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9681 = _T_9680 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9683 = _T_9681 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9693 = _T_4995 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9696 = _T_9150 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9697 = _T_9693 | _T_9696; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9698 = _T_9697 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9700 = _T_9698 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9710 = _T_4999 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9713 = _T_9167 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9714 = _T_9710 | _T_9713; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9715 = _T_9714 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9717 = _T_9715 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9727 = _T_5003 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9730 = _T_9184 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9731 = _T_9727 | _T_9730; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9732 = _T_9731 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9734 = _T_9732 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9744 = _T_5007 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9747 = _T_9201 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9748 = _T_9744 | _T_9747; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9749 = _T_9748 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9751 = _T_9749 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9761 = _T_5011 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9764 = _T_9218 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9765 = _T_9761 | _T_9764; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9766 = _T_9765 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9768 = _T_9766 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9778 = _T_5015 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9781 = _T_9235 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9782 = _T_9778 | _T_9781; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9783 = _T_9782 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9785 = _T_9783 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9795 = _T_5019 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9798 = _T_9252 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9799 = _T_9795 | _T_9798; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9800 = _T_9799 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9802 = _T_9800 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9812 = _T_5023 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9815 = _T_9269 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9816 = _T_9812 | _T_9815; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9817 = _T_9816 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9819 = _T_9817 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9829 = _T_5027 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9832 = _T_9286 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9833 = _T_9829 | _T_9832; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9834 = _T_9833 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9836 = _T_9834 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_9846 = _T_5031 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:59] - wire _T_9849 = _T_9303 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 751:124] - wire _T_9850 = _T_9846 | _T_9849; // @[el2_ifu_mem_ctl.scala 751:81] - wire _T_9851 = _T_9850 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 751:147] - wire _T_9853 = _T_9851 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:165] - wire _T_10655 = ~fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 806:63] - wire _T_10656 = _T_10655 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 806:85] + wire _T_5506 = ic_valid_ff & _T_195; // @[el2_ifu_mem_ctl.scala 751:66] + wire _T_5507 = ~perr_sel_invalidate; // @[el2_ifu_mem_ctl.scala 751:93] + wire _T_5508 = _T_5506 & _T_5507; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_5511 = _T_4523 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5512 = perr_ic_index_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5514 = _T_5512 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5515 = _T_5511 | _T_5514; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5516 = _T_5515 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5518 = _T_5516 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5528 = _T_4527 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5529 = perr_ic_index_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5531 = _T_5529 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5532 = _T_5528 | _T_5531; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5533 = _T_5532 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5535 = _T_5533 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5545 = _T_4531 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5546 = perr_ic_index_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5548 = _T_5546 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5549 = _T_5545 | _T_5548; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5550 = _T_5549 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5552 = _T_5550 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5562 = _T_4535 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5563 = perr_ic_index_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5565 = _T_5563 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5566 = _T_5562 | _T_5565; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5567 = _T_5566 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5569 = _T_5567 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5579 = _T_4539 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5580 = perr_ic_index_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5582 = _T_5580 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5583 = _T_5579 | _T_5582; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5584 = _T_5583 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5586 = _T_5584 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5596 = _T_4543 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5597 = perr_ic_index_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5599 = _T_5597 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5600 = _T_5596 | _T_5599; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5601 = _T_5600 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5603 = _T_5601 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5613 = _T_4547 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5614 = perr_ic_index_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5616 = _T_5614 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5617 = _T_5613 | _T_5616; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5618 = _T_5617 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5620 = _T_5618 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5630 = _T_4551 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5631 = perr_ic_index_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5633 = _T_5631 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5634 = _T_5630 | _T_5633; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5635 = _T_5634 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5637 = _T_5635 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5647 = _T_4555 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5648 = perr_ic_index_ff == 7'h8; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5650 = _T_5648 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5651 = _T_5647 | _T_5650; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5652 = _T_5651 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5654 = _T_5652 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5664 = _T_4559 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5665 = perr_ic_index_ff == 7'h9; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5667 = _T_5665 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5668 = _T_5664 | _T_5667; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5669 = _T_5668 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5671 = _T_5669 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5681 = _T_4563 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5682 = perr_ic_index_ff == 7'ha; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5684 = _T_5682 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5685 = _T_5681 | _T_5684; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5686 = _T_5685 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5688 = _T_5686 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5698 = _T_4567 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5699 = perr_ic_index_ff == 7'hb; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5701 = _T_5699 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5702 = _T_5698 | _T_5701; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5703 = _T_5702 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5705 = _T_5703 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5715 = _T_4571 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5716 = perr_ic_index_ff == 7'hc; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5718 = _T_5716 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5719 = _T_5715 | _T_5718; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5720 = _T_5719 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5722 = _T_5720 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5732 = _T_4575 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5733 = perr_ic_index_ff == 7'hd; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5735 = _T_5733 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5736 = _T_5732 | _T_5735; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5737 = _T_5736 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5739 = _T_5737 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5749 = _T_4579 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5750 = perr_ic_index_ff == 7'he; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5752 = _T_5750 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5753 = _T_5749 | _T_5752; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5754 = _T_5753 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5756 = _T_5754 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5766 = _T_4583 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5767 = perr_ic_index_ff == 7'hf; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5769 = _T_5767 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5770 = _T_5766 | _T_5769; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5771 = _T_5770 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5773 = _T_5771 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5783 = _T_4587 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5784 = perr_ic_index_ff == 7'h10; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5786 = _T_5784 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5787 = _T_5783 | _T_5786; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5788 = _T_5787 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5790 = _T_5788 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5800 = _T_4591 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5801 = perr_ic_index_ff == 7'h11; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5803 = _T_5801 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5804 = _T_5800 | _T_5803; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5805 = _T_5804 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5807 = _T_5805 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5817 = _T_4595 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5818 = perr_ic_index_ff == 7'h12; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5820 = _T_5818 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5821 = _T_5817 | _T_5820; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5822 = _T_5821 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5824 = _T_5822 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5834 = _T_4599 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5835 = perr_ic_index_ff == 7'h13; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5837 = _T_5835 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5838 = _T_5834 | _T_5837; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5839 = _T_5838 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5841 = _T_5839 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5851 = _T_4603 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5852 = perr_ic_index_ff == 7'h14; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5854 = _T_5852 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5855 = _T_5851 | _T_5854; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5856 = _T_5855 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5858 = _T_5856 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5868 = _T_4607 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5869 = perr_ic_index_ff == 7'h15; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5871 = _T_5869 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5872 = _T_5868 | _T_5871; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5873 = _T_5872 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5875 = _T_5873 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5885 = _T_4611 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5886 = perr_ic_index_ff == 7'h16; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5888 = _T_5886 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5889 = _T_5885 | _T_5888; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5890 = _T_5889 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5892 = _T_5890 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5902 = _T_4615 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5903 = perr_ic_index_ff == 7'h17; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5905 = _T_5903 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5906 = _T_5902 | _T_5905; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5907 = _T_5906 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5909 = _T_5907 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5919 = _T_4619 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5920 = perr_ic_index_ff == 7'h18; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5922 = _T_5920 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5923 = _T_5919 | _T_5922; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5924 = _T_5923 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5926 = _T_5924 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5936 = _T_4623 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5937 = perr_ic_index_ff == 7'h19; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5939 = _T_5937 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5940 = _T_5936 | _T_5939; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5941 = _T_5940 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5943 = _T_5941 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5953 = _T_4627 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5954 = perr_ic_index_ff == 7'h1a; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5956 = _T_5954 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5957 = _T_5953 | _T_5956; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5958 = _T_5957 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5960 = _T_5958 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5970 = _T_4631 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5971 = perr_ic_index_ff == 7'h1b; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5973 = _T_5971 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5974 = _T_5970 | _T_5973; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5975 = _T_5974 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5977 = _T_5975 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_5987 = _T_4635 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_5988 = perr_ic_index_ff == 7'h1c; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5990 = _T_5988 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_5991 = _T_5987 | _T_5990; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_5992 = _T_5991 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_5994 = _T_5992 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6004 = _T_4639 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6005 = perr_ic_index_ff == 7'h1d; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6007 = _T_6005 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6008 = _T_6004 | _T_6007; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6009 = _T_6008 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6011 = _T_6009 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6021 = _T_4643 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6022 = perr_ic_index_ff == 7'h1e; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6024 = _T_6022 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6025 = _T_6021 | _T_6024; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6026 = _T_6025 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6028 = _T_6026 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6038 = _T_4647 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6039 = perr_ic_index_ff == 7'h1f; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6041 = _T_6039 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6042 = _T_6038 | _T_6041; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6043 = _T_6042 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6045 = _T_6043 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6055 = _T_4523 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6058 = _T_5512 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6059 = _T_6055 | _T_6058; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6060 = _T_6059 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6062 = _T_6060 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6072 = _T_4527 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6075 = _T_5529 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6076 = _T_6072 | _T_6075; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6077 = _T_6076 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6079 = _T_6077 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6089 = _T_4531 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6092 = _T_5546 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6093 = _T_6089 | _T_6092; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6094 = _T_6093 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6096 = _T_6094 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6106 = _T_4535 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6109 = _T_5563 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6110 = _T_6106 | _T_6109; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6111 = _T_6110 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6113 = _T_6111 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6123 = _T_4539 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6126 = _T_5580 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6127 = _T_6123 | _T_6126; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6128 = _T_6127 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6130 = _T_6128 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6140 = _T_4543 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6143 = _T_5597 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6144 = _T_6140 | _T_6143; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6145 = _T_6144 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6147 = _T_6145 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6157 = _T_4547 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6160 = _T_5614 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6161 = _T_6157 | _T_6160; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6162 = _T_6161 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6164 = _T_6162 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6174 = _T_4551 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6177 = _T_5631 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6178 = _T_6174 | _T_6177; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6179 = _T_6178 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6181 = _T_6179 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6191 = _T_4555 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6194 = _T_5648 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6195 = _T_6191 | _T_6194; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6196 = _T_6195 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6198 = _T_6196 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6208 = _T_4559 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6211 = _T_5665 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6212 = _T_6208 | _T_6211; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6213 = _T_6212 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6215 = _T_6213 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6225 = _T_4563 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6228 = _T_5682 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6229 = _T_6225 | _T_6228; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6230 = _T_6229 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6232 = _T_6230 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6242 = _T_4567 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6245 = _T_5699 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6246 = _T_6242 | _T_6245; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6247 = _T_6246 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6249 = _T_6247 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6259 = _T_4571 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6262 = _T_5716 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6263 = _T_6259 | _T_6262; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6264 = _T_6263 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6266 = _T_6264 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6276 = _T_4575 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6279 = _T_5733 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6280 = _T_6276 | _T_6279; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6281 = _T_6280 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6283 = _T_6281 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6293 = _T_4579 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6296 = _T_5750 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6297 = _T_6293 | _T_6296; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6298 = _T_6297 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6300 = _T_6298 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6310 = _T_4583 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6313 = _T_5767 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6314 = _T_6310 | _T_6313; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6315 = _T_6314 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6317 = _T_6315 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6327 = _T_4587 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6330 = _T_5784 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6331 = _T_6327 | _T_6330; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6332 = _T_6331 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6334 = _T_6332 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6344 = _T_4591 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6347 = _T_5801 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6348 = _T_6344 | _T_6347; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6349 = _T_6348 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6351 = _T_6349 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6361 = _T_4595 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6364 = _T_5818 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6365 = _T_6361 | _T_6364; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6366 = _T_6365 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6368 = _T_6366 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6378 = _T_4599 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6381 = _T_5835 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6382 = _T_6378 | _T_6381; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6383 = _T_6382 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6385 = _T_6383 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6395 = _T_4603 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6398 = _T_5852 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6399 = _T_6395 | _T_6398; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6400 = _T_6399 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6402 = _T_6400 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6412 = _T_4607 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6415 = _T_5869 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6416 = _T_6412 | _T_6415; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6417 = _T_6416 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6419 = _T_6417 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6429 = _T_4611 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6432 = _T_5886 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6433 = _T_6429 | _T_6432; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6434 = _T_6433 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6436 = _T_6434 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6446 = _T_4615 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6449 = _T_5903 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6450 = _T_6446 | _T_6449; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6451 = _T_6450 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6453 = _T_6451 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6463 = _T_4619 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6466 = _T_5920 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6467 = _T_6463 | _T_6466; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6468 = _T_6467 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6470 = _T_6468 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6480 = _T_4623 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6483 = _T_5937 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6484 = _T_6480 | _T_6483; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6485 = _T_6484 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6487 = _T_6485 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6497 = _T_4627 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6500 = _T_5954 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6501 = _T_6497 | _T_6500; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6502 = _T_6501 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6504 = _T_6502 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6514 = _T_4631 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6517 = _T_5971 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6518 = _T_6514 | _T_6517; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6519 = _T_6518 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6521 = _T_6519 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6531 = _T_4635 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6534 = _T_5988 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6535 = _T_6531 | _T_6534; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6536 = _T_6535 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6538 = _T_6536 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6548 = _T_4639 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6551 = _T_6005 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6552 = _T_6548 | _T_6551; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6553 = _T_6552 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6555 = _T_6553 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6565 = _T_4643 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6568 = _T_6022 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6569 = _T_6565 | _T_6568; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6570 = _T_6569 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6572 = _T_6570 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6582 = _T_4647 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6585 = _T_6039 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6586 = _T_6582 | _T_6585; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6587 = _T_6586 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6589 = _T_6587 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6599 = _T_4651 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6600 = perr_ic_index_ff == 7'h20; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6602 = _T_6600 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6603 = _T_6599 | _T_6602; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6604 = _T_6603 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6606 = _T_6604 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6616 = _T_4655 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6617 = perr_ic_index_ff == 7'h21; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6619 = _T_6617 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6620 = _T_6616 | _T_6619; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6621 = _T_6620 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6623 = _T_6621 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6633 = _T_4659 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6634 = perr_ic_index_ff == 7'h22; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6636 = _T_6634 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6637 = _T_6633 | _T_6636; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6638 = _T_6637 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6640 = _T_6638 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6650 = _T_4663 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6651 = perr_ic_index_ff == 7'h23; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6653 = _T_6651 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6654 = _T_6650 | _T_6653; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6655 = _T_6654 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6657 = _T_6655 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6667 = _T_4667 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6668 = perr_ic_index_ff == 7'h24; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6670 = _T_6668 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6671 = _T_6667 | _T_6670; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6672 = _T_6671 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6674 = _T_6672 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6684 = _T_4671 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6685 = perr_ic_index_ff == 7'h25; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6687 = _T_6685 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6688 = _T_6684 | _T_6687; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6689 = _T_6688 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6691 = _T_6689 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6701 = _T_4675 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6702 = perr_ic_index_ff == 7'h26; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6704 = _T_6702 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6705 = _T_6701 | _T_6704; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6706 = _T_6705 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6708 = _T_6706 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6718 = _T_4679 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6719 = perr_ic_index_ff == 7'h27; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6721 = _T_6719 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6722 = _T_6718 | _T_6721; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6723 = _T_6722 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6725 = _T_6723 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6735 = _T_4683 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6736 = perr_ic_index_ff == 7'h28; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6738 = _T_6736 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6739 = _T_6735 | _T_6738; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6740 = _T_6739 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6742 = _T_6740 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6752 = _T_4687 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6753 = perr_ic_index_ff == 7'h29; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6755 = _T_6753 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6756 = _T_6752 | _T_6755; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6757 = _T_6756 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6759 = _T_6757 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6769 = _T_4691 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6770 = perr_ic_index_ff == 7'h2a; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6772 = _T_6770 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6773 = _T_6769 | _T_6772; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6774 = _T_6773 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6776 = _T_6774 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6786 = _T_4695 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6787 = perr_ic_index_ff == 7'h2b; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6789 = _T_6787 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6790 = _T_6786 | _T_6789; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6791 = _T_6790 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6793 = _T_6791 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6803 = _T_4699 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6804 = perr_ic_index_ff == 7'h2c; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6806 = _T_6804 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6807 = _T_6803 | _T_6806; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6808 = _T_6807 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6810 = _T_6808 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6820 = _T_4703 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6821 = perr_ic_index_ff == 7'h2d; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6823 = _T_6821 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6824 = _T_6820 | _T_6823; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6825 = _T_6824 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6827 = _T_6825 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6837 = _T_4707 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6838 = perr_ic_index_ff == 7'h2e; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6840 = _T_6838 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6841 = _T_6837 | _T_6840; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6842 = _T_6841 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6844 = _T_6842 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6854 = _T_4711 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6855 = perr_ic_index_ff == 7'h2f; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6857 = _T_6855 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6858 = _T_6854 | _T_6857; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6859 = _T_6858 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6861 = _T_6859 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6871 = _T_4715 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6872 = perr_ic_index_ff == 7'h30; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6874 = _T_6872 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6875 = _T_6871 | _T_6874; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6876 = _T_6875 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6878 = _T_6876 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6888 = _T_4719 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6889 = perr_ic_index_ff == 7'h31; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6891 = _T_6889 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6892 = _T_6888 | _T_6891; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6893 = _T_6892 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6895 = _T_6893 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6905 = _T_4723 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6906 = perr_ic_index_ff == 7'h32; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6908 = _T_6906 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6909 = _T_6905 | _T_6908; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6910 = _T_6909 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6912 = _T_6910 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6922 = _T_4727 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6923 = perr_ic_index_ff == 7'h33; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6925 = _T_6923 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6926 = _T_6922 | _T_6925; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6927 = _T_6926 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6929 = _T_6927 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6939 = _T_4731 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6940 = perr_ic_index_ff == 7'h34; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6942 = _T_6940 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6943 = _T_6939 | _T_6942; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6944 = _T_6943 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6946 = _T_6944 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6956 = _T_4735 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6957 = perr_ic_index_ff == 7'h35; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6959 = _T_6957 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6960 = _T_6956 | _T_6959; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6961 = _T_6960 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6963 = _T_6961 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6973 = _T_4739 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6974 = perr_ic_index_ff == 7'h36; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6976 = _T_6974 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6977 = _T_6973 | _T_6976; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6978 = _T_6977 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6980 = _T_6978 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_6990 = _T_4743 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_6991 = perr_ic_index_ff == 7'h37; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_6993 = _T_6991 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_6994 = _T_6990 | _T_6993; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_6995 = _T_6994 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_6997 = _T_6995 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7007 = _T_4747 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7008 = perr_ic_index_ff == 7'h38; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7010 = _T_7008 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7011 = _T_7007 | _T_7010; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7012 = _T_7011 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7014 = _T_7012 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7024 = _T_4751 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7025 = perr_ic_index_ff == 7'h39; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7027 = _T_7025 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7028 = _T_7024 | _T_7027; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7029 = _T_7028 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7031 = _T_7029 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7041 = _T_4755 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7042 = perr_ic_index_ff == 7'h3a; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7044 = _T_7042 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7045 = _T_7041 | _T_7044; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7046 = _T_7045 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7048 = _T_7046 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7058 = _T_4759 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7059 = perr_ic_index_ff == 7'h3b; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7061 = _T_7059 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7062 = _T_7058 | _T_7061; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7063 = _T_7062 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7065 = _T_7063 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7075 = _T_4763 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7076 = perr_ic_index_ff == 7'h3c; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7078 = _T_7076 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7079 = _T_7075 | _T_7078; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7080 = _T_7079 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7082 = _T_7080 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7092 = _T_4767 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7093 = perr_ic_index_ff == 7'h3d; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7095 = _T_7093 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7096 = _T_7092 | _T_7095; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7097 = _T_7096 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7099 = _T_7097 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7109 = _T_4771 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7110 = perr_ic_index_ff == 7'h3e; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7112 = _T_7110 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7113 = _T_7109 | _T_7112; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7114 = _T_7113 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7116 = _T_7114 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7126 = _T_4775 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7127 = perr_ic_index_ff == 7'h3f; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7129 = _T_7127 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7130 = _T_7126 | _T_7129; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7131 = _T_7130 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7133 = _T_7131 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7143 = _T_4651 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7146 = _T_6600 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7147 = _T_7143 | _T_7146; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7148 = _T_7147 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7150 = _T_7148 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7160 = _T_4655 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7163 = _T_6617 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7164 = _T_7160 | _T_7163; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7165 = _T_7164 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7167 = _T_7165 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7177 = _T_4659 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7180 = _T_6634 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7181 = _T_7177 | _T_7180; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7182 = _T_7181 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7184 = _T_7182 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7194 = _T_4663 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7197 = _T_6651 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7198 = _T_7194 | _T_7197; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7199 = _T_7198 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7201 = _T_7199 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7211 = _T_4667 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7214 = _T_6668 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7215 = _T_7211 | _T_7214; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7216 = _T_7215 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7218 = _T_7216 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7228 = _T_4671 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7231 = _T_6685 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7232 = _T_7228 | _T_7231; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7233 = _T_7232 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7235 = _T_7233 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7245 = _T_4675 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7248 = _T_6702 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7249 = _T_7245 | _T_7248; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7250 = _T_7249 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7252 = _T_7250 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7262 = _T_4679 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7265 = _T_6719 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7266 = _T_7262 | _T_7265; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7267 = _T_7266 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7269 = _T_7267 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7279 = _T_4683 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7282 = _T_6736 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7283 = _T_7279 | _T_7282; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7284 = _T_7283 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7286 = _T_7284 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7296 = _T_4687 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7299 = _T_6753 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7300 = _T_7296 | _T_7299; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7301 = _T_7300 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7303 = _T_7301 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7313 = _T_4691 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7316 = _T_6770 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7317 = _T_7313 | _T_7316; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7318 = _T_7317 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7320 = _T_7318 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7330 = _T_4695 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7333 = _T_6787 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7334 = _T_7330 | _T_7333; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7335 = _T_7334 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7337 = _T_7335 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7347 = _T_4699 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7350 = _T_6804 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7351 = _T_7347 | _T_7350; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7352 = _T_7351 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7354 = _T_7352 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7364 = _T_4703 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7367 = _T_6821 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7368 = _T_7364 | _T_7367; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7369 = _T_7368 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7371 = _T_7369 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7381 = _T_4707 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7384 = _T_6838 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7385 = _T_7381 | _T_7384; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7386 = _T_7385 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7388 = _T_7386 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7398 = _T_4711 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7401 = _T_6855 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7402 = _T_7398 | _T_7401; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7403 = _T_7402 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7405 = _T_7403 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7415 = _T_4715 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7418 = _T_6872 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7419 = _T_7415 | _T_7418; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7420 = _T_7419 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7422 = _T_7420 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7432 = _T_4719 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7435 = _T_6889 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7436 = _T_7432 | _T_7435; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7437 = _T_7436 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7439 = _T_7437 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7449 = _T_4723 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7452 = _T_6906 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7453 = _T_7449 | _T_7452; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7454 = _T_7453 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7456 = _T_7454 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7466 = _T_4727 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7469 = _T_6923 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7470 = _T_7466 | _T_7469; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7471 = _T_7470 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7473 = _T_7471 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7483 = _T_4731 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7486 = _T_6940 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7487 = _T_7483 | _T_7486; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7488 = _T_7487 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7490 = _T_7488 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7500 = _T_4735 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7503 = _T_6957 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7504 = _T_7500 | _T_7503; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7505 = _T_7504 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7507 = _T_7505 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7517 = _T_4739 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7520 = _T_6974 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7521 = _T_7517 | _T_7520; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7522 = _T_7521 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7524 = _T_7522 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7534 = _T_4743 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7537 = _T_6991 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7538 = _T_7534 | _T_7537; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7539 = _T_7538 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7541 = _T_7539 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7551 = _T_4747 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7554 = _T_7008 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7555 = _T_7551 | _T_7554; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7556 = _T_7555 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7558 = _T_7556 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7568 = _T_4751 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7571 = _T_7025 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7572 = _T_7568 | _T_7571; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7573 = _T_7572 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7575 = _T_7573 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7585 = _T_4755 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7588 = _T_7042 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7589 = _T_7585 | _T_7588; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7590 = _T_7589 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7592 = _T_7590 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7602 = _T_4759 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7605 = _T_7059 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7606 = _T_7602 | _T_7605; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7607 = _T_7606 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7609 = _T_7607 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7619 = _T_4763 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7622 = _T_7076 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7623 = _T_7619 | _T_7622; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7624 = _T_7623 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7626 = _T_7624 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7636 = _T_4767 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7639 = _T_7093 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7640 = _T_7636 | _T_7639; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7641 = _T_7640 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7643 = _T_7641 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7653 = _T_4771 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7656 = _T_7110 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7657 = _T_7653 | _T_7656; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7658 = _T_7657 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7660 = _T_7658 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7670 = _T_4775 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7673 = _T_7127 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7674 = _T_7670 | _T_7673; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7675 = _T_7674 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7677 = _T_7675 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7687 = _T_4779 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7688 = perr_ic_index_ff == 7'h40; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7690 = _T_7688 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7691 = _T_7687 | _T_7690; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7692 = _T_7691 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7694 = _T_7692 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7704 = _T_4783 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7705 = perr_ic_index_ff == 7'h41; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7707 = _T_7705 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7708 = _T_7704 | _T_7707; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7709 = _T_7708 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7711 = _T_7709 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7721 = _T_4787 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7722 = perr_ic_index_ff == 7'h42; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7724 = _T_7722 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7725 = _T_7721 | _T_7724; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7726 = _T_7725 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7728 = _T_7726 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7738 = _T_4791 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7739 = perr_ic_index_ff == 7'h43; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7741 = _T_7739 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7742 = _T_7738 | _T_7741; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7743 = _T_7742 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7745 = _T_7743 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7755 = _T_4795 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7756 = perr_ic_index_ff == 7'h44; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7758 = _T_7756 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7759 = _T_7755 | _T_7758; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7760 = _T_7759 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7762 = _T_7760 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7772 = _T_4799 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7773 = perr_ic_index_ff == 7'h45; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7775 = _T_7773 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7776 = _T_7772 | _T_7775; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7777 = _T_7776 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7779 = _T_7777 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7789 = _T_4803 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7790 = perr_ic_index_ff == 7'h46; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7792 = _T_7790 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7793 = _T_7789 | _T_7792; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7794 = _T_7793 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7796 = _T_7794 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7806 = _T_4807 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7807 = perr_ic_index_ff == 7'h47; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7809 = _T_7807 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7810 = _T_7806 | _T_7809; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7811 = _T_7810 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7813 = _T_7811 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7823 = _T_4811 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7824 = perr_ic_index_ff == 7'h48; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7826 = _T_7824 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7827 = _T_7823 | _T_7826; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7828 = _T_7827 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7830 = _T_7828 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7840 = _T_4815 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7841 = perr_ic_index_ff == 7'h49; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7843 = _T_7841 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7844 = _T_7840 | _T_7843; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7845 = _T_7844 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7847 = _T_7845 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7857 = _T_4819 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7858 = perr_ic_index_ff == 7'h4a; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7860 = _T_7858 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7861 = _T_7857 | _T_7860; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7862 = _T_7861 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7864 = _T_7862 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7874 = _T_4823 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7875 = perr_ic_index_ff == 7'h4b; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7877 = _T_7875 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7878 = _T_7874 | _T_7877; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7879 = _T_7878 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7881 = _T_7879 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7891 = _T_4827 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7892 = perr_ic_index_ff == 7'h4c; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7894 = _T_7892 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7895 = _T_7891 | _T_7894; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7896 = _T_7895 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7898 = _T_7896 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7908 = _T_4831 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7909 = perr_ic_index_ff == 7'h4d; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7911 = _T_7909 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7912 = _T_7908 | _T_7911; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7913 = _T_7912 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7915 = _T_7913 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7925 = _T_4835 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7926 = perr_ic_index_ff == 7'h4e; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7928 = _T_7926 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7929 = _T_7925 | _T_7928; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7930 = _T_7929 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7932 = _T_7930 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7942 = _T_4839 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7943 = perr_ic_index_ff == 7'h4f; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7945 = _T_7943 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7946 = _T_7942 | _T_7945; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7947 = _T_7946 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7949 = _T_7947 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7959 = _T_4843 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7960 = perr_ic_index_ff == 7'h50; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7962 = _T_7960 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7963 = _T_7959 | _T_7962; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7964 = _T_7963 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7966 = _T_7964 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7976 = _T_4847 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7977 = perr_ic_index_ff == 7'h51; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7979 = _T_7977 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7980 = _T_7976 | _T_7979; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7981 = _T_7980 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_7983 = _T_7981 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_7993 = _T_4851 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_7994 = perr_ic_index_ff == 7'h52; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_7996 = _T_7994 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_7997 = _T_7993 | _T_7996; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_7998 = _T_7997 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8000 = _T_7998 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8010 = _T_4855 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8011 = perr_ic_index_ff == 7'h53; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8013 = _T_8011 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8014 = _T_8010 | _T_8013; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8015 = _T_8014 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8017 = _T_8015 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8027 = _T_4859 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8028 = perr_ic_index_ff == 7'h54; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8030 = _T_8028 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8031 = _T_8027 | _T_8030; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8032 = _T_8031 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8034 = _T_8032 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8044 = _T_4863 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8045 = perr_ic_index_ff == 7'h55; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8047 = _T_8045 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8048 = _T_8044 | _T_8047; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8049 = _T_8048 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8051 = _T_8049 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8061 = _T_4867 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8062 = perr_ic_index_ff == 7'h56; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8064 = _T_8062 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8065 = _T_8061 | _T_8064; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8066 = _T_8065 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8068 = _T_8066 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8078 = _T_4871 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8079 = perr_ic_index_ff == 7'h57; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8081 = _T_8079 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8082 = _T_8078 | _T_8081; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8083 = _T_8082 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8085 = _T_8083 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8095 = _T_4875 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8096 = perr_ic_index_ff == 7'h58; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8098 = _T_8096 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8099 = _T_8095 | _T_8098; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8100 = _T_8099 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8102 = _T_8100 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8112 = _T_4879 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8113 = perr_ic_index_ff == 7'h59; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8115 = _T_8113 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8116 = _T_8112 | _T_8115; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8117 = _T_8116 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8119 = _T_8117 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8129 = _T_4883 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8130 = perr_ic_index_ff == 7'h5a; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8132 = _T_8130 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8133 = _T_8129 | _T_8132; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8134 = _T_8133 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8136 = _T_8134 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8146 = _T_4887 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8147 = perr_ic_index_ff == 7'h5b; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8149 = _T_8147 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8150 = _T_8146 | _T_8149; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8151 = _T_8150 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8153 = _T_8151 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8163 = _T_4891 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8164 = perr_ic_index_ff == 7'h5c; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8166 = _T_8164 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8167 = _T_8163 | _T_8166; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8168 = _T_8167 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8170 = _T_8168 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8180 = _T_4895 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8181 = perr_ic_index_ff == 7'h5d; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8183 = _T_8181 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8184 = _T_8180 | _T_8183; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8185 = _T_8184 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8187 = _T_8185 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8197 = _T_4899 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8198 = perr_ic_index_ff == 7'h5e; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8200 = _T_8198 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8201 = _T_8197 | _T_8200; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8202 = _T_8201 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8204 = _T_8202 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8214 = _T_4903 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8215 = perr_ic_index_ff == 7'h5f; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8217 = _T_8215 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8218 = _T_8214 | _T_8217; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8219 = _T_8218 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8221 = _T_8219 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8231 = _T_4779 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8234 = _T_7688 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8235 = _T_8231 | _T_8234; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8236 = _T_8235 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8238 = _T_8236 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8248 = _T_4783 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8251 = _T_7705 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8252 = _T_8248 | _T_8251; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8253 = _T_8252 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8255 = _T_8253 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8265 = _T_4787 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8268 = _T_7722 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8269 = _T_8265 | _T_8268; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8270 = _T_8269 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8272 = _T_8270 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8282 = _T_4791 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8285 = _T_7739 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8286 = _T_8282 | _T_8285; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8287 = _T_8286 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8289 = _T_8287 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8299 = _T_4795 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8302 = _T_7756 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8303 = _T_8299 | _T_8302; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8304 = _T_8303 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8306 = _T_8304 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8316 = _T_4799 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8319 = _T_7773 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8320 = _T_8316 | _T_8319; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8321 = _T_8320 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8323 = _T_8321 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8333 = _T_4803 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8336 = _T_7790 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8337 = _T_8333 | _T_8336; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8338 = _T_8337 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8340 = _T_8338 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8350 = _T_4807 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8353 = _T_7807 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8354 = _T_8350 | _T_8353; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8355 = _T_8354 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8357 = _T_8355 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8367 = _T_4811 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8370 = _T_7824 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8371 = _T_8367 | _T_8370; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8372 = _T_8371 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8374 = _T_8372 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8384 = _T_4815 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8387 = _T_7841 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8388 = _T_8384 | _T_8387; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8389 = _T_8388 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8391 = _T_8389 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8401 = _T_4819 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8404 = _T_7858 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8405 = _T_8401 | _T_8404; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8406 = _T_8405 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8408 = _T_8406 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8418 = _T_4823 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8421 = _T_7875 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8422 = _T_8418 | _T_8421; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8423 = _T_8422 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8425 = _T_8423 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8435 = _T_4827 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8438 = _T_7892 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8439 = _T_8435 | _T_8438; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8440 = _T_8439 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8442 = _T_8440 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8452 = _T_4831 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8455 = _T_7909 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8456 = _T_8452 | _T_8455; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8457 = _T_8456 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8459 = _T_8457 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8469 = _T_4835 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8472 = _T_7926 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8473 = _T_8469 | _T_8472; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8474 = _T_8473 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8476 = _T_8474 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8486 = _T_4839 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8489 = _T_7943 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8490 = _T_8486 | _T_8489; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8491 = _T_8490 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8493 = _T_8491 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8503 = _T_4843 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8506 = _T_7960 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8507 = _T_8503 | _T_8506; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8508 = _T_8507 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8510 = _T_8508 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8520 = _T_4847 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8523 = _T_7977 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8524 = _T_8520 | _T_8523; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8525 = _T_8524 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8527 = _T_8525 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8537 = _T_4851 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8540 = _T_7994 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8541 = _T_8537 | _T_8540; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8542 = _T_8541 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8544 = _T_8542 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8554 = _T_4855 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8557 = _T_8011 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8558 = _T_8554 | _T_8557; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8559 = _T_8558 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8561 = _T_8559 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8571 = _T_4859 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8574 = _T_8028 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8575 = _T_8571 | _T_8574; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8576 = _T_8575 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8578 = _T_8576 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8588 = _T_4863 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8591 = _T_8045 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8592 = _T_8588 | _T_8591; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8593 = _T_8592 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8595 = _T_8593 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8605 = _T_4867 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8608 = _T_8062 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8609 = _T_8605 | _T_8608; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8610 = _T_8609 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8612 = _T_8610 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8622 = _T_4871 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8625 = _T_8079 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8626 = _T_8622 | _T_8625; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8627 = _T_8626 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8629 = _T_8627 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8639 = _T_4875 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8642 = _T_8096 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8643 = _T_8639 | _T_8642; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8644 = _T_8643 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8646 = _T_8644 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8656 = _T_4879 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8659 = _T_8113 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8660 = _T_8656 | _T_8659; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8661 = _T_8660 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8663 = _T_8661 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8673 = _T_4883 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8676 = _T_8130 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8677 = _T_8673 | _T_8676; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8678 = _T_8677 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8680 = _T_8678 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8690 = _T_4887 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8693 = _T_8147 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8694 = _T_8690 | _T_8693; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8695 = _T_8694 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8697 = _T_8695 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8707 = _T_4891 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8710 = _T_8164 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8711 = _T_8707 | _T_8710; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8712 = _T_8711 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8714 = _T_8712 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8724 = _T_4895 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8727 = _T_8181 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8728 = _T_8724 | _T_8727; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8729 = _T_8728 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8731 = _T_8729 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8741 = _T_4899 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8744 = _T_8198 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8745 = _T_8741 | _T_8744; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8746 = _T_8745 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8748 = _T_8746 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8758 = _T_4903 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8761 = _T_8215 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8762 = _T_8758 | _T_8761; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8763 = _T_8762 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8765 = _T_8763 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8775 = _T_4907 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8776 = perr_ic_index_ff == 7'h60; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8778 = _T_8776 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8779 = _T_8775 | _T_8778; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8780 = _T_8779 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8782 = _T_8780 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8792 = _T_4911 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8793 = perr_ic_index_ff == 7'h61; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8795 = _T_8793 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8796 = _T_8792 | _T_8795; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8797 = _T_8796 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8799 = _T_8797 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8809 = _T_4915 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8810 = perr_ic_index_ff == 7'h62; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8812 = _T_8810 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8813 = _T_8809 | _T_8812; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8814 = _T_8813 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8816 = _T_8814 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8826 = _T_4919 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8827 = perr_ic_index_ff == 7'h63; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8829 = _T_8827 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8830 = _T_8826 | _T_8829; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8831 = _T_8830 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8833 = _T_8831 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8843 = _T_4923 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8844 = perr_ic_index_ff == 7'h64; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8846 = _T_8844 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8847 = _T_8843 | _T_8846; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8848 = _T_8847 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8850 = _T_8848 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8860 = _T_4927 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8861 = perr_ic_index_ff == 7'h65; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8863 = _T_8861 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8864 = _T_8860 | _T_8863; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8865 = _T_8864 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8867 = _T_8865 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8877 = _T_4931 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8878 = perr_ic_index_ff == 7'h66; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8880 = _T_8878 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8881 = _T_8877 | _T_8880; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8882 = _T_8881 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8884 = _T_8882 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8894 = _T_4935 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8895 = perr_ic_index_ff == 7'h67; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8897 = _T_8895 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8898 = _T_8894 | _T_8897; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8899 = _T_8898 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8901 = _T_8899 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8911 = _T_4939 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8912 = perr_ic_index_ff == 7'h68; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8914 = _T_8912 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8915 = _T_8911 | _T_8914; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8916 = _T_8915 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8918 = _T_8916 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8928 = _T_4943 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8929 = perr_ic_index_ff == 7'h69; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8931 = _T_8929 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8932 = _T_8928 | _T_8931; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8933 = _T_8932 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8935 = _T_8933 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8945 = _T_4947 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8946 = perr_ic_index_ff == 7'h6a; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8948 = _T_8946 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8949 = _T_8945 | _T_8948; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8950 = _T_8949 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8952 = _T_8950 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8962 = _T_4951 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8963 = perr_ic_index_ff == 7'h6b; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8965 = _T_8963 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8966 = _T_8962 | _T_8965; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8967 = _T_8966 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8969 = _T_8967 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8979 = _T_4955 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8980 = perr_ic_index_ff == 7'h6c; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8982 = _T_8980 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_8983 = _T_8979 | _T_8982; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_8984 = _T_8983 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_8986 = _T_8984 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_8996 = _T_4959 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_8997 = perr_ic_index_ff == 7'h6d; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_8999 = _T_8997 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9000 = _T_8996 | _T_8999; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9001 = _T_9000 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9003 = _T_9001 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9013 = _T_4963 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9014 = perr_ic_index_ff == 7'h6e; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9016 = _T_9014 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9017 = _T_9013 | _T_9016; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9018 = _T_9017 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9020 = _T_9018 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9030 = _T_4967 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9031 = perr_ic_index_ff == 7'h6f; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9033 = _T_9031 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9034 = _T_9030 | _T_9033; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9035 = _T_9034 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9037 = _T_9035 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9047 = _T_4971 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9048 = perr_ic_index_ff == 7'h70; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9050 = _T_9048 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9051 = _T_9047 | _T_9050; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9052 = _T_9051 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9054 = _T_9052 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9064 = _T_4975 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9065 = perr_ic_index_ff == 7'h71; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9067 = _T_9065 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9068 = _T_9064 | _T_9067; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9069 = _T_9068 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9071 = _T_9069 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9081 = _T_4979 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9082 = perr_ic_index_ff == 7'h72; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9084 = _T_9082 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9085 = _T_9081 | _T_9084; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9086 = _T_9085 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9088 = _T_9086 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9098 = _T_4983 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9099 = perr_ic_index_ff == 7'h73; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9101 = _T_9099 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9102 = _T_9098 | _T_9101; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9103 = _T_9102 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9105 = _T_9103 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9115 = _T_4987 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9116 = perr_ic_index_ff == 7'h74; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9118 = _T_9116 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9119 = _T_9115 | _T_9118; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9120 = _T_9119 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9122 = _T_9120 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9132 = _T_4991 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9133 = perr_ic_index_ff == 7'h75; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9135 = _T_9133 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9136 = _T_9132 | _T_9135; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9137 = _T_9136 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9139 = _T_9137 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9149 = _T_4995 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9150 = perr_ic_index_ff == 7'h76; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9152 = _T_9150 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9153 = _T_9149 | _T_9152; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9154 = _T_9153 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9156 = _T_9154 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9166 = _T_4999 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9167 = perr_ic_index_ff == 7'h77; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9169 = _T_9167 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9170 = _T_9166 | _T_9169; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9171 = _T_9170 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9173 = _T_9171 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9183 = _T_5003 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9184 = perr_ic_index_ff == 7'h78; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9186 = _T_9184 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9187 = _T_9183 | _T_9186; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9188 = _T_9187 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9190 = _T_9188 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9200 = _T_5007 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9201 = perr_ic_index_ff == 7'h79; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9203 = _T_9201 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9204 = _T_9200 | _T_9203; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9205 = _T_9204 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9207 = _T_9205 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9217 = _T_5011 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9218 = perr_ic_index_ff == 7'h7a; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9220 = _T_9218 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9221 = _T_9217 | _T_9220; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9222 = _T_9221 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9224 = _T_9222 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9234 = _T_5015 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9235 = perr_ic_index_ff == 7'h7b; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9237 = _T_9235 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9238 = _T_9234 | _T_9237; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9239 = _T_9238 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9241 = _T_9239 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9251 = _T_5019 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9252 = perr_ic_index_ff == 7'h7c; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9254 = _T_9252 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9255 = _T_9251 | _T_9254; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9256 = _T_9255 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9258 = _T_9256 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9268 = _T_5023 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9269 = perr_ic_index_ff == 7'h7d; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9271 = _T_9269 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9272 = _T_9268 | _T_9271; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9273 = _T_9272 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9275 = _T_9273 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9285 = _T_5027 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9286 = perr_ic_index_ff == 7'h7e; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9288 = _T_9286 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9289 = _T_9285 | _T_9288; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9290 = _T_9289 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9292 = _T_9290 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9302 = _T_5031 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9303 = perr_ic_index_ff == 7'h7f; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_9305 = _T_9303 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9306 = _T_9302 | _T_9305; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9307 = _T_9306 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9309 = _T_9307 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9319 = _T_4907 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9322 = _T_8776 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9323 = _T_9319 | _T_9322; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9324 = _T_9323 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9326 = _T_9324 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9336 = _T_4911 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9339 = _T_8793 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9340 = _T_9336 | _T_9339; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9341 = _T_9340 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9343 = _T_9341 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9353 = _T_4915 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9356 = _T_8810 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9357 = _T_9353 | _T_9356; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9358 = _T_9357 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9360 = _T_9358 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9370 = _T_4919 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9373 = _T_8827 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9374 = _T_9370 | _T_9373; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9375 = _T_9374 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9377 = _T_9375 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9387 = _T_4923 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9390 = _T_8844 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9391 = _T_9387 | _T_9390; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9392 = _T_9391 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9394 = _T_9392 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9404 = _T_4927 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9407 = _T_8861 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9408 = _T_9404 | _T_9407; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9409 = _T_9408 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9411 = _T_9409 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9421 = _T_4931 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9424 = _T_8878 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9425 = _T_9421 | _T_9424; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9426 = _T_9425 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9428 = _T_9426 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9438 = _T_4935 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9441 = _T_8895 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9442 = _T_9438 | _T_9441; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9443 = _T_9442 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9445 = _T_9443 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9455 = _T_4939 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9458 = _T_8912 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9459 = _T_9455 | _T_9458; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9460 = _T_9459 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9462 = _T_9460 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9472 = _T_4943 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9475 = _T_8929 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9476 = _T_9472 | _T_9475; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9477 = _T_9476 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9479 = _T_9477 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9489 = _T_4947 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9492 = _T_8946 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9493 = _T_9489 | _T_9492; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9494 = _T_9493 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9496 = _T_9494 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9506 = _T_4951 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9509 = _T_8963 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9510 = _T_9506 | _T_9509; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9511 = _T_9510 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9513 = _T_9511 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9523 = _T_4955 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9526 = _T_8980 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9527 = _T_9523 | _T_9526; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9528 = _T_9527 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9530 = _T_9528 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9540 = _T_4959 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9543 = _T_8997 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9544 = _T_9540 | _T_9543; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9545 = _T_9544 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9547 = _T_9545 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9557 = _T_4963 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9560 = _T_9014 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9561 = _T_9557 | _T_9560; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9562 = _T_9561 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9564 = _T_9562 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9574 = _T_4967 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9577 = _T_9031 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9578 = _T_9574 | _T_9577; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9579 = _T_9578 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9581 = _T_9579 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9591 = _T_4971 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9594 = _T_9048 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9595 = _T_9591 | _T_9594; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9596 = _T_9595 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9598 = _T_9596 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9608 = _T_4975 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9611 = _T_9065 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9612 = _T_9608 | _T_9611; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9613 = _T_9612 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9615 = _T_9613 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9625 = _T_4979 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9628 = _T_9082 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9629 = _T_9625 | _T_9628; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9630 = _T_9629 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9632 = _T_9630 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9642 = _T_4983 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9645 = _T_9099 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9646 = _T_9642 | _T_9645; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9647 = _T_9646 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9649 = _T_9647 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9659 = _T_4987 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9662 = _T_9116 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9663 = _T_9659 | _T_9662; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9664 = _T_9663 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9666 = _T_9664 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9676 = _T_4991 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9679 = _T_9133 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9680 = _T_9676 | _T_9679; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9681 = _T_9680 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9683 = _T_9681 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9693 = _T_4995 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9696 = _T_9150 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9697 = _T_9693 | _T_9696; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9698 = _T_9697 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9700 = _T_9698 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9710 = _T_4999 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9713 = _T_9167 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9714 = _T_9710 | _T_9713; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9715 = _T_9714 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9717 = _T_9715 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9727 = _T_5003 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9730 = _T_9184 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9731 = _T_9727 | _T_9730; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9732 = _T_9731 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9734 = _T_9732 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9744 = _T_5007 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9747 = _T_9201 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9748 = _T_9744 | _T_9747; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9749 = _T_9748 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9751 = _T_9749 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9761 = _T_5011 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9764 = _T_9218 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9765 = _T_9761 | _T_9764; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9766 = _T_9765 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9768 = _T_9766 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9778 = _T_5015 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9781 = _T_9235 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9782 = _T_9778 | _T_9781; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9783 = _T_9782 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9785 = _T_9783 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9795 = _T_5019 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9798 = _T_9252 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9799 = _T_9795 | _T_9798; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9800 = _T_9799 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9802 = _T_9800 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9812 = _T_5023 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9815 = _T_9269 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9816 = _T_9812 | _T_9815; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9817 = _T_9816 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9819 = _T_9817 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9829 = _T_5027 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9832 = _T_9286 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9833 = _T_9829 | _T_9832; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9834 = _T_9833 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9836 = _T_9834 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_9846 = _T_5031 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 752:59] + wire _T_9849 = _T_9303 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:124] + wire _T_9850 = _T_9846 | _T_9849; // @[el2_ifu_mem_ctl.scala 752:81] + wire _T_9851 = _T_9850 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:147] + wire _T_9853 = _T_9851 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 752:165] + wire _T_10655 = ~fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 807:63] + wire _T_10656 = _T_10655 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 807:85] wire [1:0] _T_10658 = _T_10656 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg _T_10665; // @[el2_ifu_mem_ctl.scala 811:57] - reg _T_10666; // @[el2_ifu_mem_ctl.scala 812:56] - reg _T_10667; // @[el2_ifu_mem_ctl.scala 813:59] - wire _T_10668 = ~ifu_bus_arready_ff; // @[el2_ifu_mem_ctl.scala 814:80] - wire _T_10669 = ifu_bus_arvalid_ff & _T_10668; // @[el2_ifu_mem_ctl.scala 814:78] - wire _T_10670 = _T_10669 & miss_pending; // @[el2_ifu_mem_ctl.scala 814:100] - reg _T_10671; // @[el2_ifu_mem_ctl.scala 814:58] - reg _T_10672; // @[el2_ifu_mem_ctl.scala 815:58] - wire _T_10675 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h3; // @[el2_ifu_mem_ctl.scala 822:71] - wire _T_10677 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h2; // @[el2_ifu_mem_ctl.scala 822:124] - wire _T_10679 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h1; // @[el2_ifu_mem_ctl.scala 823:50] - wire _T_10681 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h0; // @[el2_ifu_mem_ctl.scala 823:103] + reg _T_10665; // @[el2_ifu_mem_ctl.scala 812:57] + reg _T_10666; // @[el2_ifu_mem_ctl.scala 813:56] + reg _T_10667; // @[el2_ifu_mem_ctl.scala 814:59] + wire _T_10668 = ~ifu_bus_arready_ff; // @[el2_ifu_mem_ctl.scala 815:80] + wire _T_10669 = ifu_bus_arvalid_ff & _T_10668; // @[el2_ifu_mem_ctl.scala 815:78] + wire _T_10670 = _T_10669 & miss_pending; // @[el2_ifu_mem_ctl.scala 815:100] + reg _T_10671; // @[el2_ifu_mem_ctl.scala 815:58] + reg _T_10672; // @[el2_ifu_mem_ctl.scala 816:58] + wire _T_10675 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h3; // @[el2_ifu_mem_ctl.scala 823:71] + wire _T_10677 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h2; // @[el2_ifu_mem_ctl.scala 823:124] + wire _T_10679 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h1; // @[el2_ifu_mem_ctl.scala 824:50] + wire _T_10681 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h0; // @[el2_ifu_mem_ctl.scala 824:103] wire [3:0] _T_10684 = {_T_10675,_T_10677,_T_10679,_T_10681}; // @[Cat.scala 29:58] - wire ic_debug_ict_array_sel_in = io_ic_debug_rd_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 825:53] + wire ic_debug_ict_array_sel_in = io_ic_debug_rd_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 826:53] reg _T_10695; // @[Reg.scala 27:20] - assign io_ifu_miss_state_idle = miss_state == 3'h0; // @[el2_ifu_mem_ctl.scala 328:26] - assign io_ifu_ic_mb_empty = _T_326 | _T_231; // @[el2_ifu_mem_ctl.scala 327:22] - assign io_ic_dma_active = _T_11 | io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 192:20] - assign io_ic_write_stall = write_ic_16_bytes & _T_3978; // @[el2_ifu_mem_ctl.scala 698:21] - assign io_ifu_pmu_ic_miss = _T_10665; // @[el2_ifu_mem_ctl.scala 811:22] - assign io_ifu_pmu_ic_hit = _T_10666; // @[el2_ifu_mem_ctl.scala 812:21] - assign io_ifu_pmu_bus_error = _T_10667; // @[el2_ifu_mem_ctl.scala 813:24] - assign io_ifu_pmu_bus_busy = _T_10671; // @[el2_ifu_mem_ctl.scala 814:23] - assign io_ifu_pmu_bus_trxn = _T_10672; // @[el2_ifu_mem_ctl.scala 815:23] - assign io_ifu_axi_awvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 142:22] - assign io_ifu_axi_awid = 3'h0; // @[el2_ifu_mem_ctl.scala 141:19] - assign io_ifu_axi_awaddr = 32'h0; // @[el2_ifu_mem_ctl.scala 136:21] - assign io_ifu_axi_awregion = 4'h0; // @[el2_ifu_mem_ctl.scala 140:23] - assign io_ifu_axi_awlen = 8'h0; // @[el2_ifu_mem_ctl.scala 138:20] - assign io_ifu_axi_awsize = 3'h0; // @[el2_ifu_mem_ctl.scala 149:21] - assign io_ifu_axi_awburst = 2'h0; // @[el2_ifu_mem_ctl.scala 151:22] - assign io_ifu_axi_awlock = 1'h0; // @[el2_ifu_mem_ctl.scala 146:21] - assign io_ifu_axi_awcache = 4'h0; // @[el2_ifu_mem_ctl.scala 144:22] - assign io_ifu_axi_awprot = 3'h0; // @[el2_ifu_mem_ctl.scala 137:21] - assign io_ifu_axi_awqos = 4'h0; // @[el2_ifu_mem_ctl.scala 135:20] - assign io_ifu_axi_wvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 133:21] - assign io_ifu_axi_wdata = 64'h0; // @[el2_ifu_mem_ctl.scala 134:20] - assign io_ifu_axi_wstrb = 8'h0; // @[el2_ifu_mem_ctl.scala 143:20] - assign io_ifu_axi_wlast = 1'h0; // @[el2_ifu_mem_ctl.scala 152:20] - assign io_ifu_axi_bready = 1'h0; // @[el2_ifu_mem_ctl.scala 147:21] - assign io_ifu_axi_arvalid = ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 560:22] - assign io_ifu_axi_arid = bus_rd_addr_count & _T_2572; // @[el2_ifu_mem_ctl.scala 561:19] - assign io_ifu_axi_araddr = _T_2574 & _T_2576; // @[el2_ifu_mem_ctl.scala 562:21] - assign io_ifu_axi_arregion = ifu_ic_req_addr_f[28:25]; // @[el2_ifu_mem_ctl.scala 565:23] - assign io_ifu_axi_arlen = 8'h0; // @[el2_ifu_mem_ctl.scala 148:20] - assign io_ifu_axi_arsize = 3'h3; // @[el2_ifu_mem_ctl.scala 563:21] - assign io_ifu_axi_arburst = 2'h1; // @[el2_ifu_mem_ctl.scala 566:22] - assign io_ifu_axi_arlock = 1'h0; // @[el2_ifu_mem_ctl.scala 139:21] - assign io_ifu_axi_arcache = 4'hf; // @[el2_ifu_mem_ctl.scala 564:22] - assign io_ifu_axi_arprot = 3'h0; // @[el2_ifu_mem_ctl.scala 150:21] - assign io_ifu_axi_arqos = 4'h0; // @[el2_ifu_mem_ctl.scala 145:20] - assign io_ifu_axi_rready = 1'h1; // @[el2_ifu_mem_ctl.scala 567:21] - assign io_iccm_dma_ecc_error = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 657:25] - assign io_iccm_dma_rvalid = iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 655:22] - assign io_iccm_dma_rdata = iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 659:21] - assign io_iccm_dma_rtag = iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 650:20] - assign io_iccm_ready = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 630:17] - assign io_ic_rw_addr = _T_338 | _T_339; // @[el2_ifu_mem_ctl.scala 337:17] - assign io_ic_wr_en = bus_ic_wr_en & _T_3964; // @[el2_ifu_mem_ctl.scala 697:15] - assign io_ic_rd_en = _T_3956 | _T_3961; // @[el2_ifu_mem_ctl.scala 688:15] - assign io_ic_wr_data_0 = ic_wr_16bytes_data[70:0]; // @[el2_ifu_mem_ctl.scala 344:17] - assign io_ic_wr_data_1 = ic_wr_16bytes_data[141:71]; // @[el2_ifu_mem_ctl.scala 344:17] - assign io_ic_debug_wr_data = io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_ifu_mem_ctl.scala 345:23] - assign io_ifu_ic_debug_rd_data = _T_1209; // @[el2_ifu_mem_ctl.scala 353:27] - assign io_ic_debug_addr = io_dec_tlu_ic_diag_pkt_icache_dicawics[9:0]; // @[el2_ifu_mem_ctl.scala 818:20] - assign io_ic_debug_rd_en = io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_ifu_mem_ctl.scala 820:21] - assign io_ic_debug_wr_en = io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_ifu_mem_ctl.scala 821:21] - assign io_ic_debug_tag_array = io_dec_tlu_ic_diag_pkt_icache_dicawics[16]; // @[el2_ifu_mem_ctl.scala 819:25] - assign io_ic_debug_way = _T_10684[1:0]; // @[el2_ifu_mem_ctl.scala 822:19] - assign io_ic_tag_valid = ic_tag_valid_unq & _T_10658; // @[el2_ifu_mem_ctl.scala 806:19] - assign io_iccm_rw_addr = _T_3110[14:0]; // @[el2_ifu_mem_ctl.scala 661:19] - assign io_iccm_wren = _T_2679 | iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 632:16] - assign io_iccm_rden = _T_2683 | _T_2684; // @[el2_ifu_mem_ctl.scala 633:16] - assign io_iccm_wr_data = _T_3085 ? _T_3086 : _T_3093; // @[el2_ifu_mem_ctl.scala 638:19] - assign io_iccm_wr_size = _T_2689 & io_dma_mem_sz; // @[el2_ifu_mem_ctl.scala 635:19] - assign io_ic_hit_f = _T_263 | _T_264; // @[el2_ifu_mem_ctl.scala 289:15] - assign io_ic_access_fault_f = _T_2457 & _T_317; // @[el2_ifu_mem_ctl.scala 385:24] - assign io_ic_access_fault_type_f = io_iccm_rd_ecc_double_err ? 2'h1 : _T_1271; // @[el2_ifu_mem_ctl.scala 386:29] - assign io_iccm_rd_ecc_single_err = _T_3901 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 674:29] - assign io_iccm_rd_ecc_double_err = iccm_dma_ecc_error_in & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 675:29] - assign io_ic_error_start = _T_1197 | ic_rd_parity_final_err; // @[el2_ifu_mem_ctl.scala 347:21] - assign io_ifu_async_error_start = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 191:28] - assign io_iccm_dma_sb_error = _T_3 & dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 190:24] - assign io_ic_fetch_val_f = {_T_1279,fetch_req_f_qual}; // @[el2_ifu_mem_ctl.scala 389:21] - assign io_ic_data_f = io_ic_rd_data[31:0]; // @[el2_ifu_mem_ctl.scala 382:16] - assign io_ic_premux_data = ic_premux_data[63:0]; // @[el2_ifu_mem_ctl.scala 379:21] - assign io_ic_sel_premux_data = fetch_req_iccm_f | sel_byp_data; // @[el2_ifu_mem_ctl.scala 380:25] - assign io_ifu_ic_debug_rd_data_valid = _T_10695; // @[el2_ifu_mem_ctl.scala 829:33] - assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2462; // @[el2_ifu_mem_ctl.scala 479:27] - assign io_iccm_correction_state = _T_2490 ? 1'h0 : _GEN_60; // @[el2_ifu_mem_ctl.scala 514:28 el2_ifu_mem_ctl.scala 527:32 el2_ifu_mem_ctl.scala 534:32 el2_ifu_mem_ctl.scala 541:32] - assign io_valids = {_T_5375,_T_5502}; // @[el2_ifu_mem_ctl.scala 746:15] + assign io_ifu_miss_state_idle = miss_state == 3'h0; // @[el2_ifu_mem_ctl.scala 329:26] + assign io_ifu_ic_mb_empty = _T_326 | _T_231; // @[el2_ifu_mem_ctl.scala 328:22] + assign io_ic_dma_active = _T_11 | io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 193:20] + assign io_ic_write_stall = write_ic_16_bytes & _T_3978; // @[el2_ifu_mem_ctl.scala 699:21] + assign io_ifu_pmu_ic_miss = _T_10665; // @[el2_ifu_mem_ctl.scala 812:22] + assign io_ifu_pmu_ic_hit = _T_10666; // @[el2_ifu_mem_ctl.scala 813:21] + assign io_ifu_pmu_bus_error = _T_10667; // @[el2_ifu_mem_ctl.scala 814:24] + assign io_ifu_pmu_bus_busy = _T_10671; // @[el2_ifu_mem_ctl.scala 815:23] + assign io_ifu_pmu_bus_trxn = _T_10672; // @[el2_ifu_mem_ctl.scala 816:23] + assign io_ifu_axi_awvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 143:22] + assign io_ifu_axi_awid = 3'h0; // @[el2_ifu_mem_ctl.scala 142:19] + assign io_ifu_axi_awaddr = 32'h0; // @[el2_ifu_mem_ctl.scala 137:21] + assign io_ifu_axi_awregion = 4'h0; // @[el2_ifu_mem_ctl.scala 141:23] + assign io_ifu_axi_awlen = 8'h0; // @[el2_ifu_mem_ctl.scala 139:20] + assign io_ifu_axi_awsize = 3'h0; // @[el2_ifu_mem_ctl.scala 150:21] + assign io_ifu_axi_awburst = 2'h0; // @[el2_ifu_mem_ctl.scala 152:22] + assign io_ifu_axi_awlock = 1'h0; // @[el2_ifu_mem_ctl.scala 147:21] + assign io_ifu_axi_awcache = 4'h0; // @[el2_ifu_mem_ctl.scala 145:22] + assign io_ifu_axi_awprot = 3'h0; // @[el2_ifu_mem_ctl.scala 138:21] + assign io_ifu_axi_awqos = 4'h0; // @[el2_ifu_mem_ctl.scala 136:20] + assign io_ifu_axi_wvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 134:21] + assign io_ifu_axi_wdata = 64'h0; // @[el2_ifu_mem_ctl.scala 135:20] + assign io_ifu_axi_wstrb = 8'h0; // @[el2_ifu_mem_ctl.scala 144:20] + assign io_ifu_axi_wlast = 1'h0; // @[el2_ifu_mem_ctl.scala 153:20] + assign io_ifu_axi_bready = 1'h0; // @[el2_ifu_mem_ctl.scala 148:21] + assign io_ifu_axi_arvalid = ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 561:22] + assign io_ifu_axi_arid = bus_rd_addr_count & _T_2572; // @[el2_ifu_mem_ctl.scala 562:19] + assign io_ifu_axi_araddr = _T_2574 & _T_2576; // @[el2_ifu_mem_ctl.scala 563:21] + assign io_ifu_axi_arregion = ifu_ic_req_addr_f[28:25]; // @[el2_ifu_mem_ctl.scala 566:23] + assign io_ifu_axi_arlen = 8'h0; // @[el2_ifu_mem_ctl.scala 149:20] + assign io_ifu_axi_arsize = 3'h3; // @[el2_ifu_mem_ctl.scala 564:21] + assign io_ifu_axi_arburst = 2'h1; // @[el2_ifu_mem_ctl.scala 567:22] + assign io_ifu_axi_arlock = 1'h0; // @[el2_ifu_mem_ctl.scala 140:21] + assign io_ifu_axi_arcache = 4'hf; // @[el2_ifu_mem_ctl.scala 565:22] + assign io_ifu_axi_arprot = 3'h0; // @[el2_ifu_mem_ctl.scala 151:21] + assign io_ifu_axi_arqos = 4'h0; // @[el2_ifu_mem_ctl.scala 146:20] + assign io_ifu_axi_rready = 1'h1; // @[el2_ifu_mem_ctl.scala 568:21] + assign io_iccm_dma_ecc_error = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 658:25] + assign io_iccm_dma_rvalid = iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 656:22] + assign io_iccm_dma_rdata = iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 660:21] + assign io_iccm_dma_rtag = iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 651:20] + assign io_iccm_ready = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 631:17] + assign io_ic_rw_addr = _T_338 | _T_339; // @[el2_ifu_mem_ctl.scala 338:17] + assign io_ic_wr_en = bus_ic_wr_en & _T_3964; // @[el2_ifu_mem_ctl.scala 698:15] + assign io_ic_rd_en = _T_3956 | _T_3961; // @[el2_ifu_mem_ctl.scala 689:15] + assign io_ic_wr_data_0 = ic_wr_16bytes_data[70:0]; // @[el2_ifu_mem_ctl.scala 345:17] + assign io_ic_wr_data_1 = ic_wr_16bytes_data[141:71]; // @[el2_ifu_mem_ctl.scala 345:17] + assign io_ic_debug_wr_data = io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_ifu_mem_ctl.scala 346:23] + assign io_ifu_ic_debug_rd_data = _T_1209; // @[el2_ifu_mem_ctl.scala 354:27] + assign io_ic_debug_addr = io_dec_tlu_ic_diag_pkt_icache_dicawics[9:0]; // @[el2_ifu_mem_ctl.scala 819:20] + assign io_ic_debug_rd_en = io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_ifu_mem_ctl.scala 821:21] + assign io_ic_debug_wr_en = io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_ifu_mem_ctl.scala 822:21] + assign io_ic_debug_tag_array = io_dec_tlu_ic_diag_pkt_icache_dicawics[16]; // @[el2_ifu_mem_ctl.scala 820:25] + assign io_ic_debug_way = _T_10684[1:0]; // @[el2_ifu_mem_ctl.scala 823:19] + assign io_ic_tag_valid = ic_tag_valid_unq & _T_10658; // @[el2_ifu_mem_ctl.scala 807:19] + assign io_iccm_rw_addr = _T_3110[14:0]; // @[el2_ifu_mem_ctl.scala 662:19] + assign io_iccm_wren = _T_2679 | iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 633:16] + assign io_iccm_rden = _T_2683 | _T_2684; // @[el2_ifu_mem_ctl.scala 634:16] + assign io_iccm_wr_data = _T_3085 ? _T_3086 : _T_3093; // @[el2_ifu_mem_ctl.scala 639:19] + assign io_iccm_wr_size = _T_2689 & io_dma_mem_sz; // @[el2_ifu_mem_ctl.scala 636:19] + assign io_ic_hit_f = _T_263 | _T_264; // @[el2_ifu_mem_ctl.scala 290:15] + assign io_ic_access_fault_f = _T_2457 & _T_317; // @[el2_ifu_mem_ctl.scala 386:24] + assign io_ic_access_fault_type_f = io_iccm_rd_ecc_double_err ? 2'h1 : _T_1271; // @[el2_ifu_mem_ctl.scala 387:29] + assign io_iccm_rd_ecc_single_err = _T_3901 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 675:29] + assign io_iccm_rd_ecc_double_err = iccm_dma_ecc_error_in & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 676:29] + assign io_ic_error_start = _T_1197 | ic_rd_parity_final_err; // @[el2_ifu_mem_ctl.scala 348:21] + assign io_ifu_async_error_start = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 192:28] + assign io_iccm_dma_sb_error = _T_3 & dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 191:24] + assign io_ic_fetch_val_f = {_T_1279,fetch_req_f_qual}; // @[el2_ifu_mem_ctl.scala 390:21] + assign io_ic_data_f = io_ic_rd_data[31:0]; // @[el2_ifu_mem_ctl.scala 383:16] + assign io_ic_premux_data = ic_premux_data[63:0]; // @[el2_ifu_mem_ctl.scala 380:21] + assign io_ic_sel_premux_data = fetch_req_iccm_f | sel_byp_data; // @[el2_ifu_mem_ctl.scala 381:25] + assign io_ifu_ic_debug_rd_data_valid = _T_10695; // @[el2_ifu_mem_ctl.scala 830:33] + assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2462; // @[el2_ifu_mem_ctl.scala 480:27] + assign io_iccm_correction_state = _T_2490 ? 1'h0 : _GEN_60; // @[el2_ifu_mem_ctl.scala 515:28 el2_ifu_mem_ctl.scala 528:32 el2_ifu_mem_ctl.scala 535:32 el2_ifu_mem_ctl.scala 542:32] + assign io_valids = {_T_5375,_T_5502}; // @[el2_ifu_mem_ctl.scala 747:15] + assign io_tagv_mb_in = scnd_miss_req ? _T_290 : _T_296; // @[el2_ifu_mem_ctl.scala 845:17] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif diff --git a/src/main/scala/ifu/el2_ifu_mem_ctl.scala b/src/main/scala/ifu/el2_ifu_mem_ctl.scala index 6ba1177b..4a98f29b 100644 --- a/src/main/scala/ifu/el2_ifu_mem_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_mem_ctl.scala @@ -127,6 +127,7 @@ class mem_ctl_bundle extends Bundle with el2_lib{ val iccm_correction_state = Output(Bool()) val scan_mode = Input(Bool()) val valids = Output(UInt()) + val tagv_mb_in = Output(UInt()) } class el2_ifu_mem_ctl extends Module with el2_lib { val io = IO(new mem_ctl_bundle) @@ -839,6 +840,9 @@ class el2_ifu_mem_ctl extends Module with el2_lib { val ifc_region_acc_fault_memory_bf = !io.ifc_iccm_access_bf & !ifc_region_acc_okay & io.ifc_fetch_req_bf ifc_region_acc_fault_final_bf := io.ifc_region_acc_fault_bf | ifc_region_acc_fault_memory_bf ifc_region_acc_fault_memory_f := withClock(io.free_clk){RegNext(ifc_region_acc_fault_memory_bf, false.B)} + + + io.tagv_mb_in := tagv_mb_in } object ifu_mem extends App { println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_mem_ctl())) diff --git a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class index 60b8d234..4ffd7486 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem$.class b/target/scala-2.12/classes/ifu/ifu_mem$.class index c0d11e3b..c7307540 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem$.class and b/target/scala-2.12/classes/ifu/ifu_mem$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class index 59e82556..f9cd711a 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class and b/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/ifu/mem_ctl_bundle.class b/target/scala-2.12/classes/ifu/mem_ctl_bundle.class index e800c01c..f3aba60a 100644 Binary files a/target/scala-2.12/classes/ifu/mem_ctl_bundle.class and b/target/scala-2.12/classes/ifu/mem_ctl_bundle.class differ