From 449aaf156616c3bcac864829598770c183843417 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Fri, 9 Oct 2020 15:44:55 +0500 Subject: [PATCH] ICCM --- src/main/scala/ifu/el2_ifu_iccm_mem.scala | 2 +- .../classes/ifu/el2_ifu_iccm_mem.class | Bin 92976 -> 92971 bytes 2 files changed, 1 insertion(+), 1 deletion(-) diff --git a/src/main/scala/ifu/el2_ifu_iccm_mem.scala b/src/main/scala/ifu/el2_ifu_iccm_mem.scala index 24199a5a..a8cd1f6c 100644 --- a/src/main/scala/ifu/el2_ifu_iccm_mem.scala +++ b/src/main/scala/ifu/el2_ifu_iccm_mem.scala @@ -99,7 +99,7 @@ class el2_ifu_iccm_mem extends Module with el2_lib { io.iccm_wr_data(77,39), io.iccm_wr_data(38,0)) redundant_data(1) := RegEnable(redundant_data1_in, 0.U, redundant_data1_en.asBool) - val iccm_rd_addr_lo_q = RegNext(io.iccm_rw_addr(ICCM_BANK_HI-1,0), 0.U) + val iccm_rd_addr_lo_q = RegNext(io.iccm_rw_addr(2,0), 0.U) val iccm_rd_addr_hi_q = RegNext(addr_bank_inc(ICCM_BANK_HI-1,1), 0.U) val iccm_rd_data_pre = Cat(Mux1H((0 until ICCM_NUM_BANKS).map(i=>(iccm_rd_addr_hi_q===i.U)->iccm_bank_dout_fn(i)(31,0))), diff --git a/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class b/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class index f10d07d73ec53ab293ec604e38597238adcc0cf3..f18ec910939229359f5fbe17ebb38e1532a16181 100644 GIT binary patch delta 170 zcmdmRjdk@k)`l&N`YN0`3_=Xf3=Faw+bvWWO{ExFw-?(nzGdc(lg^heVkwttkeMhm zWqYm@qmD4M6NAI_hk=a6(=WI&3QTwKWn|f|9LBhnQL&vNUFsP_rnEdmmUJkPj$p`< zsba{Lxy6ttE5MK|tG@kbIAb}Jb`b*y`xKUa3=YyU42tYi8B7@LWu^edrUAvAWa@xo Q(}7}+VCT$^Vw@@f0BZ~@*Z=?k delta 175 zcmZ2|jdjB{)`l&N`YN1-3_=Xf3=Fcm+bvWWO{Lhhwy~UMN!gxf$M}|+H(9zwx}2q4 zrd4K|%*^c>PK-Lj%h(;Gt=>!%C2FtSWn0215f!Wg$QD)um>OTA{ulvZKLl8yq> zu?#sf^$fW(_ZjkJMHzBsb+&&AXDnyZE@$9ipTe?_!9hBaL6Lnbg9(GZ%uJxzG@zK1 TOfyhyI#A3J?51f^j8g>wR4Oe2