diff --git a/el2_ifu_compress_ctl.anno.json b/el2_ifu_compress_ctl.anno.json index 10afea62..6b7e00f9 100644 --- a/el2_ifu_compress_ctl.anno.json +++ b/el2_ifu_compress_ctl.anno.json @@ -27,6 +27,13 @@ "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_sjaloffset11_1", + "sources":[ + "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_l2", @@ -55,6 +62,13 @@ "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_sluimm17_12", + "sources":[ + "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_o", diff --git a/el2_ifu_compress_ctl.fir b/el2_ifu_compress_ctl.fir index 2d21eece..6921ffec 100644 --- a/el2_ifu_compress_ctl.fir +++ b/el2_ifu_compress_ctl.fir @@ -3,1256 +3,1256 @@ circuit el2_ifu_compress_ctl : module el2_ifu_compress_ctl : input clock : Clock input reset : UInt<1> - output io : {flip din : UInt<16>, dout : UInt<32>, l1 : UInt<32>, l2 : UInt<32>, l3 : UInt<32>, legal : UInt<1>, rdd : UInt<5>, rdpd : UInt<5>, rs2d : UInt<5>, rs2pd : UInt<5>, o : UInt<32>} + output io : {flip din : UInt<16>, dout : UInt<32>, l1 : UInt<32>, l2 : UInt<32>, l3 : UInt<32>, legal : UInt<1>, rdd : UInt<5>, rdpd : UInt<5>, rs2d : UInt<5>, rs2pd : UInt<5>, o : UInt<32>, sjaloffset11_1 : UInt<1>, sluimm17_12 : UInt<1>} - wire out : UInt<1>[32] @[el2_ifu_compress_ctl.scala 24:17] - out[0] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[1] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[2] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[3] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[4] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[5] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[6] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[7] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[8] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[9] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[10] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[11] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[12] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[13] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[14] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[15] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[16] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[17] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[18] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[19] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[20] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[21] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[22] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[23] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[24] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[25] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[26] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[27] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[28] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[29] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[30] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - out[31] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 25:7] - node _T = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_2 = eq(_T_1, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_3 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_4 = eq(_T_3, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_5 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_6 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_7 = eq(_T_6, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_8 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_10 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_11 = and(_T, _T_2) @[el2_ifu_compress_ctl.scala 23:110] - node _T_12 = and(_T_11, _T_4) @[el2_ifu_compress_ctl.scala 23:110] - node _T_13 = and(_T_12, _T_5) @[el2_ifu_compress_ctl.scala 23:110] - node _T_14 = and(_T_13, _T_7) @[el2_ifu_compress_ctl.scala 23:110] - node _T_15 = and(_T_14, _T_9) @[el2_ifu_compress_ctl.scala 23:110] - node _T_16 = and(_T_15, _T_10) @[el2_ifu_compress_ctl.scala 23:110] - node _T_17 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_18 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_19 = eq(_T_18, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_20 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_21 = eq(_T_20, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_22 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:90] - node _T_23 = eq(_T_22, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_24 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_25 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_26 = and(_T_17, _T_19) @[el2_ifu_compress_ctl.scala 23:110] - node _T_27 = and(_T_26, _T_21) @[el2_ifu_compress_ctl.scala 23:110] - node _T_28 = and(_T_27, _T_23) @[el2_ifu_compress_ctl.scala 23:110] - node _T_29 = and(_T_28, _T_24) @[el2_ifu_compress_ctl.scala 23:110] - node _T_30 = and(_T_29, _T_25) @[el2_ifu_compress_ctl.scala 23:110] - node _T_31 = or(_T_16, _T_30) @[el2_ifu_compress_ctl.scala 26:53] - out[30] <= _T_31 @[el2_ifu_compress_ctl.scala 26:11] - node _T_32 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_33 = eq(_T_32, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_34 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_35 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:90] - node _T_36 = eq(_T_35, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_37 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_38 = eq(_T_37, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_39 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:90] - node _T_40 = eq(_T_39, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_41 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:90] - node _T_42 = eq(_T_41, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_43 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:90] - node _T_44 = eq(_T_43, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_45 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_46 = eq(_T_45, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_47 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_49 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_50 = eq(_T_49, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_51 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_52 = eq(_T_51, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_53 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_54 = eq(_T_53, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_55 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_56 = and(_T_33, _T_34) @[el2_ifu_compress_ctl.scala 23:110] - node _T_57 = and(_T_56, _T_36) @[el2_ifu_compress_ctl.scala 23:110] - node _T_58 = and(_T_57, _T_38) @[el2_ifu_compress_ctl.scala 23:110] - node _T_59 = and(_T_58, _T_40) @[el2_ifu_compress_ctl.scala 23:110] - node _T_60 = and(_T_59, _T_42) @[el2_ifu_compress_ctl.scala 23:110] - node _T_61 = and(_T_60, _T_44) @[el2_ifu_compress_ctl.scala 23:110] - node _T_62 = and(_T_61, _T_46) @[el2_ifu_compress_ctl.scala 23:110] - node _T_63 = and(_T_62, _T_48) @[el2_ifu_compress_ctl.scala 23:110] - node _T_64 = and(_T_63, _T_50) @[el2_ifu_compress_ctl.scala 23:110] - node _T_65 = and(_T_64, _T_52) @[el2_ifu_compress_ctl.scala 23:110] - node _T_66 = and(_T_65, _T_54) @[el2_ifu_compress_ctl.scala 23:110] - node _T_67 = and(_T_66, _T_55) @[el2_ifu_compress_ctl.scala 23:110] - out[20] <= _T_67 @[el2_ifu_compress_ctl.scala 27:11] - node _T_68 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_69 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_70 = eq(_T_69, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_71 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_72 = eq(_T_71, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_73 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:90] - node _T_74 = eq(_T_73, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_75 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_76 = and(_T_68, _T_70) @[el2_ifu_compress_ctl.scala 23:110] - node _T_77 = and(_T_76, _T_72) @[el2_ifu_compress_ctl.scala 23:110] - node _T_78 = and(_T_77, _T_74) @[el2_ifu_compress_ctl.scala 23:110] - node _T_79 = and(_T_78, _T_75) @[el2_ifu_compress_ctl.scala 23:110] - node _T_80 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_81 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_82 = eq(_T_81, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_83 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_84 = eq(_T_83, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_85 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_86 = eq(_T_85, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_87 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_88 = and(_T_80, _T_82) @[el2_ifu_compress_ctl.scala 23:110] - node _T_89 = and(_T_88, _T_84) @[el2_ifu_compress_ctl.scala 23:110] - node _T_90 = and(_T_89, _T_86) @[el2_ifu_compress_ctl.scala 23:110] - node _T_91 = and(_T_90, _T_87) @[el2_ifu_compress_ctl.scala 23:110] - node _T_92 = or(_T_79, _T_91) @[el2_ifu_compress_ctl.scala 28:46] - node _T_93 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_94 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_95 = eq(_T_94, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_96 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_97 = eq(_T_96, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_98 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_99 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_100 = and(_T_93, _T_95) @[el2_ifu_compress_ctl.scala 23:110] - node _T_101 = and(_T_100, _T_97) @[el2_ifu_compress_ctl.scala 23:110] - node _T_102 = and(_T_101, _T_98) @[el2_ifu_compress_ctl.scala 23:110] - node _T_103 = and(_T_102, _T_99) @[el2_ifu_compress_ctl.scala 23:110] - node _T_104 = or(_T_92, _T_103) @[el2_ifu_compress_ctl.scala 28:80] - node _T_105 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_106 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_107 = eq(_T_106, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_108 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_109 = eq(_T_108, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_110 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:71] - node _T_111 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_112 = and(_T_105, _T_107) @[el2_ifu_compress_ctl.scala 23:110] - node _T_113 = and(_T_112, _T_109) @[el2_ifu_compress_ctl.scala 23:110] - node _T_114 = and(_T_113, _T_110) @[el2_ifu_compress_ctl.scala 23:110] - node _T_115 = and(_T_114, _T_111) @[el2_ifu_compress_ctl.scala 23:110] - node _T_116 = or(_T_104, _T_115) @[el2_ifu_compress_ctl.scala 28:113] - out[14] <= _T_116 @[el2_ifu_compress_ctl.scala 28:11] - node _T_117 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_118 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_119 = eq(_T_118, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_120 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_121 = eq(_T_120, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_122 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_123 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_124 = eq(_T_123, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_125 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_126 = and(_T_117, _T_119) @[el2_ifu_compress_ctl.scala 23:110] - node _T_127 = and(_T_126, _T_121) @[el2_ifu_compress_ctl.scala 23:110] - node _T_128 = and(_T_127, _T_122) @[el2_ifu_compress_ctl.scala 23:110] - node _T_129 = and(_T_128, _T_124) @[el2_ifu_compress_ctl.scala 23:110] - node _T_130 = and(_T_129, _T_125) @[el2_ifu_compress_ctl.scala 23:110] - node _T_131 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_132 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_133 = eq(_T_132, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_134 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_135 = eq(_T_134, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_136 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_137 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_138 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_139 = and(_T_131, _T_133) @[el2_ifu_compress_ctl.scala 23:110] - node _T_140 = and(_T_139, _T_135) @[el2_ifu_compress_ctl.scala 23:110] - node _T_141 = and(_T_140, _T_136) @[el2_ifu_compress_ctl.scala 23:110] - node _T_142 = and(_T_141, _T_137) @[el2_ifu_compress_ctl.scala 23:110] - node _T_143 = and(_T_142, _T_138) @[el2_ifu_compress_ctl.scala 23:110] - node _T_144 = or(_T_130, _T_143) @[el2_ifu_compress_ctl.scala 30:50] - node _T_145 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 30:95] - node _T_146 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 30:108] - node _T_147 = eq(_T_146, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 30:101] - node _T_148 = and(_T_145, _T_147) @[el2_ifu_compress_ctl.scala 30:99] - node _T_149 = or(_T_144, _T_148) @[el2_ifu_compress_ctl.scala 30:86] - out[13] <= _T_149 @[el2_ifu_compress_ctl.scala 30:11] - node _T_150 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_151 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_153 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_154 = eq(_T_153, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_155 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_156 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:71] - node _T_157 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_158 = and(_T_150, _T_152) @[el2_ifu_compress_ctl.scala 23:110] - node _T_159 = and(_T_158, _T_154) @[el2_ifu_compress_ctl.scala 23:110] - node _T_160 = and(_T_159, _T_155) @[el2_ifu_compress_ctl.scala 23:110] - node _T_161 = and(_T_160, _T_156) @[el2_ifu_compress_ctl.scala 23:110] - node _T_162 = and(_T_161, _T_157) @[el2_ifu_compress_ctl.scala 23:110] - node _T_163 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_164 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_165 = eq(_T_164, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_166 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_167 = eq(_T_166, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_168 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:90] - node _T_169 = eq(_T_168, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_170 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_171 = and(_T_163, _T_165) @[el2_ifu_compress_ctl.scala 23:110] - node _T_172 = and(_T_171, _T_167) @[el2_ifu_compress_ctl.scala 23:110] - node _T_173 = and(_T_172, _T_169) @[el2_ifu_compress_ctl.scala 23:110] - node _T_174 = and(_T_173, _T_170) @[el2_ifu_compress_ctl.scala 23:110] - node _T_175 = or(_T_162, _T_174) @[el2_ifu_compress_ctl.scala 31:47] - node _T_176 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_177 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_178 = eq(_T_177, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_179 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_180 = eq(_T_179, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_181 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_182 = eq(_T_181, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_183 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_184 = and(_T_176, _T_178) @[el2_ifu_compress_ctl.scala 23:110] - node _T_185 = and(_T_184, _T_180) @[el2_ifu_compress_ctl.scala 23:110] - node _T_186 = and(_T_185, _T_182) @[el2_ifu_compress_ctl.scala 23:110] - node _T_187 = and(_T_186, _T_183) @[el2_ifu_compress_ctl.scala 23:110] - node _T_188 = or(_T_175, _T_187) @[el2_ifu_compress_ctl.scala 31:81] - node _T_189 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_190 = eq(_T_189, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_191 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_192 = eq(_T_191, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_193 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_194 = and(_T_190, _T_192) @[el2_ifu_compress_ctl.scala 23:110] - node _T_195 = and(_T_194, _T_193) @[el2_ifu_compress_ctl.scala 23:110] - node _T_196 = or(_T_188, _T_195) @[el2_ifu_compress_ctl.scala 31:115] - node _T_197 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_198 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_199 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_200 = and(_T_197, _T_198) @[el2_ifu_compress_ctl.scala 23:110] - node _T_201 = and(_T_200, _T_199) @[el2_ifu_compress_ctl.scala 23:110] - node _T_202 = or(_T_196, _T_201) @[el2_ifu_compress_ctl.scala 32:26] - out[12] <= _T_202 @[el2_ifu_compress_ctl.scala 31:11] - node _T_203 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_204 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_205 = eq(_T_204, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_206 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_207 = eq(_T_206, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_208 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_209 = eq(_T_208, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_210 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_211 = eq(_T_210, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_212 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_213 = eq(_T_212, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_214 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_215 = eq(_T_214, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_216 = and(_T_203, _T_205) @[el2_ifu_compress_ctl.scala 23:110] - node _T_217 = and(_T_216, _T_207) @[el2_ifu_compress_ctl.scala 23:110] - node _T_218 = and(_T_217, _T_209) @[el2_ifu_compress_ctl.scala 23:110] - node _T_219 = and(_T_218, _T_211) @[el2_ifu_compress_ctl.scala 23:110] - node _T_220 = and(_T_219, _T_213) @[el2_ifu_compress_ctl.scala 23:110] - node _T_221 = and(_T_220, _T_215) @[el2_ifu_compress_ctl.scala 23:110] - node _T_222 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 33:62] - node _T_223 = eq(_T_222, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 33:55] - node _T_224 = and(_T_221, _T_223) @[el2_ifu_compress_ctl.scala 33:53] - node _T_225 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_226 = eq(_T_225, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_227 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_228 = and(_T_226, _T_227) @[el2_ifu_compress_ctl.scala 23:110] - node _T_229 = or(_T_224, _T_228) @[el2_ifu_compress_ctl.scala 33:67] - node _T_230 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_231 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_232 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_233 = and(_T_230, _T_231) @[el2_ifu_compress_ctl.scala 23:110] - node _T_234 = and(_T_233, _T_232) @[el2_ifu_compress_ctl.scala 23:110] - node _T_235 = or(_T_229, _T_234) @[el2_ifu_compress_ctl.scala 33:88] - out[6] <= _T_235 @[el2_ifu_compress_ctl.scala 33:10] - node _T_236 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 35:20] - node _T_237 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 35:33] - node _T_238 = eq(_T_237, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 35:26] - node _T_239 = and(_T_236, _T_238) @[el2_ifu_compress_ctl.scala 35:24] - node _T_240 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_241 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_242 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_243 = and(_T_240, _T_241) @[el2_ifu_compress_ctl.scala 23:110] - node _T_244 = and(_T_243, _T_242) @[el2_ifu_compress_ctl.scala 23:110] - node _T_245 = or(_T_239, _T_244) @[el2_ifu_compress_ctl.scala 35:39] - node _T_246 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_247 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:90] - node _T_248 = eq(_T_247, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_249 = and(_T_246, _T_248) @[el2_ifu_compress_ctl.scala 23:110] - node _T_250 = or(_T_245, _T_249) @[el2_ifu_compress_ctl.scala 35:63] - node _T_251 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_252 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:71] - node _T_253 = and(_T_251, _T_252) @[el2_ifu_compress_ctl.scala 23:110] - node _T_254 = or(_T_250, _T_253) @[el2_ifu_compress_ctl.scala 35:83] - node _T_255 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_256 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:71] - node _T_257 = and(_T_255, _T_256) @[el2_ifu_compress_ctl.scala 23:110] - node _T_258 = or(_T_254, _T_257) @[el2_ifu_compress_ctl.scala 35:102] - node _T_259 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_260 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_261 = and(_T_259, _T_260) @[el2_ifu_compress_ctl.scala 23:110] - node _T_262 = or(_T_258, _T_261) @[el2_ifu_compress_ctl.scala 36:22] - node _T_263 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_264 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_265 = and(_T_263, _T_264) @[el2_ifu_compress_ctl.scala 23:110] - node _T_266 = or(_T_262, _T_265) @[el2_ifu_compress_ctl.scala 36:42] - node _T_267 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_269 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_270 = and(_T_268, _T_269) @[el2_ifu_compress_ctl.scala 23:110] - node _T_271 = or(_T_266, _T_270) @[el2_ifu_compress_ctl.scala 36:62] - node _T_272 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_273 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_274 = and(_T_272, _T_273) @[el2_ifu_compress_ctl.scala 23:110] - node _T_275 = or(_T_271, _T_274) @[el2_ifu_compress_ctl.scala 36:83] - out[5] <= _T_275 @[el2_ifu_compress_ctl.scala 35:10] - node _T_276 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_277 = eq(_T_276, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_278 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:90] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_280 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_281 = eq(_T_280, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_282 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:90] - node _T_283 = eq(_T_282, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_284 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:90] - node _T_285 = eq(_T_284, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_286 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:90] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_288 = and(_T_277, _T_279) @[el2_ifu_compress_ctl.scala 23:110] - node _T_289 = and(_T_288, _T_281) @[el2_ifu_compress_ctl.scala 23:110] - node _T_290 = and(_T_289, _T_283) @[el2_ifu_compress_ctl.scala 23:110] - node _T_291 = and(_T_290, _T_285) @[el2_ifu_compress_ctl.scala 23:110] - node _T_292 = and(_T_291, _T_287) @[el2_ifu_compress_ctl.scala 23:110] - node _T_293 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 39:59] - node _T_294 = eq(_T_293, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 39:52] - node _T_295 = and(_T_292, _T_294) @[el2_ifu_compress_ctl.scala 39:50] - node _T_296 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_297 = eq(_T_296, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_298 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_299 = eq(_T_298, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_300 = and(_T_297, _T_299) @[el2_ifu_compress_ctl.scala 23:110] - node _T_301 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 39:96] - node _T_302 = eq(_T_301, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 39:89] - node _T_303 = and(_T_300, _T_302) @[el2_ifu_compress_ctl.scala 39:87] - node _T_304 = or(_T_295, _T_303) @[el2_ifu_compress_ctl.scala 39:65] - node _T_305 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_307 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_308 = and(_T_306, _T_307) @[el2_ifu_compress_ctl.scala 23:110] - node _T_309 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 40:32] - node _T_310 = eq(_T_309, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 40:25] - node _T_311 = and(_T_308, _T_310) @[el2_ifu_compress_ctl.scala 40:23] - node _T_312 = or(_T_304, _T_311) @[el2_ifu_compress_ctl.scala 39:102] - node _T_313 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_315 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_316 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_317 = and(_T_314, _T_315) @[el2_ifu_compress_ctl.scala 23:110] - node _T_318 = and(_T_317, _T_316) @[el2_ifu_compress_ctl.scala 23:110] - node _T_319 = or(_T_312, _T_318) @[el2_ifu_compress_ctl.scala 40:38] - node _T_320 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_321 = eq(_T_320, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_322 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:71] - node _T_323 = and(_T_321, _T_322) @[el2_ifu_compress_ctl.scala 23:110] - node _T_324 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 40:91] - node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 40:84] - node _T_326 = and(_T_323, _T_325) @[el2_ifu_compress_ctl.scala 40:82] - node _T_327 = or(_T_319, _T_326) @[el2_ifu_compress_ctl.scala 40:62] - node _T_328 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_329 = eq(_T_328, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_330 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:71] - node _T_331 = and(_T_329, _T_330) @[el2_ifu_compress_ctl.scala 23:110] - node _T_332 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 41:32] - node _T_333 = eq(_T_332, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 41:25] - node _T_334 = and(_T_331, _T_333) @[el2_ifu_compress_ctl.scala 41:23] - node _T_335 = or(_T_327, _T_334) @[el2_ifu_compress_ctl.scala 40:97] - node _T_336 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_337 = eq(_T_336, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_338 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:71] - node _T_339 = and(_T_337, _T_338) @[el2_ifu_compress_ctl.scala 23:110] - node _T_340 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 41:67] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 41:60] - node _T_342 = and(_T_339, _T_341) @[el2_ifu_compress_ctl.scala 41:58] - node _T_343 = or(_T_335, _T_342) @[el2_ifu_compress_ctl.scala 41:38] - node _T_344 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_345 = eq(_T_344, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_346 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:71] - node _T_347 = and(_T_345, _T_346) @[el2_ifu_compress_ctl.scala 23:110] - node _T_348 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 41:102] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 41:95] - node _T_350 = and(_T_347, _T_349) @[el2_ifu_compress_ctl.scala 41:93] - node _T_351 = or(_T_343, _T_350) @[el2_ifu_compress_ctl.scala 41:73] - node _T_352 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_354 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_355 = eq(_T_354, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_356 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_357 = and(_T_353, _T_355) @[el2_ifu_compress_ctl.scala 23:110] - node _T_358 = and(_T_357, _T_356) @[el2_ifu_compress_ctl.scala 23:110] - node _T_359 = or(_T_351, _T_358) @[el2_ifu_compress_ctl.scala 41:108] - out[4] <= _T_359 @[el2_ifu_compress_ctl.scala 39:10] - node _T_360 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_361 = eq(_T_360, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_362 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_363 = and(_T_361, _T_362) @[el2_ifu_compress_ctl.scala 23:110] - out[3] <= _T_363 @[el2_ifu_compress_ctl.scala 47:10] - node _T_364 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_366 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_367 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_368 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_369 = eq(_T_368, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_370 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_371 = eq(_T_370, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_372 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_374 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_375 = eq(_T_374, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_376 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_377 = eq(_T_376, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_378 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_379 = and(_T_365, _T_366) @[el2_ifu_compress_ctl.scala 23:110] - node _T_380 = and(_T_379, _T_367) @[el2_ifu_compress_ctl.scala 23:110] - node _T_381 = and(_T_380, _T_369) @[el2_ifu_compress_ctl.scala 23:110] - node _T_382 = and(_T_381, _T_371) @[el2_ifu_compress_ctl.scala 23:110] - node _T_383 = and(_T_382, _T_373) @[el2_ifu_compress_ctl.scala 23:110] - node _T_384 = and(_T_383, _T_375) @[el2_ifu_compress_ctl.scala 23:110] - node _T_385 = and(_T_384, _T_377) @[el2_ifu_compress_ctl.scala 23:110] - node _T_386 = and(_T_385, _T_378) @[el2_ifu_compress_ctl.scala 23:110] - node _T_387 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_388 = eq(_T_387, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_389 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_390 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_391 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_393 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_394 = eq(_T_393, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_395 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_397 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_398 = eq(_T_397, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_399 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_401 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_402 = and(_T_388, _T_389) @[el2_ifu_compress_ctl.scala 23:110] - node _T_403 = and(_T_402, _T_390) @[el2_ifu_compress_ctl.scala 23:110] - node _T_404 = and(_T_403, _T_392) @[el2_ifu_compress_ctl.scala 23:110] - node _T_405 = and(_T_404, _T_394) @[el2_ifu_compress_ctl.scala 23:110] - node _T_406 = and(_T_405, _T_396) @[el2_ifu_compress_ctl.scala 23:110] - node _T_407 = and(_T_406, _T_398) @[el2_ifu_compress_ctl.scala 23:110] - node _T_408 = and(_T_407, _T_400) @[el2_ifu_compress_ctl.scala 23:110] - node _T_409 = and(_T_408, _T_401) @[el2_ifu_compress_ctl.scala 23:110] - node _T_410 = or(_T_386, _T_409) @[el2_ifu_compress_ctl.scala 48:59] - node _T_411 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_412 = eq(_T_411, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_413 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_414 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:71] - node _T_415 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_417 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_418 = eq(_T_417, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_419 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_420 = eq(_T_419, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_421 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_422 = eq(_T_421, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_423 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_425 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_426 = and(_T_412, _T_413) @[el2_ifu_compress_ctl.scala 23:110] - node _T_427 = and(_T_426, _T_414) @[el2_ifu_compress_ctl.scala 23:110] - node _T_428 = and(_T_427, _T_416) @[el2_ifu_compress_ctl.scala 23:110] - node _T_429 = and(_T_428, _T_418) @[el2_ifu_compress_ctl.scala 23:110] - node _T_430 = and(_T_429, _T_420) @[el2_ifu_compress_ctl.scala 23:110] - node _T_431 = and(_T_430, _T_422) @[el2_ifu_compress_ctl.scala 23:110] - node _T_432 = and(_T_431, _T_424) @[el2_ifu_compress_ctl.scala 23:110] - node _T_433 = and(_T_432, _T_425) @[el2_ifu_compress_ctl.scala 23:110] - node _T_434 = or(_T_410, _T_433) @[el2_ifu_compress_ctl.scala 49:59] - node _T_435 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_436 = eq(_T_435, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_437 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_438 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:71] - node _T_439 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_440 = eq(_T_439, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_441 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_442 = eq(_T_441, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_443 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_444 = eq(_T_443, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_445 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_447 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_448 = eq(_T_447, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_449 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_450 = and(_T_436, _T_437) @[el2_ifu_compress_ctl.scala 23:110] - node _T_451 = and(_T_450, _T_438) @[el2_ifu_compress_ctl.scala 23:110] - node _T_452 = and(_T_451, _T_440) @[el2_ifu_compress_ctl.scala 23:110] - node _T_453 = and(_T_452, _T_442) @[el2_ifu_compress_ctl.scala 23:110] - node _T_454 = and(_T_453, _T_444) @[el2_ifu_compress_ctl.scala 23:110] - node _T_455 = and(_T_454, _T_446) @[el2_ifu_compress_ctl.scala 23:110] - node _T_456 = and(_T_455, _T_448) @[el2_ifu_compress_ctl.scala 23:110] - node _T_457 = and(_T_456, _T_449) @[el2_ifu_compress_ctl.scala 23:110] - node _T_458 = or(_T_434, _T_457) @[el2_ifu_compress_ctl.scala 50:58] - node _T_459 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_460 = eq(_T_459, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_461 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_462 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:71] - node _T_463 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_464 = eq(_T_463, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_465 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_466 = eq(_T_465, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_467 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_468 = eq(_T_467, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_469 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_471 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_472 = eq(_T_471, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_473 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_474 = and(_T_460, _T_461) @[el2_ifu_compress_ctl.scala 23:110] - node _T_475 = and(_T_474, _T_462) @[el2_ifu_compress_ctl.scala 23:110] - node _T_476 = and(_T_475, _T_464) @[el2_ifu_compress_ctl.scala 23:110] - node _T_477 = and(_T_476, _T_466) @[el2_ifu_compress_ctl.scala 23:110] - node _T_478 = and(_T_477, _T_468) @[el2_ifu_compress_ctl.scala 23:110] - node _T_479 = and(_T_478, _T_470) @[el2_ifu_compress_ctl.scala 23:110] - node _T_480 = and(_T_479, _T_472) @[el2_ifu_compress_ctl.scala 23:110] - node _T_481 = and(_T_480, _T_473) @[el2_ifu_compress_ctl.scala 23:110] - node _T_482 = or(_T_458, _T_481) @[el2_ifu_compress_ctl.scala 51:55] - node _T_483 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_484 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_485 = eq(_T_484, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_486 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_487 = eq(_T_486, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_488 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_490 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_491 = eq(_T_490, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_492 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_493 = eq(_T_492, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_494 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_495 = eq(_T_494, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_496 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_498 = and(_T_483, _T_485) @[el2_ifu_compress_ctl.scala 23:110] - node _T_499 = and(_T_498, _T_487) @[el2_ifu_compress_ctl.scala 23:110] - node _T_500 = and(_T_499, _T_489) @[el2_ifu_compress_ctl.scala 23:110] - node _T_501 = and(_T_500, _T_491) @[el2_ifu_compress_ctl.scala 23:110] - node _T_502 = and(_T_501, _T_493) @[el2_ifu_compress_ctl.scala 23:110] - node _T_503 = and(_T_502, _T_495) @[el2_ifu_compress_ctl.scala 23:110] - node _T_504 = and(_T_503, _T_497) @[el2_ifu_compress_ctl.scala 23:110] - node _T_505 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 53:65] - node _T_506 = eq(_T_505, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 53:58] - node _T_507 = and(_T_504, _T_506) @[el2_ifu_compress_ctl.scala 53:56] - node _T_508 = or(_T_482, _T_507) @[el2_ifu_compress_ctl.scala 52:57] - node _T_509 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_510 = eq(_T_509, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_511 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_512 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:90] - node _T_513 = eq(_T_512, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_514 = and(_T_510, _T_511) @[el2_ifu_compress_ctl.scala 23:110] - node _T_515 = and(_T_514, _T_513) @[el2_ifu_compress_ctl.scala 23:110] - node _T_516 = or(_T_508, _T_515) @[el2_ifu_compress_ctl.scala 53:71] - node _T_517 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_518 = eq(_T_517, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_519 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_520 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:71] - node _T_521 = and(_T_518, _T_519) @[el2_ifu_compress_ctl.scala 23:110] - node _T_522 = and(_T_521, _T_520) @[el2_ifu_compress_ctl.scala 23:110] - node _T_523 = or(_T_516, _T_522) @[el2_ifu_compress_ctl.scala 54:34] - node _T_524 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_525 = eq(_T_524, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_526 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_527 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:71] - node _T_528 = and(_T_525, _T_526) @[el2_ifu_compress_ctl.scala 23:110] - node _T_529 = and(_T_528, _T_527) @[el2_ifu_compress_ctl.scala 23:110] - node _T_530 = or(_T_523, _T_529) @[el2_ifu_compress_ctl.scala 55:33] - node _T_531 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_532 = eq(_T_531, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_533 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_534 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_535 = and(_T_532, _T_533) @[el2_ifu_compress_ctl.scala 23:110] - node _T_536 = and(_T_535, _T_534) @[el2_ifu_compress_ctl.scala 23:110] - node _T_537 = or(_T_530, _T_536) @[el2_ifu_compress_ctl.scala 56:33] - node _T_538 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_539 = eq(_T_538, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_540 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_541 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_542 = and(_T_539, _T_540) @[el2_ifu_compress_ctl.scala 23:110] - node _T_543 = and(_T_542, _T_541) @[el2_ifu_compress_ctl.scala 23:110] - node _T_544 = or(_T_537, _T_543) @[el2_ifu_compress_ctl.scala 57:34] - node _T_545 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_546 = eq(_T_545, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_547 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_548 = and(_T_546, _T_547) @[el2_ifu_compress_ctl.scala 23:110] - node _T_549 = or(_T_544, _T_548) @[el2_ifu_compress_ctl.scala 58:34] - out[2] <= _T_549 @[el2_ifu_compress_ctl.scala 48:10] - out[1] <= UInt<1>("h01") @[el2_ifu_compress_ctl.scala 60:10] - out[0] <= UInt<1>("h01") @[el2_ifu_compress_ctl.scala 61:10] - node rs2d = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 67:20] - node rdd = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 68:19] - node _T_550 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 69:34] + wire out : UInt<1>[32] @[el2_ifu_compress_ctl.scala 26:17] + out[0] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[1] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[2] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[3] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[4] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[5] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[6] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[7] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[8] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[9] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[10] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[11] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[12] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[13] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[14] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[15] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[16] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[17] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[18] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[19] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[20] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[21] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[22] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[23] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[24] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[25] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[26] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[27] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[28] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[29] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[30] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + out[31] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 27:7] + node _T = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_2 = eq(_T_1, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_3 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_5 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_6 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_7 = eq(_T_6, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_8 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_10 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_11 = and(_T, _T_2) @[el2_ifu_compress_ctl.scala 25:110] + node _T_12 = and(_T_11, _T_4) @[el2_ifu_compress_ctl.scala 25:110] + node _T_13 = and(_T_12, _T_5) @[el2_ifu_compress_ctl.scala 25:110] + node _T_14 = and(_T_13, _T_7) @[el2_ifu_compress_ctl.scala 25:110] + node _T_15 = and(_T_14, _T_9) @[el2_ifu_compress_ctl.scala 25:110] + node _T_16 = and(_T_15, _T_10) @[el2_ifu_compress_ctl.scala 25:110] + node _T_17 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_18 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_19 = eq(_T_18, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_20 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_21 = eq(_T_20, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_22 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:90] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_24 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_25 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_26 = and(_T_17, _T_19) @[el2_ifu_compress_ctl.scala 25:110] + node _T_27 = and(_T_26, _T_21) @[el2_ifu_compress_ctl.scala 25:110] + node _T_28 = and(_T_27, _T_23) @[el2_ifu_compress_ctl.scala 25:110] + node _T_29 = and(_T_28, _T_24) @[el2_ifu_compress_ctl.scala 25:110] + node _T_30 = and(_T_29, _T_25) @[el2_ifu_compress_ctl.scala 25:110] + node _T_31 = or(_T_16, _T_30) @[el2_ifu_compress_ctl.scala 28:53] + out[30] <= _T_31 @[el2_ifu_compress_ctl.scala 28:11] + node _T_32 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_34 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_35 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:90] + node _T_36 = eq(_T_35, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_37 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_39 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:90] + node _T_40 = eq(_T_39, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_41 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:90] + node _T_42 = eq(_T_41, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_43 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:90] + node _T_44 = eq(_T_43, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_45 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_47 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_49 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_50 = eq(_T_49, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_51 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_53 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_54 = eq(_T_53, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_55 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_56 = and(_T_33, _T_34) @[el2_ifu_compress_ctl.scala 25:110] + node _T_57 = and(_T_56, _T_36) @[el2_ifu_compress_ctl.scala 25:110] + node _T_58 = and(_T_57, _T_38) @[el2_ifu_compress_ctl.scala 25:110] + node _T_59 = and(_T_58, _T_40) @[el2_ifu_compress_ctl.scala 25:110] + node _T_60 = and(_T_59, _T_42) @[el2_ifu_compress_ctl.scala 25:110] + node _T_61 = and(_T_60, _T_44) @[el2_ifu_compress_ctl.scala 25:110] + node _T_62 = and(_T_61, _T_46) @[el2_ifu_compress_ctl.scala 25:110] + node _T_63 = and(_T_62, _T_48) @[el2_ifu_compress_ctl.scala 25:110] + node _T_64 = and(_T_63, _T_50) @[el2_ifu_compress_ctl.scala 25:110] + node _T_65 = and(_T_64, _T_52) @[el2_ifu_compress_ctl.scala 25:110] + node _T_66 = and(_T_65, _T_54) @[el2_ifu_compress_ctl.scala 25:110] + node _T_67 = and(_T_66, _T_55) @[el2_ifu_compress_ctl.scala 25:110] + out[20] <= _T_67 @[el2_ifu_compress_ctl.scala 29:11] + node _T_68 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_69 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_70 = eq(_T_69, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_71 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_72 = eq(_T_71, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_73 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:90] + node _T_74 = eq(_T_73, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_75 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_76 = and(_T_68, _T_70) @[el2_ifu_compress_ctl.scala 25:110] + node _T_77 = and(_T_76, _T_72) @[el2_ifu_compress_ctl.scala 25:110] + node _T_78 = and(_T_77, _T_74) @[el2_ifu_compress_ctl.scala 25:110] + node _T_79 = and(_T_78, _T_75) @[el2_ifu_compress_ctl.scala 25:110] + node _T_80 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_81 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_83 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_84 = eq(_T_83, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_85 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_86 = eq(_T_85, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_87 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_88 = and(_T_80, _T_82) @[el2_ifu_compress_ctl.scala 25:110] + node _T_89 = and(_T_88, _T_84) @[el2_ifu_compress_ctl.scala 25:110] + node _T_90 = and(_T_89, _T_86) @[el2_ifu_compress_ctl.scala 25:110] + node _T_91 = and(_T_90, _T_87) @[el2_ifu_compress_ctl.scala 25:110] + node _T_92 = or(_T_79, _T_91) @[el2_ifu_compress_ctl.scala 30:46] + node _T_93 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_94 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_95 = eq(_T_94, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_96 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_97 = eq(_T_96, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_98 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_99 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_100 = and(_T_93, _T_95) @[el2_ifu_compress_ctl.scala 25:110] + node _T_101 = and(_T_100, _T_97) @[el2_ifu_compress_ctl.scala 25:110] + node _T_102 = and(_T_101, _T_98) @[el2_ifu_compress_ctl.scala 25:110] + node _T_103 = and(_T_102, _T_99) @[el2_ifu_compress_ctl.scala 25:110] + node _T_104 = or(_T_92, _T_103) @[el2_ifu_compress_ctl.scala 30:80] + node _T_105 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_106 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_107 = eq(_T_106, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_108 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_109 = eq(_T_108, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_110 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:71] + node _T_111 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_112 = and(_T_105, _T_107) @[el2_ifu_compress_ctl.scala 25:110] + node _T_113 = and(_T_112, _T_109) @[el2_ifu_compress_ctl.scala 25:110] + node _T_114 = and(_T_113, _T_110) @[el2_ifu_compress_ctl.scala 25:110] + node _T_115 = and(_T_114, _T_111) @[el2_ifu_compress_ctl.scala 25:110] + node _T_116 = or(_T_104, _T_115) @[el2_ifu_compress_ctl.scala 30:113] + out[14] <= _T_116 @[el2_ifu_compress_ctl.scala 30:11] + node _T_117 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_118 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_119 = eq(_T_118, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_120 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_121 = eq(_T_120, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_122 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_123 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_124 = eq(_T_123, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_125 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_126 = and(_T_117, _T_119) @[el2_ifu_compress_ctl.scala 25:110] + node _T_127 = and(_T_126, _T_121) @[el2_ifu_compress_ctl.scala 25:110] + node _T_128 = and(_T_127, _T_122) @[el2_ifu_compress_ctl.scala 25:110] + node _T_129 = and(_T_128, _T_124) @[el2_ifu_compress_ctl.scala 25:110] + node _T_130 = and(_T_129, _T_125) @[el2_ifu_compress_ctl.scala 25:110] + node _T_131 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_132 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_133 = eq(_T_132, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_134 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_135 = eq(_T_134, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_136 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_137 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_138 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_139 = and(_T_131, _T_133) @[el2_ifu_compress_ctl.scala 25:110] + node _T_140 = and(_T_139, _T_135) @[el2_ifu_compress_ctl.scala 25:110] + node _T_141 = and(_T_140, _T_136) @[el2_ifu_compress_ctl.scala 25:110] + node _T_142 = and(_T_141, _T_137) @[el2_ifu_compress_ctl.scala 25:110] + node _T_143 = and(_T_142, _T_138) @[el2_ifu_compress_ctl.scala 25:110] + node _T_144 = or(_T_130, _T_143) @[el2_ifu_compress_ctl.scala 32:50] + node _T_145 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 32:95] + node _T_146 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 32:108] + node _T_147 = eq(_T_146, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 32:101] + node _T_148 = and(_T_145, _T_147) @[el2_ifu_compress_ctl.scala 32:99] + node _T_149 = or(_T_144, _T_148) @[el2_ifu_compress_ctl.scala 32:86] + out[13] <= _T_149 @[el2_ifu_compress_ctl.scala 32:11] + node _T_150 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_151 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_153 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_154 = eq(_T_153, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_155 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_156 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:71] + node _T_157 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_158 = and(_T_150, _T_152) @[el2_ifu_compress_ctl.scala 25:110] + node _T_159 = and(_T_158, _T_154) @[el2_ifu_compress_ctl.scala 25:110] + node _T_160 = and(_T_159, _T_155) @[el2_ifu_compress_ctl.scala 25:110] + node _T_161 = and(_T_160, _T_156) @[el2_ifu_compress_ctl.scala 25:110] + node _T_162 = and(_T_161, _T_157) @[el2_ifu_compress_ctl.scala 25:110] + node _T_163 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_164 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_165 = eq(_T_164, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_166 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_167 = eq(_T_166, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_168 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:90] + node _T_169 = eq(_T_168, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_170 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_171 = and(_T_163, _T_165) @[el2_ifu_compress_ctl.scala 25:110] + node _T_172 = and(_T_171, _T_167) @[el2_ifu_compress_ctl.scala 25:110] + node _T_173 = and(_T_172, _T_169) @[el2_ifu_compress_ctl.scala 25:110] + node _T_174 = and(_T_173, _T_170) @[el2_ifu_compress_ctl.scala 25:110] + node _T_175 = or(_T_162, _T_174) @[el2_ifu_compress_ctl.scala 33:47] + node _T_176 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_177 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_178 = eq(_T_177, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_179 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_180 = eq(_T_179, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_181 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_182 = eq(_T_181, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_183 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_184 = and(_T_176, _T_178) @[el2_ifu_compress_ctl.scala 25:110] + node _T_185 = and(_T_184, _T_180) @[el2_ifu_compress_ctl.scala 25:110] + node _T_186 = and(_T_185, _T_182) @[el2_ifu_compress_ctl.scala 25:110] + node _T_187 = and(_T_186, _T_183) @[el2_ifu_compress_ctl.scala 25:110] + node _T_188 = or(_T_175, _T_187) @[el2_ifu_compress_ctl.scala 33:81] + node _T_189 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_190 = eq(_T_189, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_191 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_192 = eq(_T_191, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_193 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_194 = and(_T_190, _T_192) @[el2_ifu_compress_ctl.scala 25:110] + node _T_195 = and(_T_194, _T_193) @[el2_ifu_compress_ctl.scala 25:110] + node _T_196 = or(_T_188, _T_195) @[el2_ifu_compress_ctl.scala 33:115] + node _T_197 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_198 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_199 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_200 = and(_T_197, _T_198) @[el2_ifu_compress_ctl.scala 25:110] + node _T_201 = and(_T_200, _T_199) @[el2_ifu_compress_ctl.scala 25:110] + node _T_202 = or(_T_196, _T_201) @[el2_ifu_compress_ctl.scala 34:26] + out[12] <= _T_202 @[el2_ifu_compress_ctl.scala 33:11] + node _T_203 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_204 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_206 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_208 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_209 = eq(_T_208, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_210 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_211 = eq(_T_210, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_212 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_213 = eq(_T_212, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_214 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_215 = eq(_T_214, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_216 = and(_T_203, _T_205) @[el2_ifu_compress_ctl.scala 25:110] + node _T_217 = and(_T_216, _T_207) @[el2_ifu_compress_ctl.scala 25:110] + node _T_218 = and(_T_217, _T_209) @[el2_ifu_compress_ctl.scala 25:110] + node _T_219 = and(_T_218, _T_211) @[el2_ifu_compress_ctl.scala 25:110] + node _T_220 = and(_T_219, _T_213) @[el2_ifu_compress_ctl.scala 25:110] + node _T_221 = and(_T_220, _T_215) @[el2_ifu_compress_ctl.scala 25:110] + node _T_222 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 35:62] + node _T_223 = eq(_T_222, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 35:55] + node _T_224 = and(_T_221, _T_223) @[el2_ifu_compress_ctl.scala 35:53] + node _T_225 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_227 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_228 = and(_T_226, _T_227) @[el2_ifu_compress_ctl.scala 25:110] + node _T_229 = or(_T_224, _T_228) @[el2_ifu_compress_ctl.scala 35:67] + node _T_230 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_231 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_232 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_233 = and(_T_230, _T_231) @[el2_ifu_compress_ctl.scala 25:110] + node _T_234 = and(_T_233, _T_232) @[el2_ifu_compress_ctl.scala 25:110] + node _T_235 = or(_T_229, _T_234) @[el2_ifu_compress_ctl.scala 35:88] + out[6] <= _T_235 @[el2_ifu_compress_ctl.scala 35:10] + node _T_236 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 37:20] + node _T_237 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 37:33] + node _T_238 = eq(_T_237, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 37:26] + node _T_239 = and(_T_236, _T_238) @[el2_ifu_compress_ctl.scala 37:24] + node _T_240 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_241 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_242 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_243 = and(_T_240, _T_241) @[el2_ifu_compress_ctl.scala 25:110] + node _T_244 = and(_T_243, _T_242) @[el2_ifu_compress_ctl.scala 25:110] + node _T_245 = or(_T_239, _T_244) @[el2_ifu_compress_ctl.scala 37:39] + node _T_246 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_247 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:90] + node _T_248 = eq(_T_247, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_249 = and(_T_246, _T_248) @[el2_ifu_compress_ctl.scala 25:110] + node _T_250 = or(_T_245, _T_249) @[el2_ifu_compress_ctl.scala 37:63] + node _T_251 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_252 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:71] + node _T_253 = and(_T_251, _T_252) @[el2_ifu_compress_ctl.scala 25:110] + node _T_254 = or(_T_250, _T_253) @[el2_ifu_compress_ctl.scala 37:83] + node _T_255 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_256 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:71] + node _T_257 = and(_T_255, _T_256) @[el2_ifu_compress_ctl.scala 25:110] + node _T_258 = or(_T_254, _T_257) @[el2_ifu_compress_ctl.scala 37:102] + node _T_259 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_260 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_261 = and(_T_259, _T_260) @[el2_ifu_compress_ctl.scala 25:110] + node _T_262 = or(_T_258, _T_261) @[el2_ifu_compress_ctl.scala 38:22] + node _T_263 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_264 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_265 = and(_T_263, _T_264) @[el2_ifu_compress_ctl.scala 25:110] + node _T_266 = or(_T_262, _T_265) @[el2_ifu_compress_ctl.scala 38:42] + node _T_267 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_269 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_270 = and(_T_268, _T_269) @[el2_ifu_compress_ctl.scala 25:110] + node _T_271 = or(_T_266, _T_270) @[el2_ifu_compress_ctl.scala 38:62] + node _T_272 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_273 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_274 = and(_T_272, _T_273) @[el2_ifu_compress_ctl.scala 25:110] + node _T_275 = or(_T_271, _T_274) @[el2_ifu_compress_ctl.scala 38:83] + out[5] <= _T_275 @[el2_ifu_compress_ctl.scala 37:10] + node _T_276 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_277 = eq(_T_276, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_278 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:90] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_280 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_281 = eq(_T_280, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_282 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:90] + node _T_283 = eq(_T_282, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_284 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:90] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_286 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:90] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_288 = and(_T_277, _T_279) @[el2_ifu_compress_ctl.scala 25:110] + node _T_289 = and(_T_288, _T_281) @[el2_ifu_compress_ctl.scala 25:110] + node _T_290 = and(_T_289, _T_283) @[el2_ifu_compress_ctl.scala 25:110] + node _T_291 = and(_T_290, _T_285) @[el2_ifu_compress_ctl.scala 25:110] + node _T_292 = and(_T_291, _T_287) @[el2_ifu_compress_ctl.scala 25:110] + node _T_293 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 41:59] + node _T_294 = eq(_T_293, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 41:52] + node _T_295 = and(_T_292, _T_294) @[el2_ifu_compress_ctl.scala 41:50] + node _T_296 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_297 = eq(_T_296, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_298 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_299 = eq(_T_298, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_300 = and(_T_297, _T_299) @[el2_ifu_compress_ctl.scala 25:110] + node _T_301 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 41:96] + node _T_302 = eq(_T_301, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 41:89] + node _T_303 = and(_T_300, _T_302) @[el2_ifu_compress_ctl.scala 41:87] + node _T_304 = or(_T_295, _T_303) @[el2_ifu_compress_ctl.scala 41:65] + node _T_305 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_307 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_308 = and(_T_306, _T_307) @[el2_ifu_compress_ctl.scala 25:110] + node _T_309 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 42:32] + node _T_310 = eq(_T_309, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 42:25] + node _T_311 = and(_T_308, _T_310) @[el2_ifu_compress_ctl.scala 42:23] + node _T_312 = or(_T_304, _T_311) @[el2_ifu_compress_ctl.scala 41:102] + node _T_313 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_315 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_316 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_317 = and(_T_314, _T_315) @[el2_ifu_compress_ctl.scala 25:110] + node _T_318 = and(_T_317, _T_316) @[el2_ifu_compress_ctl.scala 25:110] + node _T_319 = or(_T_312, _T_318) @[el2_ifu_compress_ctl.scala 42:38] + node _T_320 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_321 = eq(_T_320, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_322 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:71] + node _T_323 = and(_T_321, _T_322) @[el2_ifu_compress_ctl.scala 25:110] + node _T_324 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 42:91] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 42:84] + node _T_326 = and(_T_323, _T_325) @[el2_ifu_compress_ctl.scala 42:82] + node _T_327 = or(_T_319, _T_326) @[el2_ifu_compress_ctl.scala 42:62] + node _T_328 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_330 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:71] + node _T_331 = and(_T_329, _T_330) @[el2_ifu_compress_ctl.scala 25:110] + node _T_332 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 43:32] + node _T_333 = eq(_T_332, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 43:25] + node _T_334 = and(_T_331, _T_333) @[el2_ifu_compress_ctl.scala 43:23] + node _T_335 = or(_T_327, _T_334) @[el2_ifu_compress_ctl.scala 42:97] + node _T_336 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_338 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:71] + node _T_339 = and(_T_337, _T_338) @[el2_ifu_compress_ctl.scala 25:110] + node _T_340 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 43:67] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 43:60] + node _T_342 = and(_T_339, _T_341) @[el2_ifu_compress_ctl.scala 43:58] + node _T_343 = or(_T_335, _T_342) @[el2_ifu_compress_ctl.scala 43:38] + node _T_344 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_346 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:71] + node _T_347 = and(_T_345, _T_346) @[el2_ifu_compress_ctl.scala 25:110] + node _T_348 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 43:102] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 43:95] + node _T_350 = and(_T_347, _T_349) @[el2_ifu_compress_ctl.scala 43:93] + node _T_351 = or(_T_343, _T_350) @[el2_ifu_compress_ctl.scala 43:73] + node _T_352 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_354 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_356 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_357 = and(_T_353, _T_355) @[el2_ifu_compress_ctl.scala 25:110] + node _T_358 = and(_T_357, _T_356) @[el2_ifu_compress_ctl.scala 25:110] + node _T_359 = or(_T_351, _T_358) @[el2_ifu_compress_ctl.scala 43:108] + out[4] <= _T_359 @[el2_ifu_compress_ctl.scala 41:10] + node _T_360 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_362 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_363 = and(_T_361, _T_362) @[el2_ifu_compress_ctl.scala 25:110] + out[3] <= _T_363 @[el2_ifu_compress_ctl.scala 49:10] + node _T_364 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_366 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_367 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_368 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_369 = eq(_T_368, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_370 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_371 = eq(_T_370, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_372 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_374 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_376 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_378 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_379 = and(_T_365, _T_366) @[el2_ifu_compress_ctl.scala 25:110] + node _T_380 = and(_T_379, _T_367) @[el2_ifu_compress_ctl.scala 25:110] + node _T_381 = and(_T_380, _T_369) @[el2_ifu_compress_ctl.scala 25:110] + node _T_382 = and(_T_381, _T_371) @[el2_ifu_compress_ctl.scala 25:110] + node _T_383 = and(_T_382, _T_373) @[el2_ifu_compress_ctl.scala 25:110] + node _T_384 = and(_T_383, _T_375) @[el2_ifu_compress_ctl.scala 25:110] + node _T_385 = and(_T_384, _T_377) @[el2_ifu_compress_ctl.scala 25:110] + node _T_386 = and(_T_385, _T_378) @[el2_ifu_compress_ctl.scala 25:110] + node _T_387 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_389 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_390 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_391 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_393 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_394 = eq(_T_393, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_395 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_397 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_398 = eq(_T_397, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_399 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_401 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_402 = and(_T_388, _T_389) @[el2_ifu_compress_ctl.scala 25:110] + node _T_403 = and(_T_402, _T_390) @[el2_ifu_compress_ctl.scala 25:110] + node _T_404 = and(_T_403, _T_392) @[el2_ifu_compress_ctl.scala 25:110] + node _T_405 = and(_T_404, _T_394) @[el2_ifu_compress_ctl.scala 25:110] + node _T_406 = and(_T_405, _T_396) @[el2_ifu_compress_ctl.scala 25:110] + node _T_407 = and(_T_406, _T_398) @[el2_ifu_compress_ctl.scala 25:110] + node _T_408 = and(_T_407, _T_400) @[el2_ifu_compress_ctl.scala 25:110] + node _T_409 = and(_T_408, _T_401) @[el2_ifu_compress_ctl.scala 25:110] + node _T_410 = or(_T_386, _T_409) @[el2_ifu_compress_ctl.scala 50:59] + node _T_411 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_412 = eq(_T_411, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_413 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_414 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:71] + node _T_415 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_417 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_418 = eq(_T_417, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_419 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_421 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_422 = eq(_T_421, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_423 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_425 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_426 = and(_T_412, _T_413) @[el2_ifu_compress_ctl.scala 25:110] + node _T_427 = and(_T_426, _T_414) @[el2_ifu_compress_ctl.scala 25:110] + node _T_428 = and(_T_427, _T_416) @[el2_ifu_compress_ctl.scala 25:110] + node _T_429 = and(_T_428, _T_418) @[el2_ifu_compress_ctl.scala 25:110] + node _T_430 = and(_T_429, _T_420) @[el2_ifu_compress_ctl.scala 25:110] + node _T_431 = and(_T_430, _T_422) @[el2_ifu_compress_ctl.scala 25:110] + node _T_432 = and(_T_431, _T_424) @[el2_ifu_compress_ctl.scala 25:110] + node _T_433 = and(_T_432, _T_425) @[el2_ifu_compress_ctl.scala 25:110] + node _T_434 = or(_T_410, _T_433) @[el2_ifu_compress_ctl.scala 51:59] + node _T_435 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_436 = eq(_T_435, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_437 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_438 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:71] + node _T_439 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_441 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_443 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_444 = eq(_T_443, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_445 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_447 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_448 = eq(_T_447, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_449 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_450 = and(_T_436, _T_437) @[el2_ifu_compress_ctl.scala 25:110] + node _T_451 = and(_T_450, _T_438) @[el2_ifu_compress_ctl.scala 25:110] + node _T_452 = and(_T_451, _T_440) @[el2_ifu_compress_ctl.scala 25:110] + node _T_453 = and(_T_452, _T_442) @[el2_ifu_compress_ctl.scala 25:110] + node _T_454 = and(_T_453, _T_444) @[el2_ifu_compress_ctl.scala 25:110] + node _T_455 = and(_T_454, _T_446) @[el2_ifu_compress_ctl.scala 25:110] + node _T_456 = and(_T_455, _T_448) @[el2_ifu_compress_ctl.scala 25:110] + node _T_457 = and(_T_456, _T_449) @[el2_ifu_compress_ctl.scala 25:110] + node _T_458 = or(_T_434, _T_457) @[el2_ifu_compress_ctl.scala 52:58] + node _T_459 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_460 = eq(_T_459, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_461 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_462 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:71] + node _T_463 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_464 = eq(_T_463, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_465 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_466 = eq(_T_465, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_467 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_468 = eq(_T_467, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_469 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_471 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_472 = eq(_T_471, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_473 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_474 = and(_T_460, _T_461) @[el2_ifu_compress_ctl.scala 25:110] + node _T_475 = and(_T_474, _T_462) @[el2_ifu_compress_ctl.scala 25:110] + node _T_476 = and(_T_475, _T_464) @[el2_ifu_compress_ctl.scala 25:110] + node _T_477 = and(_T_476, _T_466) @[el2_ifu_compress_ctl.scala 25:110] + node _T_478 = and(_T_477, _T_468) @[el2_ifu_compress_ctl.scala 25:110] + node _T_479 = and(_T_478, _T_470) @[el2_ifu_compress_ctl.scala 25:110] + node _T_480 = and(_T_479, _T_472) @[el2_ifu_compress_ctl.scala 25:110] + node _T_481 = and(_T_480, _T_473) @[el2_ifu_compress_ctl.scala 25:110] + node _T_482 = or(_T_458, _T_481) @[el2_ifu_compress_ctl.scala 53:55] + node _T_483 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_484 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_485 = eq(_T_484, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_486 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_487 = eq(_T_486, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_488 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_490 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_491 = eq(_T_490, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_492 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_493 = eq(_T_492, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_494 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_495 = eq(_T_494, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_496 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_498 = and(_T_483, _T_485) @[el2_ifu_compress_ctl.scala 25:110] + node _T_499 = and(_T_498, _T_487) @[el2_ifu_compress_ctl.scala 25:110] + node _T_500 = and(_T_499, _T_489) @[el2_ifu_compress_ctl.scala 25:110] + node _T_501 = and(_T_500, _T_491) @[el2_ifu_compress_ctl.scala 25:110] + node _T_502 = and(_T_501, _T_493) @[el2_ifu_compress_ctl.scala 25:110] + node _T_503 = and(_T_502, _T_495) @[el2_ifu_compress_ctl.scala 25:110] + node _T_504 = and(_T_503, _T_497) @[el2_ifu_compress_ctl.scala 25:110] + node _T_505 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 55:65] + node _T_506 = eq(_T_505, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 55:58] + node _T_507 = and(_T_504, _T_506) @[el2_ifu_compress_ctl.scala 55:56] + node _T_508 = or(_T_482, _T_507) @[el2_ifu_compress_ctl.scala 54:57] + node _T_509 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_510 = eq(_T_509, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_511 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_512 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:90] + node _T_513 = eq(_T_512, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_514 = and(_T_510, _T_511) @[el2_ifu_compress_ctl.scala 25:110] + node _T_515 = and(_T_514, _T_513) @[el2_ifu_compress_ctl.scala 25:110] + node _T_516 = or(_T_508, _T_515) @[el2_ifu_compress_ctl.scala 55:71] + node _T_517 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_518 = eq(_T_517, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_519 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_520 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:71] + node _T_521 = and(_T_518, _T_519) @[el2_ifu_compress_ctl.scala 25:110] + node _T_522 = and(_T_521, _T_520) @[el2_ifu_compress_ctl.scala 25:110] + node _T_523 = or(_T_516, _T_522) @[el2_ifu_compress_ctl.scala 56:34] + node _T_524 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_525 = eq(_T_524, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_526 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_527 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:71] + node _T_528 = and(_T_525, _T_526) @[el2_ifu_compress_ctl.scala 25:110] + node _T_529 = and(_T_528, _T_527) @[el2_ifu_compress_ctl.scala 25:110] + node _T_530 = or(_T_523, _T_529) @[el2_ifu_compress_ctl.scala 57:33] + node _T_531 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_533 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_534 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_535 = and(_T_532, _T_533) @[el2_ifu_compress_ctl.scala 25:110] + node _T_536 = and(_T_535, _T_534) @[el2_ifu_compress_ctl.scala 25:110] + node _T_537 = or(_T_530, _T_536) @[el2_ifu_compress_ctl.scala 58:33] + node _T_538 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_540 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_541 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_542 = and(_T_539, _T_540) @[el2_ifu_compress_ctl.scala 25:110] + node _T_543 = and(_T_542, _T_541) @[el2_ifu_compress_ctl.scala 25:110] + node _T_544 = or(_T_537, _T_543) @[el2_ifu_compress_ctl.scala 59:34] + node _T_545 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_547 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_548 = and(_T_546, _T_547) @[el2_ifu_compress_ctl.scala 25:110] + node _T_549 = or(_T_544, _T_548) @[el2_ifu_compress_ctl.scala 60:34] + out[2] <= _T_549 @[el2_ifu_compress_ctl.scala 50:10] + out[1] <= UInt<1>("h01") @[el2_ifu_compress_ctl.scala 62:10] + out[0] <= UInt<1>("h01") @[el2_ifu_compress_ctl.scala 63:10] + node rs2d = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 69:20] + node rdd = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 70:19] + node _T_550 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 71:34] node rdpd = cat(UInt<2>("h01"), _T_550) @[Cat.scala 29:58] - node _T_551 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 70:35] + node _T_551 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 72:35] node rs2pd = cat(UInt<2>("h01"), _T_551) @[Cat.scala 29:58] - node _T_552 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_553 = eq(_T_552, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_554 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_555 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_556 = and(_T_553, _T_554) @[el2_ifu_compress_ctl.scala 23:110] - node _T_557 = and(_T_556, _T_555) @[el2_ifu_compress_ctl.scala 23:110] - node _T_558 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_560 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_561 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_562 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_563 = and(_T_559, _T_560) @[el2_ifu_compress_ctl.scala 23:110] - node _T_564 = and(_T_563, _T_561) @[el2_ifu_compress_ctl.scala 23:110] - node _T_565 = and(_T_564, _T_562) @[el2_ifu_compress_ctl.scala 23:110] - node _T_566 = or(_T_557, _T_565) @[el2_ifu_compress_ctl.scala 72:33] - node _T_567 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_568 = eq(_T_567, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_569 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:71] - node _T_570 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_571 = and(_T_568, _T_569) @[el2_ifu_compress_ctl.scala 23:110] - node _T_572 = and(_T_571, _T_570) @[el2_ifu_compress_ctl.scala 23:110] - node _T_573 = or(_T_566, _T_572) @[el2_ifu_compress_ctl.scala 72:58] - node _T_574 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_575 = eq(_T_574, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_576 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_577 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_578 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_579 = and(_T_575, _T_576) @[el2_ifu_compress_ctl.scala 23:110] - node _T_580 = and(_T_579, _T_577) @[el2_ifu_compress_ctl.scala 23:110] - node _T_581 = and(_T_580, _T_578) @[el2_ifu_compress_ctl.scala 23:110] - node _T_582 = or(_T_573, _T_581) @[el2_ifu_compress_ctl.scala 72:79] - node _T_583 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_584 = eq(_T_583, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_585 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:71] - node _T_586 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_587 = and(_T_584, _T_585) @[el2_ifu_compress_ctl.scala 23:110] - node _T_588 = and(_T_587, _T_586) @[el2_ifu_compress_ctl.scala 23:110] - node _T_589 = or(_T_582, _T_588) @[el2_ifu_compress_ctl.scala 72:104] - node _T_590 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_591 = eq(_T_590, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_592 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_593 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:71] - node _T_594 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_595 = and(_T_591, _T_592) @[el2_ifu_compress_ctl.scala 23:110] - node _T_596 = and(_T_595, _T_593) @[el2_ifu_compress_ctl.scala 23:110] - node _T_597 = and(_T_596, _T_594) @[el2_ifu_compress_ctl.scala 23:110] - node _T_598 = or(_T_589, _T_597) @[el2_ifu_compress_ctl.scala 73:24] - node _T_599 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_600 = eq(_T_599, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_601 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:71] - node _T_602 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_603 = and(_T_600, _T_601) @[el2_ifu_compress_ctl.scala 23:110] - node _T_604 = and(_T_603, _T_602) @[el2_ifu_compress_ctl.scala 23:110] - node _T_605 = or(_T_598, _T_604) @[el2_ifu_compress_ctl.scala 73:48] - node _T_606 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_607 = eq(_T_606, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_608 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_609 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:90] - node _T_610 = eq(_T_609, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_611 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_612 = and(_T_607, _T_608) @[el2_ifu_compress_ctl.scala 23:110] - node _T_613 = and(_T_612, _T_610) @[el2_ifu_compress_ctl.scala 23:110] - node _T_614 = and(_T_613, _T_611) @[el2_ifu_compress_ctl.scala 23:110] - node _T_615 = or(_T_605, _T_614) @[el2_ifu_compress_ctl.scala 73:69] - node _T_616 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_617 = eq(_T_616, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_618 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:71] - node _T_619 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_620 = and(_T_617, _T_618) @[el2_ifu_compress_ctl.scala 23:110] - node _T_621 = and(_T_620, _T_619) @[el2_ifu_compress_ctl.scala 23:110] - node _T_622 = or(_T_615, _T_621) @[el2_ifu_compress_ctl.scala 73:94] - node _T_623 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_624 = eq(_T_623, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_625 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_626 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:71] - node _T_627 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_628 = and(_T_624, _T_625) @[el2_ifu_compress_ctl.scala 23:110] - node _T_629 = and(_T_628, _T_626) @[el2_ifu_compress_ctl.scala 23:110] - node _T_630 = and(_T_629, _T_627) @[el2_ifu_compress_ctl.scala 23:110] - node _T_631 = or(_T_622, _T_630) @[el2_ifu_compress_ctl.scala 74:22] - node _T_632 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_633 = eq(_T_632, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_634 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_635 = and(_T_633, _T_634) @[el2_ifu_compress_ctl.scala 23:110] - node _T_636 = or(_T_631, _T_635) @[el2_ifu_compress_ctl.scala 74:46] - node _T_637 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_638 = eq(_T_637, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_639 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_640 = eq(_T_639, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_641 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_642 = and(_T_638, _T_640) @[el2_ifu_compress_ctl.scala 23:110] - node _T_643 = and(_T_642, _T_641) @[el2_ifu_compress_ctl.scala 23:110] - node rdrd = or(_T_636, _T_643) @[el2_ifu_compress_ctl.scala 74:65] - node _T_644 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_645 = eq(_T_644, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_646 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_647 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_648 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_649 = and(_T_645, _T_646) @[el2_ifu_compress_ctl.scala 23:110] - node _T_650 = and(_T_649, _T_647) @[el2_ifu_compress_ctl.scala 23:110] - node _T_651 = and(_T_650, _T_648) @[el2_ifu_compress_ctl.scala 23:110] - node _T_652 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_653 = eq(_T_652, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_654 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_655 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_656 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_657 = and(_T_653, _T_654) @[el2_ifu_compress_ctl.scala 23:110] - node _T_658 = and(_T_657, _T_655) @[el2_ifu_compress_ctl.scala 23:110] - node _T_659 = and(_T_658, _T_656) @[el2_ifu_compress_ctl.scala 23:110] - node _T_660 = or(_T_651, _T_659) @[el2_ifu_compress_ctl.scala 76:38] - node _T_661 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_662 = eq(_T_661, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_663 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_664 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:71] - node _T_665 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_666 = and(_T_662, _T_663) @[el2_ifu_compress_ctl.scala 23:110] - node _T_667 = and(_T_666, _T_664) @[el2_ifu_compress_ctl.scala 23:110] - node _T_668 = and(_T_667, _T_665) @[el2_ifu_compress_ctl.scala 23:110] - node _T_669 = or(_T_660, _T_668) @[el2_ifu_compress_ctl.scala 77:28] - node _T_670 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_671 = eq(_T_670, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_672 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_673 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:71] - node _T_674 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_675 = and(_T_671, _T_672) @[el2_ifu_compress_ctl.scala 23:110] - node _T_676 = and(_T_675, _T_673) @[el2_ifu_compress_ctl.scala 23:110] - node _T_677 = and(_T_676, _T_674) @[el2_ifu_compress_ctl.scala 23:110] - node _T_678 = or(_T_669, _T_677) @[el2_ifu_compress_ctl.scala 78:27] - node _T_679 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_680 = eq(_T_679, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_681 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_682 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:71] - node _T_683 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_684 = and(_T_680, _T_681) @[el2_ifu_compress_ctl.scala 23:110] - node _T_685 = and(_T_684, _T_682) @[el2_ifu_compress_ctl.scala 23:110] - node _T_686 = and(_T_685, _T_683) @[el2_ifu_compress_ctl.scala 23:110] - node _T_687 = or(_T_678, _T_686) @[el2_ifu_compress_ctl.scala 79:27] - node _T_688 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_689 = eq(_T_688, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_690 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_691 = eq(_T_690, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_692 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_693 = eq(_T_692, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_694 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_695 = eq(_T_694, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_696 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_697 = eq(_T_696, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_698 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_699 = eq(_T_698, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_700 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_701 = eq(_T_700, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_702 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_703 = and(_T_689, _T_691) @[el2_ifu_compress_ctl.scala 23:110] - node _T_704 = and(_T_703, _T_693) @[el2_ifu_compress_ctl.scala 23:110] - node _T_705 = and(_T_704, _T_695) @[el2_ifu_compress_ctl.scala 23:110] - node _T_706 = and(_T_705, _T_697) @[el2_ifu_compress_ctl.scala 23:110] - node _T_707 = and(_T_706, _T_699) @[el2_ifu_compress_ctl.scala 23:110] - node _T_708 = and(_T_707, _T_701) @[el2_ifu_compress_ctl.scala 23:110] - node _T_709 = and(_T_708, _T_702) @[el2_ifu_compress_ctl.scala 23:110] - node _T_710 = or(_T_687, _T_709) @[el2_ifu_compress_ctl.scala 80:27] - node _T_711 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_712 = eq(_T_711, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_713 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_714 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_715 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_716 = and(_T_712, _T_713) @[el2_ifu_compress_ctl.scala 23:110] - node _T_717 = and(_T_716, _T_714) @[el2_ifu_compress_ctl.scala 23:110] - node _T_718 = and(_T_717, _T_715) @[el2_ifu_compress_ctl.scala 23:110] - node _T_719 = or(_T_710, _T_718) @[el2_ifu_compress_ctl.scala 81:41] - node _T_720 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_721 = eq(_T_720, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_722 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_723 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:71] - node _T_724 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_725 = and(_T_721, _T_722) @[el2_ifu_compress_ctl.scala 23:110] - node _T_726 = and(_T_725, _T_723) @[el2_ifu_compress_ctl.scala 23:110] - node _T_727 = and(_T_726, _T_724) @[el2_ifu_compress_ctl.scala 23:110] - node _T_728 = or(_T_719, _T_727) @[el2_ifu_compress_ctl.scala 82:27] - node _T_729 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_730 = eq(_T_729, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_731 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_732 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:71] - node _T_733 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_734 = and(_T_730, _T_731) @[el2_ifu_compress_ctl.scala 23:110] - node _T_735 = and(_T_734, _T_732) @[el2_ifu_compress_ctl.scala 23:110] - node _T_736 = and(_T_735, _T_733) @[el2_ifu_compress_ctl.scala 23:110] - node _T_737 = or(_T_728, _T_736) @[el2_ifu_compress_ctl.scala 83:27] - node _T_738 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_739 = eq(_T_738, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_740 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_741 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:71] - node _T_742 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_743 = and(_T_739, _T_740) @[el2_ifu_compress_ctl.scala 23:110] - node _T_744 = and(_T_743, _T_741) @[el2_ifu_compress_ctl.scala 23:110] - node _T_745 = and(_T_744, _T_742) @[el2_ifu_compress_ctl.scala 23:110] - node _T_746 = or(_T_737, _T_745) @[el2_ifu_compress_ctl.scala 84:27] - node _T_747 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_749 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_750 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:71] - node _T_751 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_752 = and(_T_748, _T_749) @[el2_ifu_compress_ctl.scala 23:110] - node _T_753 = and(_T_752, _T_750) @[el2_ifu_compress_ctl.scala 23:110] - node _T_754 = and(_T_753, _T_751) @[el2_ifu_compress_ctl.scala 23:110] - node _T_755 = or(_T_746, _T_754) @[el2_ifu_compress_ctl.scala 85:27] - node _T_756 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_758 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_759 = eq(_T_758, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_760 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_761 = eq(_T_760, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_762 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_763 = and(_T_757, _T_759) @[el2_ifu_compress_ctl.scala 23:110] - node _T_764 = and(_T_763, _T_761) @[el2_ifu_compress_ctl.scala 23:110] - node _T_765 = and(_T_764, _T_762) @[el2_ifu_compress_ctl.scala 23:110] - node _T_766 = or(_T_755, _T_765) @[el2_ifu_compress_ctl.scala 86:27] - node _T_767 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_768 = eq(_T_767, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_769 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_770 = eq(_T_769, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_771 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_772 = and(_T_768, _T_770) @[el2_ifu_compress_ctl.scala 23:110] - node _T_773 = and(_T_772, _T_771) @[el2_ifu_compress_ctl.scala 23:110] - node rdrs1 = or(_T_766, _T_773) @[el2_ifu_compress_ctl.scala 87:30] - node _T_774 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_775 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_776 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_777 = and(_T_774, _T_775) @[el2_ifu_compress_ctl.scala 23:110] - node _T_778 = and(_T_777, _T_776) @[el2_ifu_compress_ctl.scala 23:110] - node _T_779 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_780 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:71] - node _T_781 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_782 = and(_T_779, _T_780) @[el2_ifu_compress_ctl.scala 23:110] - node _T_783 = and(_T_782, _T_781) @[el2_ifu_compress_ctl.scala 23:110] - node _T_784 = or(_T_778, _T_783) @[el2_ifu_compress_ctl.scala 90:34] - node _T_785 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_786 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:71] - node _T_787 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_788 = and(_T_785, _T_786) @[el2_ifu_compress_ctl.scala 23:110] - node _T_789 = and(_T_788, _T_787) @[el2_ifu_compress_ctl.scala 23:110] - node _T_790 = or(_T_784, _T_789) @[el2_ifu_compress_ctl.scala 90:54] - node _T_791 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_792 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:71] - node _T_793 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_794 = and(_T_791, _T_792) @[el2_ifu_compress_ctl.scala 23:110] - node _T_795 = and(_T_794, _T_793) @[el2_ifu_compress_ctl.scala 23:110] - node _T_796 = or(_T_790, _T_795) @[el2_ifu_compress_ctl.scala 90:74] - node _T_797 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_798 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:71] - node _T_799 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_800 = and(_T_797, _T_798) @[el2_ifu_compress_ctl.scala 23:110] - node _T_801 = and(_T_800, _T_799) @[el2_ifu_compress_ctl.scala 23:110] - node _T_802 = or(_T_796, _T_801) @[el2_ifu_compress_ctl.scala 90:94] - node _T_803 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_804 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_805 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_806 = and(_T_803, _T_804) @[el2_ifu_compress_ctl.scala 23:110] - node _T_807 = and(_T_806, _T_805) @[el2_ifu_compress_ctl.scala 23:110] - node rs2rs2 = or(_T_802, _T_807) @[el2_ifu_compress_ctl.scala 90:114] - node _T_808 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_809 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_810 = eq(_T_809, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_811 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_812 = eq(_T_811, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_813 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_814 = and(_T_808, _T_810) @[el2_ifu_compress_ctl.scala 23:110] - node _T_815 = and(_T_814, _T_812) @[el2_ifu_compress_ctl.scala 23:110] - node rdprd = and(_T_815, _T_813) @[el2_ifu_compress_ctl.scala 23:110] - node _T_816 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_817 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_818 = eq(_T_817, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_819 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_820 = and(_T_816, _T_818) @[el2_ifu_compress_ctl.scala 23:110] - node _T_821 = and(_T_820, _T_819) @[el2_ifu_compress_ctl.scala 23:110] - node _T_822 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_823 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_824 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_825 = and(_T_822, _T_823) @[el2_ifu_compress_ctl.scala 23:110] - node _T_826 = and(_T_825, _T_824) @[el2_ifu_compress_ctl.scala 23:110] - node _T_827 = or(_T_821, _T_826) @[el2_ifu_compress_ctl.scala 94:36] - node _T_828 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_829 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_830 = eq(_T_829, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_831 = and(_T_828, _T_830) @[el2_ifu_compress_ctl.scala 23:110] - node _T_832 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 94:85] - node _T_833 = eq(_T_832, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 94:78] - node _T_834 = and(_T_831, _T_833) @[el2_ifu_compress_ctl.scala 94:76] - node rdprs1 = or(_T_827, _T_834) @[el2_ifu_compress_ctl.scala 94:57] - node _T_835 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_836 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_837 = eq(_T_836, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_838 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_839 = eq(_T_838, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_840 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_841 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_842 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_843 = and(_T_835, _T_837) @[el2_ifu_compress_ctl.scala 23:110] - node _T_844 = and(_T_843, _T_839) @[el2_ifu_compress_ctl.scala 23:110] - node _T_845 = and(_T_844, _T_840) @[el2_ifu_compress_ctl.scala 23:110] - node _T_846 = and(_T_845, _T_841) @[el2_ifu_compress_ctl.scala 23:110] - node _T_847 = and(_T_846, _T_842) @[el2_ifu_compress_ctl.scala 23:110] - node _T_848 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_849 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_850 = eq(_T_849, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_851 = and(_T_848, _T_850) @[el2_ifu_compress_ctl.scala 23:110] - node _T_852 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 96:75] - node _T_853 = eq(_T_852, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 96:68] - node _T_854 = and(_T_851, _T_853) @[el2_ifu_compress_ctl.scala 96:66] - node rs2prs2 = or(_T_847, _T_854) @[el2_ifu_compress_ctl.scala 96:47] - node _T_855 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_856 = eq(_T_855, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_857 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_858 = eq(_T_857, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_859 = and(_T_856, _T_858) @[el2_ifu_compress_ctl.scala 23:110] - node _T_860 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 97:42] - node _T_861 = eq(_T_860, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 97:35] - node rs2prd = and(_T_859, _T_861) @[el2_ifu_compress_ctl.scala 97:33] - node _T_862 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_863 = eq(_T_862, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_864 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_865 = eq(_T_864, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_866 = and(_T_863, _T_865) @[el2_ifu_compress_ctl.scala 23:110] - node _T_867 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 98:43] - node _T_868 = eq(_T_867, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 98:36] - node uimm9_2 = and(_T_866, _T_868) @[el2_ifu_compress_ctl.scala 98:34] - node _T_869 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_870 = eq(_T_869, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_871 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_872 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_873 = eq(_T_872, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_874 = and(_T_870, _T_871) @[el2_ifu_compress_ctl.scala 23:110] - node _T_875 = and(_T_874, _T_873) @[el2_ifu_compress_ctl.scala 23:110] - node _T_876 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 99:48] - node _T_877 = eq(_T_876, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 99:41] - node ulwimm6_2 = and(_T_875, _T_877) @[el2_ifu_compress_ctl.scala 99:39] - node _T_878 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_879 = eq(_T_878, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_880 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_881 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_882 = and(_T_879, _T_880) @[el2_ifu_compress_ctl.scala 23:110] - node ulwspimm7_2 = and(_T_882, _T_881) @[el2_ifu_compress_ctl.scala 23:110] - node _T_883 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_884 = eq(_T_883, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_885 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_886 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_887 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:90] - node _T_888 = eq(_T_887, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_889 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_890 = eq(_T_889, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_891 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:90] - node _T_892 = eq(_T_891, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_893 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:71] - node _T_894 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:90] - node _T_895 = eq(_T_894, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_896 = and(_T_884, _T_885) @[el2_ifu_compress_ctl.scala 23:110] - node _T_897 = and(_T_896, _T_886) @[el2_ifu_compress_ctl.scala 23:110] - node _T_898 = and(_T_897, _T_888) @[el2_ifu_compress_ctl.scala 23:110] - node _T_899 = and(_T_898, _T_890) @[el2_ifu_compress_ctl.scala 23:110] - node _T_900 = and(_T_899, _T_892) @[el2_ifu_compress_ctl.scala 23:110] - node _T_901 = and(_T_900, _T_893) @[el2_ifu_compress_ctl.scala 23:110] - node rdeq2 = and(_T_901, _T_895) @[el2_ifu_compress_ctl.scala 23:110] - node _T_902 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_903 = eq(_T_902, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_904 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_905 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_906 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_907 = eq(_T_906, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_908 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_909 = eq(_T_908, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_910 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_911 = eq(_T_910, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_912 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_913 = eq(_T_912, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_914 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_915 = eq(_T_914, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_916 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_917 = and(_T_903, _T_904) @[el2_ifu_compress_ctl.scala 23:110] - node _T_918 = and(_T_917, _T_905) @[el2_ifu_compress_ctl.scala 23:110] - node _T_919 = and(_T_918, _T_907) @[el2_ifu_compress_ctl.scala 23:110] - node _T_920 = and(_T_919, _T_909) @[el2_ifu_compress_ctl.scala 23:110] - node _T_921 = and(_T_920, _T_911) @[el2_ifu_compress_ctl.scala 23:110] - node _T_922 = and(_T_921, _T_913) @[el2_ifu_compress_ctl.scala 23:110] - node _T_923 = and(_T_922, _T_915) @[el2_ifu_compress_ctl.scala 23:110] - node _T_924 = and(_T_923, _T_916) @[el2_ifu_compress_ctl.scala 23:110] - node _T_925 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_926 = eq(_T_925, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_927 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_928 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_929 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_930 = eq(_T_929, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_931 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_932 = eq(_T_931, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_933 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_934 = eq(_T_933, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_935 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_936 = eq(_T_935, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_937 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_938 = eq(_T_937, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_939 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_940 = and(_T_926, _T_927) @[el2_ifu_compress_ctl.scala 23:110] - node _T_941 = and(_T_940, _T_928) @[el2_ifu_compress_ctl.scala 23:110] - node _T_942 = and(_T_941, _T_930) @[el2_ifu_compress_ctl.scala 23:110] - node _T_943 = and(_T_942, _T_932) @[el2_ifu_compress_ctl.scala 23:110] - node _T_944 = and(_T_943, _T_934) @[el2_ifu_compress_ctl.scala 23:110] - node _T_945 = and(_T_944, _T_936) @[el2_ifu_compress_ctl.scala 23:110] - node _T_946 = and(_T_945, _T_938) @[el2_ifu_compress_ctl.scala 23:110] - node _T_947 = and(_T_946, _T_939) @[el2_ifu_compress_ctl.scala 23:110] - node _T_948 = or(_T_924, _T_947) @[el2_ifu_compress_ctl.scala 102:53] - node _T_949 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_950 = eq(_T_949, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_951 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_952 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:71] - node _T_953 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_954 = eq(_T_953, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_955 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_956 = eq(_T_955, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_957 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_959 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_961 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_962 = eq(_T_961, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_963 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_964 = and(_T_950, _T_951) @[el2_ifu_compress_ctl.scala 23:110] - node _T_965 = and(_T_964, _T_952) @[el2_ifu_compress_ctl.scala 23:110] - node _T_966 = and(_T_965, _T_954) @[el2_ifu_compress_ctl.scala 23:110] - node _T_967 = and(_T_966, _T_956) @[el2_ifu_compress_ctl.scala 23:110] - node _T_968 = and(_T_967, _T_958) @[el2_ifu_compress_ctl.scala 23:110] - node _T_969 = and(_T_968, _T_960) @[el2_ifu_compress_ctl.scala 23:110] - node _T_970 = and(_T_969, _T_962) @[el2_ifu_compress_ctl.scala 23:110] - node _T_971 = and(_T_970, _T_963) @[el2_ifu_compress_ctl.scala 23:110] - node _T_972 = or(_T_948, _T_971) @[el2_ifu_compress_ctl.scala 102:93] - node _T_973 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_974 = eq(_T_973, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_975 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_976 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:71] - node _T_977 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_978 = eq(_T_977, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_979 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_980 = eq(_T_979, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_981 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_982 = eq(_T_981, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_983 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_984 = eq(_T_983, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_985 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_986 = eq(_T_985, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_987 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_988 = and(_T_974, _T_975) @[el2_ifu_compress_ctl.scala 23:110] - node _T_989 = and(_T_988, _T_976) @[el2_ifu_compress_ctl.scala 23:110] - node _T_990 = and(_T_989, _T_978) @[el2_ifu_compress_ctl.scala 23:110] - node _T_991 = and(_T_990, _T_980) @[el2_ifu_compress_ctl.scala 23:110] - node _T_992 = and(_T_991, _T_982) @[el2_ifu_compress_ctl.scala 23:110] - node _T_993 = and(_T_992, _T_984) @[el2_ifu_compress_ctl.scala 23:110] - node _T_994 = and(_T_993, _T_986) @[el2_ifu_compress_ctl.scala 23:110] - node _T_995 = and(_T_994, _T_987) @[el2_ifu_compress_ctl.scala 23:110] - node _T_996 = or(_T_972, _T_995) @[el2_ifu_compress_ctl.scala 103:42] - node _T_997 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_998 = eq(_T_997, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_999 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1000 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1001 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1003 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1004 = eq(_T_1003, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1005 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1006 = eq(_T_1005, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1007 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1008 = eq(_T_1007, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1009 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1010 = eq(_T_1009, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1011 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1012 = and(_T_998, _T_999) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1013 = and(_T_1012, _T_1000) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1014 = and(_T_1013, _T_1002) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1015 = and(_T_1014, _T_1004) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1016 = and(_T_1015, _T_1006) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1017 = and(_T_1016, _T_1008) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1018 = and(_T_1017, _T_1010) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1019 = and(_T_1018, _T_1011) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1020 = or(_T_996, _T_1019) @[el2_ifu_compress_ctl.scala 103:81] - node _T_1021 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1022 = eq(_T_1021, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1023 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1024 = eq(_T_1023, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1025 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1026 = and(_T_1022, _T_1024) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1027 = and(_T_1026, _T_1025) @[el2_ifu_compress_ctl.scala 23:110] - node rdeq1 = or(_T_1020, _T_1027) @[el2_ifu_compress_ctl.scala 104:42] - node _T_1028 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1030 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1031 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1032 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1033 = eq(_T_1032, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1034 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1036 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1037 = eq(_T_1036, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1038 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1039 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1041 = and(_T_1029, _T_1030) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1042 = and(_T_1041, _T_1031) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1043 = and(_T_1042, _T_1033) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1044 = and(_T_1043, _T_1035) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1045 = and(_T_1044, _T_1037) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1046 = and(_T_1045, _T_1038) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1047 = and(_T_1046, _T_1040) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1048 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1049 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1050 = and(_T_1048, _T_1049) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1051 = or(_T_1047, _T_1050) @[el2_ifu_compress_ctl.scala 105:53] - node _T_1052 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1054 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1055 = eq(_T_1054, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1056 = and(_T_1053, _T_1055) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1057 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 105:100] - node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 105:93] - node _T_1059 = and(_T_1056, _T_1058) @[el2_ifu_compress_ctl.scala 105:91] - node rs1eq2 = or(_T_1051, _T_1059) @[el2_ifu_compress_ctl.scala 105:71] - node _T_1060 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1061 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1062 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1063 = and(_T_1060, _T_1061) @[el2_ifu_compress_ctl.scala 23:110] - node sbroffset8_1 = and(_T_1063, _T_1062) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1064 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1065 = eq(_T_1064, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1066 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1067 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1068 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1069 = eq(_T_1068, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1070 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1071 = eq(_T_1070, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1072 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1074 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1075 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1076 = eq(_T_1075, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1077 = and(_T_1065, _T_1066) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1078 = and(_T_1077, _T_1067) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1079 = and(_T_1078, _T_1069) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1080 = and(_T_1079, _T_1071) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1081 = and(_T_1080, _T_1073) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1082 = and(_T_1081, _T_1074) @[el2_ifu_compress_ctl.scala 23:110] - node simm9_4 = and(_T_1082, _T_1076) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1083 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1084 = eq(_T_1083, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1085 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1087 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1088 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1089 = eq(_T_1088, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1090 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1091 = and(_T_1084, _T_1086) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1092 = and(_T_1091, _T_1087) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1093 = and(_T_1092, _T_1089) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1094 = and(_T_1093, _T_1090) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1095 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1096 = eq(_T_1095, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1097 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1098 = eq(_T_1097, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1099 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1100 = and(_T_1096, _T_1098) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1101 = and(_T_1100, _T_1099) @[el2_ifu_compress_ctl.scala 23:110] - node simm5_0 = or(_T_1094, _T_1101) @[el2_ifu_compress_ctl.scala 108:45] - node _T_1102 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1103 = eq(_T_1102, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1104 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node sjaloffset11_1 = and(_T_1103, _T_1104) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1105 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1107 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1108 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1109 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1110 = and(_T_1106, _T_1107) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1111 = and(_T_1110, _T_1108) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1112 = and(_T_1111, _T_1109) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1113 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1115 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1116 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1117 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1118 = eq(_T_1117, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1119 = and(_T_1114, _T_1115) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1120 = and(_T_1119, _T_1116) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1121 = and(_T_1120, _T_1118) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1122 = or(_T_1112, _T_1121) @[el2_ifu_compress_ctl.scala 110:44] - node _T_1123 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1124 = eq(_T_1123, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1125 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1126 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1127 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1128 = and(_T_1124, _T_1125) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1129 = and(_T_1128, _T_1126) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1130 = and(_T_1129, _T_1127) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1131 = or(_T_1122, _T_1130) @[el2_ifu_compress_ctl.scala 110:70] - node _T_1132 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1134 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1135 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1136 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1137 = and(_T_1133, _T_1134) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1138 = and(_T_1137, _T_1135) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1139 = and(_T_1138, _T_1136) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1140 = or(_T_1131, _T_1139) @[el2_ifu_compress_ctl.scala 110:95] - node _T_1141 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1142 = eq(_T_1141, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1143 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1144 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1145 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1146 = and(_T_1142, _T_1143) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1147 = and(_T_1146, _T_1144) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1148 = and(_T_1147, _T_1145) @[el2_ifu_compress_ctl.scala 23:110] - node sluimm17_12 = or(_T_1140, _T_1148) @[el2_ifu_compress_ctl.scala 111:29] - node _T_1149 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1150 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1151 = eq(_T_1150, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1152 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1154 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1155 = eq(_T_1154, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1156 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1157 = and(_T_1149, _T_1151) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1158 = and(_T_1157, _T_1153) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1159 = and(_T_1158, _T_1155) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1160 = and(_T_1159, _T_1156) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1161 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1163 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1164 = eq(_T_1163, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1165 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1166 = and(_T_1162, _T_1164) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1167 = and(_T_1166, _T_1165) @[el2_ifu_compress_ctl.scala 23:110] - node uimm5_0 = or(_T_1160, _T_1167) @[el2_ifu_compress_ctl.scala 112:45] - node _T_1168 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1169 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1170 = eq(_T_1169, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1171 = and(_T_1168, _T_1170) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1172 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 113:44] - node _T_1173 = eq(_T_1172, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 113:37] - node uswimm6_2 = and(_T_1171, _T_1173) @[el2_ifu_compress_ctl.scala 113:35] - node _T_1174 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1175 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1176 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1177 = and(_T_1174, _T_1175) @[el2_ifu_compress_ctl.scala 23:110] - node uswspimm7_2 = and(_T_1177, _T_1176) @[el2_ifu_compress_ctl.scala 23:110] + node _T_552 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_553 = eq(_T_552, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_554 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_555 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_556 = and(_T_553, _T_554) @[el2_ifu_compress_ctl.scala 25:110] + node _T_557 = and(_T_556, _T_555) @[el2_ifu_compress_ctl.scala 25:110] + node _T_558 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_560 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_561 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_562 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_563 = and(_T_559, _T_560) @[el2_ifu_compress_ctl.scala 25:110] + node _T_564 = and(_T_563, _T_561) @[el2_ifu_compress_ctl.scala 25:110] + node _T_565 = and(_T_564, _T_562) @[el2_ifu_compress_ctl.scala 25:110] + node _T_566 = or(_T_557, _T_565) @[el2_ifu_compress_ctl.scala 74:33] + node _T_567 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_569 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:71] + node _T_570 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_571 = and(_T_568, _T_569) @[el2_ifu_compress_ctl.scala 25:110] + node _T_572 = and(_T_571, _T_570) @[el2_ifu_compress_ctl.scala 25:110] + node _T_573 = or(_T_566, _T_572) @[el2_ifu_compress_ctl.scala 74:58] + node _T_574 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_575 = eq(_T_574, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_576 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_577 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_578 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_579 = and(_T_575, _T_576) @[el2_ifu_compress_ctl.scala 25:110] + node _T_580 = and(_T_579, _T_577) @[el2_ifu_compress_ctl.scala 25:110] + node _T_581 = and(_T_580, _T_578) @[el2_ifu_compress_ctl.scala 25:110] + node _T_582 = or(_T_573, _T_581) @[el2_ifu_compress_ctl.scala 74:79] + node _T_583 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_584 = eq(_T_583, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_585 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:71] + node _T_586 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_587 = and(_T_584, _T_585) @[el2_ifu_compress_ctl.scala 25:110] + node _T_588 = and(_T_587, _T_586) @[el2_ifu_compress_ctl.scala 25:110] + node _T_589 = or(_T_582, _T_588) @[el2_ifu_compress_ctl.scala 74:104] + node _T_590 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_591 = eq(_T_590, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_592 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_593 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:71] + node _T_594 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_595 = and(_T_591, _T_592) @[el2_ifu_compress_ctl.scala 25:110] + node _T_596 = and(_T_595, _T_593) @[el2_ifu_compress_ctl.scala 25:110] + node _T_597 = and(_T_596, _T_594) @[el2_ifu_compress_ctl.scala 25:110] + node _T_598 = or(_T_589, _T_597) @[el2_ifu_compress_ctl.scala 75:24] + node _T_599 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_600 = eq(_T_599, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_601 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:71] + node _T_602 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_603 = and(_T_600, _T_601) @[el2_ifu_compress_ctl.scala 25:110] + node _T_604 = and(_T_603, _T_602) @[el2_ifu_compress_ctl.scala 25:110] + node _T_605 = or(_T_598, _T_604) @[el2_ifu_compress_ctl.scala 75:48] + node _T_606 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_607 = eq(_T_606, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_608 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_609 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:90] + node _T_610 = eq(_T_609, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_611 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_612 = and(_T_607, _T_608) @[el2_ifu_compress_ctl.scala 25:110] + node _T_613 = and(_T_612, _T_610) @[el2_ifu_compress_ctl.scala 25:110] + node _T_614 = and(_T_613, _T_611) @[el2_ifu_compress_ctl.scala 25:110] + node _T_615 = or(_T_605, _T_614) @[el2_ifu_compress_ctl.scala 75:69] + node _T_616 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_617 = eq(_T_616, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_618 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:71] + node _T_619 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_620 = and(_T_617, _T_618) @[el2_ifu_compress_ctl.scala 25:110] + node _T_621 = and(_T_620, _T_619) @[el2_ifu_compress_ctl.scala 25:110] + node _T_622 = or(_T_615, _T_621) @[el2_ifu_compress_ctl.scala 75:94] + node _T_623 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_624 = eq(_T_623, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_625 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_626 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:71] + node _T_627 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_628 = and(_T_624, _T_625) @[el2_ifu_compress_ctl.scala 25:110] + node _T_629 = and(_T_628, _T_626) @[el2_ifu_compress_ctl.scala 25:110] + node _T_630 = and(_T_629, _T_627) @[el2_ifu_compress_ctl.scala 25:110] + node _T_631 = or(_T_622, _T_630) @[el2_ifu_compress_ctl.scala 76:22] + node _T_632 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_634 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_635 = and(_T_633, _T_634) @[el2_ifu_compress_ctl.scala 25:110] + node _T_636 = or(_T_631, _T_635) @[el2_ifu_compress_ctl.scala 76:46] + node _T_637 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_638 = eq(_T_637, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_639 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_641 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_642 = and(_T_638, _T_640) @[el2_ifu_compress_ctl.scala 25:110] + node _T_643 = and(_T_642, _T_641) @[el2_ifu_compress_ctl.scala 25:110] + node rdrd = or(_T_636, _T_643) @[el2_ifu_compress_ctl.scala 76:65] + node _T_644 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_645 = eq(_T_644, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_646 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_647 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_648 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_649 = and(_T_645, _T_646) @[el2_ifu_compress_ctl.scala 25:110] + node _T_650 = and(_T_649, _T_647) @[el2_ifu_compress_ctl.scala 25:110] + node _T_651 = and(_T_650, _T_648) @[el2_ifu_compress_ctl.scala 25:110] + node _T_652 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_653 = eq(_T_652, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_654 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_655 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_656 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_657 = and(_T_653, _T_654) @[el2_ifu_compress_ctl.scala 25:110] + node _T_658 = and(_T_657, _T_655) @[el2_ifu_compress_ctl.scala 25:110] + node _T_659 = and(_T_658, _T_656) @[el2_ifu_compress_ctl.scala 25:110] + node _T_660 = or(_T_651, _T_659) @[el2_ifu_compress_ctl.scala 78:38] + node _T_661 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_662 = eq(_T_661, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_663 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_664 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:71] + node _T_665 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_666 = and(_T_662, _T_663) @[el2_ifu_compress_ctl.scala 25:110] + node _T_667 = and(_T_666, _T_664) @[el2_ifu_compress_ctl.scala 25:110] + node _T_668 = and(_T_667, _T_665) @[el2_ifu_compress_ctl.scala 25:110] + node _T_669 = or(_T_660, _T_668) @[el2_ifu_compress_ctl.scala 79:28] + node _T_670 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_671 = eq(_T_670, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_672 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_673 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:71] + node _T_674 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_675 = and(_T_671, _T_672) @[el2_ifu_compress_ctl.scala 25:110] + node _T_676 = and(_T_675, _T_673) @[el2_ifu_compress_ctl.scala 25:110] + node _T_677 = and(_T_676, _T_674) @[el2_ifu_compress_ctl.scala 25:110] + node _T_678 = or(_T_669, _T_677) @[el2_ifu_compress_ctl.scala 80:27] + node _T_679 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_680 = eq(_T_679, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_681 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_682 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:71] + node _T_683 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_684 = and(_T_680, _T_681) @[el2_ifu_compress_ctl.scala 25:110] + node _T_685 = and(_T_684, _T_682) @[el2_ifu_compress_ctl.scala 25:110] + node _T_686 = and(_T_685, _T_683) @[el2_ifu_compress_ctl.scala 25:110] + node _T_687 = or(_T_678, _T_686) @[el2_ifu_compress_ctl.scala 81:27] + node _T_688 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_689 = eq(_T_688, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_690 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_691 = eq(_T_690, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_692 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_693 = eq(_T_692, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_694 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_695 = eq(_T_694, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_696 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_697 = eq(_T_696, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_698 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_700 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_701 = eq(_T_700, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_702 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_703 = and(_T_689, _T_691) @[el2_ifu_compress_ctl.scala 25:110] + node _T_704 = and(_T_703, _T_693) @[el2_ifu_compress_ctl.scala 25:110] + node _T_705 = and(_T_704, _T_695) @[el2_ifu_compress_ctl.scala 25:110] + node _T_706 = and(_T_705, _T_697) @[el2_ifu_compress_ctl.scala 25:110] + node _T_707 = and(_T_706, _T_699) @[el2_ifu_compress_ctl.scala 25:110] + node _T_708 = and(_T_707, _T_701) @[el2_ifu_compress_ctl.scala 25:110] + node _T_709 = and(_T_708, _T_702) @[el2_ifu_compress_ctl.scala 25:110] + node _T_710 = or(_T_687, _T_709) @[el2_ifu_compress_ctl.scala 82:27] + node _T_711 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_712 = eq(_T_711, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_713 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_714 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_715 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_716 = and(_T_712, _T_713) @[el2_ifu_compress_ctl.scala 25:110] + node _T_717 = and(_T_716, _T_714) @[el2_ifu_compress_ctl.scala 25:110] + node _T_718 = and(_T_717, _T_715) @[el2_ifu_compress_ctl.scala 25:110] + node _T_719 = or(_T_710, _T_718) @[el2_ifu_compress_ctl.scala 83:41] + node _T_720 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_721 = eq(_T_720, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_722 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_723 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:71] + node _T_724 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_725 = and(_T_721, _T_722) @[el2_ifu_compress_ctl.scala 25:110] + node _T_726 = and(_T_725, _T_723) @[el2_ifu_compress_ctl.scala 25:110] + node _T_727 = and(_T_726, _T_724) @[el2_ifu_compress_ctl.scala 25:110] + node _T_728 = or(_T_719, _T_727) @[el2_ifu_compress_ctl.scala 84:27] + node _T_729 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_730 = eq(_T_729, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_731 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_732 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:71] + node _T_733 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_734 = and(_T_730, _T_731) @[el2_ifu_compress_ctl.scala 25:110] + node _T_735 = and(_T_734, _T_732) @[el2_ifu_compress_ctl.scala 25:110] + node _T_736 = and(_T_735, _T_733) @[el2_ifu_compress_ctl.scala 25:110] + node _T_737 = or(_T_728, _T_736) @[el2_ifu_compress_ctl.scala 85:27] + node _T_738 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_739 = eq(_T_738, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_740 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_741 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:71] + node _T_742 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_743 = and(_T_739, _T_740) @[el2_ifu_compress_ctl.scala 25:110] + node _T_744 = and(_T_743, _T_741) @[el2_ifu_compress_ctl.scala 25:110] + node _T_745 = and(_T_744, _T_742) @[el2_ifu_compress_ctl.scala 25:110] + node _T_746 = or(_T_737, _T_745) @[el2_ifu_compress_ctl.scala 86:27] + node _T_747 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_749 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_750 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:71] + node _T_751 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_752 = and(_T_748, _T_749) @[el2_ifu_compress_ctl.scala 25:110] + node _T_753 = and(_T_752, _T_750) @[el2_ifu_compress_ctl.scala 25:110] + node _T_754 = and(_T_753, _T_751) @[el2_ifu_compress_ctl.scala 25:110] + node _T_755 = or(_T_746, _T_754) @[el2_ifu_compress_ctl.scala 87:27] + node _T_756 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_758 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_759 = eq(_T_758, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_760 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_761 = eq(_T_760, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_762 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_763 = and(_T_757, _T_759) @[el2_ifu_compress_ctl.scala 25:110] + node _T_764 = and(_T_763, _T_761) @[el2_ifu_compress_ctl.scala 25:110] + node _T_765 = and(_T_764, _T_762) @[el2_ifu_compress_ctl.scala 25:110] + node _T_766 = or(_T_755, _T_765) @[el2_ifu_compress_ctl.scala 88:27] + node _T_767 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_768 = eq(_T_767, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_769 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_770 = eq(_T_769, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_771 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_772 = and(_T_768, _T_770) @[el2_ifu_compress_ctl.scala 25:110] + node _T_773 = and(_T_772, _T_771) @[el2_ifu_compress_ctl.scala 25:110] + node rdrs1 = or(_T_766, _T_773) @[el2_ifu_compress_ctl.scala 89:30] + node _T_774 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_775 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_776 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_777 = and(_T_774, _T_775) @[el2_ifu_compress_ctl.scala 25:110] + node _T_778 = and(_T_777, _T_776) @[el2_ifu_compress_ctl.scala 25:110] + node _T_779 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_780 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:71] + node _T_781 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_782 = and(_T_779, _T_780) @[el2_ifu_compress_ctl.scala 25:110] + node _T_783 = and(_T_782, _T_781) @[el2_ifu_compress_ctl.scala 25:110] + node _T_784 = or(_T_778, _T_783) @[el2_ifu_compress_ctl.scala 92:34] + node _T_785 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_786 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:71] + node _T_787 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_788 = and(_T_785, _T_786) @[el2_ifu_compress_ctl.scala 25:110] + node _T_789 = and(_T_788, _T_787) @[el2_ifu_compress_ctl.scala 25:110] + node _T_790 = or(_T_784, _T_789) @[el2_ifu_compress_ctl.scala 92:54] + node _T_791 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_792 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:71] + node _T_793 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_794 = and(_T_791, _T_792) @[el2_ifu_compress_ctl.scala 25:110] + node _T_795 = and(_T_794, _T_793) @[el2_ifu_compress_ctl.scala 25:110] + node _T_796 = or(_T_790, _T_795) @[el2_ifu_compress_ctl.scala 92:74] + node _T_797 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_798 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:71] + node _T_799 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_800 = and(_T_797, _T_798) @[el2_ifu_compress_ctl.scala 25:110] + node _T_801 = and(_T_800, _T_799) @[el2_ifu_compress_ctl.scala 25:110] + node _T_802 = or(_T_796, _T_801) @[el2_ifu_compress_ctl.scala 92:94] + node _T_803 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_804 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_805 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_806 = and(_T_803, _T_804) @[el2_ifu_compress_ctl.scala 25:110] + node _T_807 = and(_T_806, _T_805) @[el2_ifu_compress_ctl.scala 25:110] + node rs2rs2 = or(_T_802, _T_807) @[el2_ifu_compress_ctl.scala 92:114] + node _T_808 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_809 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_810 = eq(_T_809, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_811 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_812 = eq(_T_811, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_813 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_814 = and(_T_808, _T_810) @[el2_ifu_compress_ctl.scala 25:110] + node _T_815 = and(_T_814, _T_812) @[el2_ifu_compress_ctl.scala 25:110] + node rdprd = and(_T_815, _T_813) @[el2_ifu_compress_ctl.scala 25:110] + node _T_816 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_817 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_818 = eq(_T_817, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_819 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_820 = and(_T_816, _T_818) @[el2_ifu_compress_ctl.scala 25:110] + node _T_821 = and(_T_820, _T_819) @[el2_ifu_compress_ctl.scala 25:110] + node _T_822 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_823 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_824 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_825 = and(_T_822, _T_823) @[el2_ifu_compress_ctl.scala 25:110] + node _T_826 = and(_T_825, _T_824) @[el2_ifu_compress_ctl.scala 25:110] + node _T_827 = or(_T_821, _T_826) @[el2_ifu_compress_ctl.scala 96:36] + node _T_828 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_829 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_830 = eq(_T_829, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_831 = and(_T_828, _T_830) @[el2_ifu_compress_ctl.scala 25:110] + node _T_832 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 96:85] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 96:78] + node _T_834 = and(_T_831, _T_833) @[el2_ifu_compress_ctl.scala 96:76] + node rdprs1 = or(_T_827, _T_834) @[el2_ifu_compress_ctl.scala 96:57] + node _T_835 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_836 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_837 = eq(_T_836, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_838 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_839 = eq(_T_838, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_840 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_841 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_842 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_843 = and(_T_835, _T_837) @[el2_ifu_compress_ctl.scala 25:110] + node _T_844 = and(_T_843, _T_839) @[el2_ifu_compress_ctl.scala 25:110] + node _T_845 = and(_T_844, _T_840) @[el2_ifu_compress_ctl.scala 25:110] + node _T_846 = and(_T_845, _T_841) @[el2_ifu_compress_ctl.scala 25:110] + node _T_847 = and(_T_846, _T_842) @[el2_ifu_compress_ctl.scala 25:110] + node _T_848 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_849 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_850 = eq(_T_849, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_851 = and(_T_848, _T_850) @[el2_ifu_compress_ctl.scala 25:110] + node _T_852 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 98:75] + node _T_853 = eq(_T_852, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 98:68] + node _T_854 = and(_T_851, _T_853) @[el2_ifu_compress_ctl.scala 98:66] + node rs2prs2 = or(_T_847, _T_854) @[el2_ifu_compress_ctl.scala 98:47] + node _T_855 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_856 = eq(_T_855, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_857 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_859 = and(_T_856, _T_858) @[el2_ifu_compress_ctl.scala 25:110] + node _T_860 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 99:42] + node _T_861 = eq(_T_860, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 99:35] + node rs2prd = and(_T_859, _T_861) @[el2_ifu_compress_ctl.scala 99:33] + node _T_862 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_863 = eq(_T_862, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_864 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_865 = eq(_T_864, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_866 = and(_T_863, _T_865) @[el2_ifu_compress_ctl.scala 25:110] + node _T_867 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 100:43] + node _T_868 = eq(_T_867, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 100:36] + node uimm9_2 = and(_T_866, _T_868) @[el2_ifu_compress_ctl.scala 100:34] + node _T_869 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_870 = eq(_T_869, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_871 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_872 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_873 = eq(_T_872, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_874 = and(_T_870, _T_871) @[el2_ifu_compress_ctl.scala 25:110] + node _T_875 = and(_T_874, _T_873) @[el2_ifu_compress_ctl.scala 25:110] + node _T_876 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 101:48] + node _T_877 = eq(_T_876, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 101:41] + node ulwimm6_2 = and(_T_875, _T_877) @[el2_ifu_compress_ctl.scala 101:39] + node _T_878 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_879 = eq(_T_878, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_880 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_881 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_882 = and(_T_879, _T_880) @[el2_ifu_compress_ctl.scala 25:110] + node ulwspimm7_2 = and(_T_882, _T_881) @[el2_ifu_compress_ctl.scala 25:110] + node _T_883 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_885 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_886 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_887 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:90] + node _T_888 = eq(_T_887, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_889 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_890 = eq(_T_889, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_891 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:90] + node _T_892 = eq(_T_891, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_893 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:71] + node _T_894 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:90] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_896 = and(_T_884, _T_885) @[el2_ifu_compress_ctl.scala 25:110] + node _T_897 = and(_T_896, _T_886) @[el2_ifu_compress_ctl.scala 25:110] + node _T_898 = and(_T_897, _T_888) @[el2_ifu_compress_ctl.scala 25:110] + node _T_899 = and(_T_898, _T_890) @[el2_ifu_compress_ctl.scala 25:110] + node _T_900 = and(_T_899, _T_892) @[el2_ifu_compress_ctl.scala 25:110] + node _T_901 = and(_T_900, _T_893) @[el2_ifu_compress_ctl.scala 25:110] + node rdeq2 = and(_T_901, _T_895) @[el2_ifu_compress_ctl.scala 25:110] + node _T_902 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_904 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_905 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_906 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_907 = eq(_T_906, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_908 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_909 = eq(_T_908, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_910 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_911 = eq(_T_910, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_912 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_913 = eq(_T_912, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_914 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_915 = eq(_T_914, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_916 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_917 = and(_T_903, _T_904) @[el2_ifu_compress_ctl.scala 25:110] + node _T_918 = and(_T_917, _T_905) @[el2_ifu_compress_ctl.scala 25:110] + node _T_919 = and(_T_918, _T_907) @[el2_ifu_compress_ctl.scala 25:110] + node _T_920 = and(_T_919, _T_909) @[el2_ifu_compress_ctl.scala 25:110] + node _T_921 = and(_T_920, _T_911) @[el2_ifu_compress_ctl.scala 25:110] + node _T_922 = and(_T_921, _T_913) @[el2_ifu_compress_ctl.scala 25:110] + node _T_923 = and(_T_922, _T_915) @[el2_ifu_compress_ctl.scala 25:110] + node _T_924 = and(_T_923, _T_916) @[el2_ifu_compress_ctl.scala 25:110] + node _T_925 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_926 = eq(_T_925, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_927 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_928 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_929 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_930 = eq(_T_929, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_931 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_932 = eq(_T_931, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_933 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_934 = eq(_T_933, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_935 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_936 = eq(_T_935, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_937 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_938 = eq(_T_937, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_939 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_940 = and(_T_926, _T_927) @[el2_ifu_compress_ctl.scala 25:110] + node _T_941 = and(_T_940, _T_928) @[el2_ifu_compress_ctl.scala 25:110] + node _T_942 = and(_T_941, _T_930) @[el2_ifu_compress_ctl.scala 25:110] + node _T_943 = and(_T_942, _T_932) @[el2_ifu_compress_ctl.scala 25:110] + node _T_944 = and(_T_943, _T_934) @[el2_ifu_compress_ctl.scala 25:110] + node _T_945 = and(_T_944, _T_936) @[el2_ifu_compress_ctl.scala 25:110] + node _T_946 = and(_T_945, _T_938) @[el2_ifu_compress_ctl.scala 25:110] + node _T_947 = and(_T_946, _T_939) @[el2_ifu_compress_ctl.scala 25:110] + node _T_948 = or(_T_924, _T_947) @[el2_ifu_compress_ctl.scala 104:53] + node _T_949 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_950 = eq(_T_949, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_951 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_952 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:71] + node _T_953 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_955 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_956 = eq(_T_955, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_957 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_959 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_961 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_962 = eq(_T_961, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_963 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_964 = and(_T_950, _T_951) @[el2_ifu_compress_ctl.scala 25:110] + node _T_965 = and(_T_964, _T_952) @[el2_ifu_compress_ctl.scala 25:110] + node _T_966 = and(_T_965, _T_954) @[el2_ifu_compress_ctl.scala 25:110] + node _T_967 = and(_T_966, _T_956) @[el2_ifu_compress_ctl.scala 25:110] + node _T_968 = and(_T_967, _T_958) @[el2_ifu_compress_ctl.scala 25:110] + node _T_969 = and(_T_968, _T_960) @[el2_ifu_compress_ctl.scala 25:110] + node _T_970 = and(_T_969, _T_962) @[el2_ifu_compress_ctl.scala 25:110] + node _T_971 = and(_T_970, _T_963) @[el2_ifu_compress_ctl.scala 25:110] + node _T_972 = or(_T_948, _T_971) @[el2_ifu_compress_ctl.scala 104:93] + node _T_973 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_974 = eq(_T_973, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_975 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_976 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:71] + node _T_977 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_978 = eq(_T_977, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_979 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_981 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_983 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_984 = eq(_T_983, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_985 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_986 = eq(_T_985, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_987 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_988 = and(_T_974, _T_975) @[el2_ifu_compress_ctl.scala 25:110] + node _T_989 = and(_T_988, _T_976) @[el2_ifu_compress_ctl.scala 25:110] + node _T_990 = and(_T_989, _T_978) @[el2_ifu_compress_ctl.scala 25:110] + node _T_991 = and(_T_990, _T_980) @[el2_ifu_compress_ctl.scala 25:110] + node _T_992 = and(_T_991, _T_982) @[el2_ifu_compress_ctl.scala 25:110] + node _T_993 = and(_T_992, _T_984) @[el2_ifu_compress_ctl.scala 25:110] + node _T_994 = and(_T_993, _T_986) @[el2_ifu_compress_ctl.scala 25:110] + node _T_995 = and(_T_994, _T_987) @[el2_ifu_compress_ctl.scala 25:110] + node _T_996 = or(_T_972, _T_995) @[el2_ifu_compress_ctl.scala 105:42] + node _T_997 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_998 = eq(_T_997, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_999 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1000 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1001 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1003 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1004 = eq(_T_1003, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1005 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1006 = eq(_T_1005, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1007 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1008 = eq(_T_1007, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1009 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1010 = eq(_T_1009, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1011 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1012 = and(_T_998, _T_999) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1013 = and(_T_1012, _T_1000) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1014 = and(_T_1013, _T_1002) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1015 = and(_T_1014, _T_1004) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1016 = and(_T_1015, _T_1006) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1017 = and(_T_1016, _T_1008) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1018 = and(_T_1017, _T_1010) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1019 = and(_T_1018, _T_1011) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1020 = or(_T_996, _T_1019) @[el2_ifu_compress_ctl.scala 105:81] + node _T_1021 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1022 = eq(_T_1021, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1023 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1024 = eq(_T_1023, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1025 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1026 = and(_T_1022, _T_1024) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1027 = and(_T_1026, _T_1025) @[el2_ifu_compress_ctl.scala 25:110] + node rdeq1 = or(_T_1020, _T_1027) @[el2_ifu_compress_ctl.scala 106:42] + node _T_1028 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1030 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1031 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1032 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1033 = eq(_T_1032, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1034 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1036 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1037 = eq(_T_1036, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1038 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1039 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1041 = and(_T_1029, _T_1030) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1042 = and(_T_1041, _T_1031) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1043 = and(_T_1042, _T_1033) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1044 = and(_T_1043, _T_1035) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1045 = and(_T_1044, _T_1037) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1046 = and(_T_1045, _T_1038) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1047 = and(_T_1046, _T_1040) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1048 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1049 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1050 = and(_T_1048, _T_1049) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1051 = or(_T_1047, _T_1050) @[el2_ifu_compress_ctl.scala 107:53] + node _T_1052 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1054 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1055 = eq(_T_1054, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1056 = and(_T_1053, _T_1055) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1057 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 107:100] + node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 107:93] + node _T_1059 = and(_T_1056, _T_1058) @[el2_ifu_compress_ctl.scala 107:91] + node rs1eq2 = or(_T_1051, _T_1059) @[el2_ifu_compress_ctl.scala 107:71] + node _T_1060 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1061 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1062 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1063 = and(_T_1060, _T_1061) @[el2_ifu_compress_ctl.scala 25:110] + node sbroffset8_1 = and(_T_1063, _T_1062) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1064 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1065 = eq(_T_1064, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1066 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1067 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1068 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1069 = eq(_T_1068, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1070 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1071 = eq(_T_1070, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1072 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1074 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1075 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1076 = eq(_T_1075, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1077 = and(_T_1065, _T_1066) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1078 = and(_T_1077, _T_1067) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1079 = and(_T_1078, _T_1069) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1080 = and(_T_1079, _T_1071) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1081 = and(_T_1080, _T_1073) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1082 = and(_T_1081, _T_1074) @[el2_ifu_compress_ctl.scala 25:110] + node simm9_4 = and(_T_1082, _T_1076) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1083 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1084 = eq(_T_1083, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1085 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1087 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1088 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1089 = eq(_T_1088, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1090 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1091 = and(_T_1084, _T_1086) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1092 = and(_T_1091, _T_1087) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1093 = and(_T_1092, _T_1089) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1094 = and(_T_1093, _T_1090) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1095 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1096 = eq(_T_1095, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1097 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1098 = eq(_T_1097, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1099 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1100 = and(_T_1096, _T_1098) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1101 = and(_T_1100, _T_1099) @[el2_ifu_compress_ctl.scala 25:110] + node simm5_0 = or(_T_1094, _T_1101) @[el2_ifu_compress_ctl.scala 110:45] + node _T_1102 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1103 = eq(_T_1102, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1104 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node sjaloffset11_1 = and(_T_1103, _T_1104) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1105 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1107 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1108 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1109 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1110 = and(_T_1106, _T_1107) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1111 = and(_T_1110, _T_1108) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1112 = and(_T_1111, _T_1109) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1113 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1115 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1116 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1117 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1118 = eq(_T_1117, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1119 = and(_T_1114, _T_1115) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1120 = and(_T_1119, _T_1116) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1121 = and(_T_1120, _T_1118) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1122 = or(_T_1112, _T_1121) @[el2_ifu_compress_ctl.scala 112:44] + node _T_1123 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1124 = eq(_T_1123, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1125 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1126 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1127 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1128 = and(_T_1124, _T_1125) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1129 = and(_T_1128, _T_1126) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1130 = and(_T_1129, _T_1127) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1131 = or(_T_1122, _T_1130) @[el2_ifu_compress_ctl.scala 112:70] + node _T_1132 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1134 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1135 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1136 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1137 = and(_T_1133, _T_1134) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1138 = and(_T_1137, _T_1135) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1139 = and(_T_1138, _T_1136) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1140 = or(_T_1131, _T_1139) @[el2_ifu_compress_ctl.scala 112:95] + node _T_1141 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1142 = eq(_T_1141, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1143 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1144 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1145 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1146 = and(_T_1142, _T_1143) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1147 = and(_T_1146, _T_1144) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1148 = and(_T_1147, _T_1145) @[el2_ifu_compress_ctl.scala 25:110] + node sluimm17_12 = or(_T_1140, _T_1148) @[el2_ifu_compress_ctl.scala 113:29] + node _T_1149 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1150 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1151 = eq(_T_1150, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1152 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1154 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1155 = eq(_T_1154, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1156 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1157 = and(_T_1149, _T_1151) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1158 = and(_T_1157, _T_1153) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1159 = and(_T_1158, _T_1155) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1160 = and(_T_1159, _T_1156) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1161 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1163 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1164 = eq(_T_1163, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1165 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1166 = and(_T_1162, _T_1164) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1167 = and(_T_1166, _T_1165) @[el2_ifu_compress_ctl.scala 25:110] + node uimm5_0 = or(_T_1160, _T_1167) @[el2_ifu_compress_ctl.scala 114:45] + node _T_1168 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1169 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1170 = eq(_T_1169, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1171 = and(_T_1168, _T_1170) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1172 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 115:44] + node _T_1173 = eq(_T_1172, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 115:37] + node uswimm6_2 = and(_T_1171, _T_1173) @[el2_ifu_compress_ctl.scala 115:35] + node _T_1174 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1175 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1176 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1177 = and(_T_1174, _T_1175) @[el2_ifu_compress_ctl.scala 25:110] + node uswspimm7_2 = and(_T_1177, _T_1176) @[el2_ifu_compress_ctl.scala 25:110] node _T_1178 = cat(out[2], out[1]) @[Cat.scala 29:58] node _T_1179 = cat(_T_1178, out[0]) @[Cat.scala 29:58] node _T_1180 = cat(out[4], out[3]) @[Cat.scala 29:58] @@ -1263,11 +1263,11 @@ circuit el2_ifu_compress_ctl : node _T_1184 = cat(out[11], out[10]) @[Cat.scala 29:58] node _T_1185 = cat(_T_1184, out[9]) @[Cat.scala 29:58] node _T_1186 = cat(_T_1185, _T_1183) @[Cat.scala 29:58] - node _T_1187 = bits(rdrd, 0, 0) @[el2_ifu_compress_ctl.scala 117:81] - node _T_1188 = bits(rdprd, 0, 0) @[el2_ifu_compress_ctl.scala 118:9] - node _T_1189 = bits(rs2prd, 0, 0) @[el2_ifu_compress_ctl.scala 118:30] - node _T_1190 = bits(rdeq1, 0, 0) @[el2_ifu_compress_ctl.scala 118:51] - node _T_1191 = bits(rdeq2, 0, 0) @[el2_ifu_compress_ctl.scala 118:75] + node _T_1187 = bits(rdrd, 0, 0) @[el2_ifu_compress_ctl.scala 119:81] + node _T_1188 = bits(rdprd, 0, 0) @[el2_ifu_compress_ctl.scala 120:9] + node _T_1189 = bits(rs2prd, 0, 0) @[el2_ifu_compress_ctl.scala 120:30] + node _T_1190 = bits(rdeq1, 0, 0) @[el2_ifu_compress_ctl.scala 120:51] + node _T_1191 = bits(rdeq2, 0, 0) @[el2_ifu_compress_ctl.scala 120:75] node _T_1192 = mux(_T_1187, rdd, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1193 = mux(_T_1188, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1194 = mux(_T_1189, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1279,16 +1279,16 @@ circuit el2_ifu_compress_ctl : node _T_1200 = or(_T_1199, _T_1196) @[Mux.scala 27:72] wire _T_1201 : UInt<5> @[Mux.scala 27:72] _T_1201 <= _T_1200 @[Mux.scala 27:72] - node l1_11 = or(_T_1186, _T_1201) @[el2_ifu_compress_ctl.scala 117:64] + node l1_11 = or(_T_1186, _T_1201) @[el2_ifu_compress_ctl.scala 119:64] node _T_1202 = cat(out[14], out[13]) @[Cat.scala 29:58] node l1_14 = cat(_T_1202, out[12]) @[Cat.scala 29:58] node _T_1203 = cat(out[16], out[15]) @[Cat.scala 29:58] node _T_1204 = cat(out[19], out[18]) @[Cat.scala 29:58] node _T_1205 = cat(_T_1204, out[17]) @[Cat.scala 29:58] node _T_1206 = cat(_T_1205, _T_1203) @[Cat.scala 29:58] - node _T_1207 = bits(rdrs1, 0, 0) @[el2_ifu_compress_ctl.scala 122:85] - node _T_1208 = bits(rdprs1, 0, 0) @[el2_ifu_compress_ctl.scala 123:12] - node _T_1209 = bits(rs1eq2, 0, 0) @[el2_ifu_compress_ctl.scala 123:33] + node _T_1207 = bits(rdrs1, 0, 0) @[el2_ifu_compress_ctl.scala 124:85] + node _T_1208 = bits(rdprs1, 0, 0) @[el2_ifu_compress_ctl.scala 125:12] + node _T_1209 = bits(rs1eq2, 0, 0) @[el2_ifu_compress_ctl.scala 125:33] node _T_1210 = mux(_T_1207, rdd, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1211 = mux(_T_1208, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1212 = mux(_T_1209, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1296,19 +1296,19 @@ circuit el2_ifu_compress_ctl : node _T_1214 = or(_T_1213, _T_1212) @[Mux.scala 27:72] wire _T_1215 : UInt<5> @[Mux.scala 27:72] _T_1215 <= _T_1214 @[Mux.scala 27:72] - node l1_19 = or(_T_1206, _T_1215) @[el2_ifu_compress_ctl.scala 122:67] + node l1_19 = or(_T_1206, _T_1215) @[el2_ifu_compress_ctl.scala 124:67] node _T_1216 = cat(out[21], out[20]) @[Cat.scala 29:58] node _T_1217 = cat(out[24], out[23]) @[Cat.scala 29:58] node _T_1218 = cat(_T_1217, out[22]) @[Cat.scala 29:58] node _T_1219 = cat(_T_1218, _T_1216) @[Cat.scala 29:58] - node _T_1220 = bits(rs2rs2, 0, 0) @[el2_ifu_compress_ctl.scala 125:86] - node _T_1221 = bits(rs2prs2, 0, 0) @[el2_ifu_compress_ctl.scala 126:13] + node _T_1220 = bits(rs2rs2, 0, 0) @[el2_ifu_compress_ctl.scala 127:86] + node _T_1221 = bits(rs2prs2, 0, 0) @[el2_ifu_compress_ctl.scala 128:13] node _T_1222 = mux(_T_1220, rs2d, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1223 = mux(_T_1221, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1224 = or(_T_1222, _T_1223) @[Mux.scala 27:72] wire _T_1225 : UInt<5> @[Mux.scala 27:72] _T_1225 <= _T_1224 @[Mux.scala 27:72] - node l1_24 = or(_T_1219, _T_1225) @[el2_ifu_compress_ctl.scala 125:67] + node l1_24 = or(_T_1219, _T_1225) @[el2_ifu_compress_ctl.scala 127:67] node _T_1226 = cat(out[27], out[26]) @[Cat.scala 29:58] node _T_1227 = cat(_T_1226, out[25]) @[Cat.scala 29:58] node _T_1228 = cat(out[29], out[28]) @[Cat.scala 29:58] @@ -1320,47 +1320,47 @@ circuit el2_ifu_compress_ctl : node _T_1233 = cat(l1_31, l1_24) @[Cat.scala 29:58] node _T_1234 = cat(_T_1233, l1_19) @[Cat.scala 29:58] node l1 = cat(_T_1234, _T_1232) @[Cat.scala 29:58] - node _T_1235 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 130:26] - node _T_1236 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 130:38] + node _T_1235 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 132:26] + node _T_1236 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 132:38] node simm5d = cat(_T_1235, _T_1236) @[Cat.scala 29:58] - node _T_1237 = bits(io.din, 10, 7) @[el2_ifu_compress_ctl.scala 131:26] - node _T_1238 = bits(io.din, 12, 11) @[el2_ifu_compress_ctl.scala 131:40] - node _T_1239 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 131:55] - node _T_1240 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 131:66] + node _T_1237 = bits(io.din, 10, 7) @[el2_ifu_compress_ctl.scala 133:26] + node _T_1238 = bits(io.din, 12, 11) @[el2_ifu_compress_ctl.scala 133:40] + node _T_1239 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 133:55] + node _T_1240 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 133:66] node _T_1241 = cat(_T_1239, _T_1240) @[Cat.scala 29:58] node _T_1242 = cat(_T_1237, _T_1238) @[Cat.scala 29:58] node uimm9d = cat(_T_1242, _T_1241) @[Cat.scala 29:58] - node _T_1243 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 132:26] - node _T_1244 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 132:38] - node _T_1245 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 132:51] - node _T_1246 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 132:62] - node _T_1247 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 132:73] + node _T_1243 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 134:26] + node _T_1244 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 134:38] + node _T_1245 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 134:51] + node _T_1246 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 134:62] + node _T_1247 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 134:73] node _T_1248 = cat(_T_1246, _T_1247) @[Cat.scala 29:58] node _T_1249 = cat(_T_1243, _T_1244) @[Cat.scala 29:58] node _T_1250 = cat(_T_1249, _T_1245) @[Cat.scala 29:58] node simm9d = cat(_T_1250, _T_1248) @[Cat.scala 29:58] - node _T_1251 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 133:28] - node _T_1252 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 133:39] - node _T_1253 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 133:54] + node _T_1251 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 135:28] + node _T_1252 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 135:39] + node _T_1253 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 135:54] node _T_1254 = cat(_T_1251, _T_1252) @[Cat.scala 29:58] node ulwimm6d = cat(_T_1254, _T_1253) @[Cat.scala 29:58] - node _T_1255 = bits(io.din, 3, 2) @[el2_ifu_compress_ctl.scala 134:30] - node _T_1256 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 134:43] - node _T_1257 = bits(io.din, 6, 4) @[el2_ifu_compress_ctl.scala 134:55] + node _T_1255 = bits(io.din, 3, 2) @[el2_ifu_compress_ctl.scala 136:30] + node _T_1256 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 136:43] + node _T_1257 = bits(io.din, 6, 4) @[el2_ifu_compress_ctl.scala 136:55] node _T_1258 = cat(_T_1255, _T_1256) @[Cat.scala 29:58] node ulwspimm7d = cat(_T_1258, _T_1257) @[Cat.scala 29:58] - node _T_1259 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 135:26] - node _T_1260 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 135:38] + node _T_1259 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 137:26] + node _T_1260 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 137:38] node uimm5d = cat(_T_1259, _T_1260) @[Cat.scala 29:58] - node _T_1261 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 136:27] - node _T_1262 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 136:39] - node _T_1263 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 136:50] - node _T_1264 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 136:64] - node _T_1265 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 136:75] - node _T_1266 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 136:86] - node _T_1267 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 136:97] - node _T_1268 = bits(io.din, 5, 4) @[el2_ifu_compress_ctl.scala 137:11] - node _T_1269 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 137:24] + node _T_1261 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 138:27] + node _T_1262 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 138:39] + node _T_1263 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 138:50] + node _T_1264 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 138:64] + node _T_1265 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 138:75] + node _T_1266 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 138:86] + node _T_1267 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 138:97] + node _T_1268 = bits(io.din, 5, 4) @[el2_ifu_compress_ctl.scala 139:11] + node _T_1269 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 139:24] node _T_1270 = cat(_T_1268, _T_1269) @[Cat.scala 29:58] node _T_1271 = cat(_T_1266, _T_1267) @[Cat.scala 29:58] node _T_1272 = cat(_T_1271, _T_1270) @[Cat.scala 29:58] @@ -1369,46 +1369,46 @@ circuit el2_ifu_compress_ctl : node _T_1275 = cat(_T_1274, _T_1263) @[Cat.scala 29:58] node _T_1276 = cat(_T_1275, _T_1273) @[Cat.scala 29:58] node sjald_1 = cat(_T_1276, _T_1272) @[Cat.scala 29:58] - node _T_1277 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 138:32] + node _T_1277 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 140:32] node _T_1278 = bits(_T_1277, 0, 0) @[Bitwise.scala 72:15] node sjald_12 = mux(_T_1278, UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] node sjald = cat(sjald_12, sjald_1) @[Cat.scala 29:58] - node _T_1279 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 140:36] + node _T_1279 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 142:36] node _T_1280 = bits(_T_1279, 0, 0) @[Bitwise.scala 72:15] node _T_1281 = mux(_T_1280, UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] - node _T_1282 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 140:49] + node _T_1282 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 142:49] node sluimmd = cat(_T_1281, _T_1282) @[Cat.scala 29:58] - node _T_1283 = bits(l1, 31, 20) @[el2_ifu_compress_ctl.scala 141:17] - node _T_1284 = bits(simm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 142:23] - node _T_1285 = bits(simm5d, 5, 5) @[el2_ifu_compress_ctl.scala 142:49] + node _T_1283 = bits(l1, 31, 20) @[el2_ifu_compress_ctl.scala 144:17] + node _T_1284 = bits(simm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 145:23] + node _T_1285 = bits(simm5d, 5, 5) @[el2_ifu_compress_ctl.scala 145:49] node _T_1286 = bits(_T_1285, 0, 0) @[Bitwise.scala 72:15] node _T_1287 = mux(_T_1286, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_1288 = bits(simm5d, 4, 0) @[el2_ifu_compress_ctl.scala 142:60] + node _T_1288 = bits(simm5d, 4, 0) @[el2_ifu_compress_ctl.scala 145:60] node _T_1289 = cat(_T_1287, _T_1288) @[Cat.scala 29:58] - node _T_1290 = bits(uimm9_2, 0, 0) @[el2_ifu_compress_ctl.scala 143:23] + node _T_1290 = bits(uimm9_2, 0, 0) @[el2_ifu_compress_ctl.scala 146:23] node _T_1291 = cat(UInt<2>("h00"), uimm9d) @[Cat.scala 29:58] node _T_1292 = cat(_T_1291, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1293 = bits(simm9_4, 0, 0) @[el2_ifu_compress_ctl.scala 144:23] - node _T_1294 = bits(simm9d, 5, 5) @[el2_ifu_compress_ctl.scala 144:49] + node _T_1293 = bits(simm9_4, 0, 0) @[el2_ifu_compress_ctl.scala 147:23] + node _T_1294 = bits(simm9d, 5, 5) @[el2_ifu_compress_ctl.scala 147:49] node _T_1295 = bits(_T_1294, 0, 0) @[Bitwise.scala 72:15] node _T_1296 = mux(_T_1295, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_1297 = bits(simm9d, 4, 0) @[el2_ifu_compress_ctl.scala 144:60] + node _T_1297 = bits(simm9d, 4, 0) @[el2_ifu_compress_ctl.scala 147:60] node _T_1298 = cat(_T_1296, _T_1297) @[Cat.scala 29:58] node _T_1299 = cat(_T_1298, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1300 = bits(ulwimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 145:25] + node _T_1300 = bits(ulwimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 148:25] node _T_1301 = cat(UInt<5>("h00"), ulwimm6d) @[Cat.scala 29:58] node _T_1302 = cat(_T_1301, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1303 = bits(ulwspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 146:27] + node _T_1303 = bits(ulwspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 149:27] node _T_1304 = cat(UInt<4>("h00"), ulwspimm7d) @[Cat.scala 29:58] node _T_1305 = cat(_T_1304, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1306 = bits(uimm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 147:23] + node _T_1306 = bits(uimm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 150:23] node _T_1307 = cat(UInt<6>("h00"), uimm5d) @[Cat.scala 29:58] - node _T_1308 = bits(sjald, 19, 19) @[el2_ifu_compress_ctl.scala 148:40] - node _T_1309 = bits(sjald, 9, 0) @[el2_ifu_compress_ctl.scala 148:50] - node _T_1310 = bits(sjald, 10, 10) @[el2_ifu_compress_ctl.scala 148:61] + node _T_1308 = bits(sjald, 19, 19) @[el2_ifu_compress_ctl.scala 151:40] + node _T_1309 = bits(sjald, 9, 0) @[el2_ifu_compress_ctl.scala 151:50] + node _T_1310 = bits(sjald, 10, 10) @[el2_ifu_compress_ctl.scala 151:61] node _T_1311 = cat(_T_1308, _T_1309) @[Cat.scala 29:58] node _T_1312 = cat(_T_1311, _T_1310) @[Cat.scala 29:58] - node _T_1313 = bits(sluimmd, 19, 8) @[el2_ifu_compress_ctl.scala 149:35] + node _T_1313 = bits(sluimmd, 19, 8) @[el2_ifu_compress_ctl.scala 152:35] node _T_1314 = mux(_T_1284, _T_1289, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1315 = mux(_T_1290, _T_1292, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1316 = mux(_T_1293, _T_1299, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1426,29 +1426,29 @@ circuit el2_ifu_compress_ctl : node _T_1328 = or(_T_1327, _T_1321) @[Mux.scala 27:72] wire _T_1329 : UInt<12> @[Mux.scala 27:72] _T_1329 <= _T_1328 @[Mux.scala 27:72] - node l2_31 = or(_T_1283, _T_1329) @[el2_ifu_compress_ctl.scala 141:25] - node _T_1330 = bits(l1, 19, 12) @[el2_ifu_compress_ctl.scala 151:17] - node _T_1331 = bits(sjaloffset11_1, 0, 0) @[el2_ifu_compress_ctl.scala 151:52] - node _T_1332 = bits(sjald, 19, 11) @[el2_ifu_compress_ctl.scala 151:65] - node _T_1333 = bits(sluimm17_12, 0, 0) @[el2_ifu_compress_ctl.scala 152:17] - node _T_1334 = bits(sluimmd, 7, 0) @[el2_ifu_compress_ctl.scala 152:32] + node l2_31 = or(_T_1283, _T_1329) @[el2_ifu_compress_ctl.scala 144:25] + node _T_1330 = bits(l1, 19, 12) @[el2_ifu_compress_ctl.scala 154:17] + node _T_1331 = bits(sjaloffset11_1, 0, 0) @[el2_ifu_compress_ctl.scala 154:52] + node _T_1332 = bits(sjald, 19, 11) @[el2_ifu_compress_ctl.scala 154:65] + node _T_1333 = bits(sluimm17_12, 0, 0) @[el2_ifu_compress_ctl.scala 155:17] + node _T_1334 = bits(sluimmd, 7, 0) @[el2_ifu_compress_ctl.scala 155:32] node _T_1335 = mux(_T_1331, _T_1332, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1336 = mux(_T_1333, _T_1334, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1337 = or(_T_1335, _T_1336) @[Mux.scala 27:72] wire _T_1338 : UInt<9> @[Mux.scala 27:72] _T_1338 <= _T_1337 @[Mux.scala 27:72] - node l2_19 = or(_T_1330, _T_1338) @[el2_ifu_compress_ctl.scala 151:25] - node _T_1339 = bits(l1, 11, 0) @[el2_ifu_compress_ctl.scala 153:32] + node l2_19 = or(_T_1330, _T_1338) @[el2_ifu_compress_ctl.scala 154:25] + node _T_1339 = bits(l1, 11, 0) @[el2_ifu_compress_ctl.scala 156:32] node _T_1340 = cat(l2_31, l2_19) @[Cat.scala 29:58] node l2 = cat(_T_1340, _T_1339) @[Cat.scala 29:58] - node _T_1341 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 154:25] - node _T_1342 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 154:36] - node _T_1343 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 154:46] - node _T_1344 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 154:56] - node _T_1345 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 154:66] - node _T_1346 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 154:77] - node _T_1347 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 154:88] - node _T_1348 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 154:98] + node _T_1341 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 157:25] + node _T_1342 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 157:36] + node _T_1343 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 157:46] + node _T_1344 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 157:56] + node _T_1345 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 157:66] + node _T_1346 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 157:77] + node _T_1347 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 157:88] + node _T_1348 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 157:98] node _T_1349 = cat(_T_1348, UInt<1>("h00")) @[Cat.scala 29:58] node _T_1350 = cat(_T_1346, _T_1347) @[Cat.scala 29:58] node _T_1351 = cat(_T_1350, _T_1349) @[Cat.scala 29:58] @@ -1457,28 +1457,28 @@ circuit el2_ifu_compress_ctl : node _T_1354 = cat(_T_1353, _T_1343) @[Cat.scala 29:58] node _T_1355 = cat(_T_1354, _T_1352) @[Cat.scala 29:58] node sbr8d = cat(_T_1355, _T_1351) @[Cat.scala 29:58] - node _T_1356 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 155:28] - node _T_1357 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 155:39] - node _T_1358 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 155:54] + node _T_1356 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 158:28] + node _T_1357 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 158:39] + node _T_1358 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 158:54] node _T_1359 = cat(_T_1358, UInt<2>("h00")) @[Cat.scala 29:58] node _T_1360 = cat(_T_1356, _T_1357) @[Cat.scala 29:58] node uswimm6d = cat(_T_1360, _T_1359) @[Cat.scala 29:58] - node _T_1361 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 156:30] - node _T_1362 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 156:42] + node _T_1361 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 159:30] + node _T_1362 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 159:42] node _T_1363 = cat(_T_1361, _T_1362) @[Cat.scala 29:58] node uswspimm7d = cat(_T_1363, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1364 = bits(l2, 31, 25) @[el2_ifu_compress_ctl.scala 157:17] - node _T_1365 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 157:50] - node _T_1366 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 157:74] + node _T_1364 = bits(l2, 31, 25) @[el2_ifu_compress_ctl.scala 160:17] + node _T_1365 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 160:50] + node _T_1366 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 160:74] node _T_1367 = bits(_T_1366, 0, 0) @[Bitwise.scala 72:15] node _T_1368 = mux(_T_1367, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_1369 = bits(sbr8d, 7, 5) @[el2_ifu_compress_ctl.scala 157:84] + node _T_1369 = bits(sbr8d, 7, 5) @[el2_ifu_compress_ctl.scala 160:84] node _T_1370 = cat(_T_1368, _T_1369) @[Cat.scala 29:58] - node _T_1371 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 158:15] - node _T_1372 = bits(uswimm6d, 6, 5) @[el2_ifu_compress_ctl.scala 158:44] + node _T_1371 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 161:15] + node _T_1372 = bits(uswimm6d, 6, 5) @[el2_ifu_compress_ctl.scala 161:44] node _T_1373 = cat(UInt<5>("h00"), _T_1372) @[Cat.scala 29:58] - node _T_1374 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 159:17] - node _T_1375 = bits(uswspimm7d, 7, 5) @[el2_ifu_compress_ctl.scala 159:48] + node _T_1374 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 162:17] + node _T_1375 = bits(uswspimm7d, 7, 5) @[el2_ifu_compress_ctl.scala 162:48] node _T_1376 = cat(UInt<4>("h00"), _T_1375) @[Cat.scala 29:58] node _T_1377 = mux(_T_1365, _T_1370, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1378 = mux(_T_1371, _T_1373, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1487,17 +1487,17 @@ circuit el2_ifu_compress_ctl : node _T_1381 = or(_T_1380, _T_1379) @[Mux.scala 27:72] wire _T_1382 : UInt<7> @[Mux.scala 27:72] _T_1382 <= _T_1381 @[Mux.scala 27:72] - node l3_31 = or(_T_1364, _T_1382) @[el2_ifu_compress_ctl.scala 157:25] - node l3_24 = bits(l2, 24, 12) @[el2_ifu_compress_ctl.scala 160:17] - node _T_1383 = bits(l2, 11, 7) @[el2_ifu_compress_ctl.scala 161:17] - node _T_1384 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 161:49] - node _T_1385 = bits(sbr8d, 4, 1) @[el2_ifu_compress_ctl.scala 161:66] - node _T_1386 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 161:78] + node l3_31 = or(_T_1364, _T_1382) @[el2_ifu_compress_ctl.scala 160:25] + node l3_24 = bits(l2, 24, 12) @[el2_ifu_compress_ctl.scala 163:17] + node _T_1383 = bits(l2, 11, 7) @[el2_ifu_compress_ctl.scala 164:17] + node _T_1384 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 164:49] + node _T_1385 = bits(sbr8d, 4, 1) @[el2_ifu_compress_ctl.scala 164:66] + node _T_1386 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 164:78] node _T_1387 = cat(_T_1385, _T_1386) @[Cat.scala 29:58] - node _T_1388 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 162:15] - node _T_1389 = bits(uswimm6d, 4, 0) @[el2_ifu_compress_ctl.scala 162:31] - node _T_1390 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 163:17] - node _T_1391 = bits(uswspimm7d, 4, 0) @[el2_ifu_compress_ctl.scala 163:35] + node _T_1388 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 165:15] + node _T_1389 = bits(uswimm6d, 4, 0) @[el2_ifu_compress_ctl.scala 165:31] + node _T_1390 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 166:17] + node _T_1391 = bits(uswspimm7d, 4, 0) @[el2_ifu_compress_ctl.scala 166:35] node _T_1392 = mux(_T_1384, _T_1387, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1393 = mux(_T_1388, _T_1389, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1394 = mux(_T_1390, _T_1391, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1505,367 +1505,367 @@ circuit el2_ifu_compress_ctl : node _T_1396 = or(_T_1395, _T_1394) @[Mux.scala 27:72] wire _T_1397 : UInt<5> @[Mux.scala 27:72] _T_1397 <= _T_1396 @[Mux.scala 27:72] - node l3_11 = or(_T_1383, _T_1397) @[el2_ifu_compress_ctl.scala 161:24] - node _T_1398 = bits(l2, 6, 0) @[el2_ifu_compress_ctl.scala 164:39] + node l3_11 = or(_T_1383, _T_1397) @[el2_ifu_compress_ctl.scala 164:24] + node _T_1398 = bits(l2, 6, 0) @[el2_ifu_compress_ctl.scala 167:39] node _T_1399 = cat(l3_11, _T_1398) @[Cat.scala 29:58] node _T_1400 = cat(l3_31, l3_24) @[Cat.scala 29:58] node l3 = cat(_T_1400, _T_1399) @[Cat.scala 29:58] - node _T_1401 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1402 = eq(_T_1401, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1403 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1404 = eq(_T_1403, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1405 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1406 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1407 = and(_T_1402, _T_1404) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1408 = and(_T_1407, _T_1405) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1409 = and(_T_1408, _T_1406) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1410 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 166:48] - node _T_1411 = eq(_T_1410, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 166:41] - node _T_1412 = and(_T_1409, _T_1411) @[el2_ifu_compress_ctl.scala 166:39] - node _T_1413 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1414 = eq(_T_1413, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1415 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1417 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1418 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1419 = and(_T_1414, _T_1416) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1420 = and(_T_1419, _T_1417) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1421 = and(_T_1420, _T_1418) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1422 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 166:88] - node _T_1423 = eq(_T_1422, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 166:81] - node _T_1424 = and(_T_1421, _T_1423) @[el2_ifu_compress_ctl.scala 166:79] - node _T_1425 = or(_T_1412, _T_1424) @[el2_ifu_compress_ctl.scala 166:54] - node _T_1426 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1427 = eq(_T_1426, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1428 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1429 = eq(_T_1428, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1430 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1431 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1432 = eq(_T_1431, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1433 = and(_T_1427, _T_1429) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1434 = and(_T_1433, _T_1430) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1435 = and(_T_1434, _T_1432) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1436 = or(_T_1425, _T_1435) @[el2_ifu_compress_ctl.scala 166:94] - node _T_1437 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1438 = eq(_T_1437, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1439 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1440 = eq(_T_1439, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1441 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1442 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1443 = and(_T_1438, _T_1440) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1444 = and(_T_1443, _T_1441) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1445 = and(_T_1444, _T_1442) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1446 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 167:64] - node _T_1447 = eq(_T_1446, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 167:57] - node _T_1448 = and(_T_1445, _T_1447) @[el2_ifu_compress_ctl.scala 167:55] - node _T_1449 = or(_T_1436, _T_1448) @[el2_ifu_compress_ctl.scala 167:30] - node _T_1450 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1451 = eq(_T_1450, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1452 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1453 = eq(_T_1452, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1454 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1455 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1456 = and(_T_1451, _T_1453) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1457 = and(_T_1456, _T_1454) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1458 = and(_T_1457, _T_1455) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1459 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 167:105] - node _T_1460 = eq(_T_1459, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 167:98] - node _T_1461 = and(_T_1458, _T_1460) @[el2_ifu_compress_ctl.scala 167:96] - node _T_1462 = or(_T_1449, _T_1461) @[el2_ifu_compress_ctl.scala 167:70] - node _T_1463 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1464 = eq(_T_1463, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1465 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1467 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1468 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1469 = eq(_T_1468, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1470 = and(_T_1464, _T_1466) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1471 = and(_T_1470, _T_1467) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1472 = and(_T_1471, _T_1469) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1473 = or(_T_1462, _T_1472) @[el2_ifu_compress_ctl.scala 167:111] - node _T_1474 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1475 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1476 = eq(_T_1475, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1477 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1479 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1480 = and(_T_1474, _T_1476) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1481 = and(_T_1480, _T_1478) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1482 = and(_T_1481, _T_1479) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1483 = or(_T_1473, _T_1482) @[el2_ifu_compress_ctl.scala 168:29] - node _T_1484 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1485 = eq(_T_1484, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1486 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1488 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1489 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1490 = and(_T_1485, _T_1487) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1491 = and(_T_1490, _T_1488) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1492 = and(_T_1491, _T_1489) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1493 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 168:88] - node _T_1494 = eq(_T_1493, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 168:81] - node _T_1495 = and(_T_1492, _T_1494) @[el2_ifu_compress_ctl.scala 168:79] - node _T_1496 = or(_T_1483, _T_1495) @[el2_ifu_compress_ctl.scala 168:54] - node _T_1497 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1498 = eq(_T_1497, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1499 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1500 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1501 = eq(_T_1500, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1502 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1503 = and(_T_1498, _T_1499) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1504 = and(_T_1503, _T_1501) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1505 = and(_T_1504, _T_1502) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1506 = or(_T_1496, _T_1505) @[el2_ifu_compress_ctl.scala 168:94] - node _T_1507 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1508 = eq(_T_1507, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1509 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1510 = eq(_T_1509, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1511 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1512 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1514 = and(_T_1508, _T_1510) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1515 = and(_T_1514, _T_1511) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1516 = and(_T_1515, _T_1513) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1517 = or(_T_1506, _T_1516) @[el2_ifu_compress_ctl.scala 168:118] - node _T_1518 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1519 = eq(_T_1518, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1520 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1522 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1523 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1524 = and(_T_1519, _T_1521) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1525 = and(_T_1524, _T_1522) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1526 = and(_T_1525, _T_1523) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1527 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 169:37] - node _T_1528 = eq(_T_1527, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 169:30] - node _T_1529 = and(_T_1526, _T_1528) @[el2_ifu_compress_ctl.scala 169:28] - node _T_1530 = or(_T_1517, _T_1529) @[el2_ifu_compress_ctl.scala 168:144] - node _T_1531 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1532 = eq(_T_1531, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1533 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1534 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1536 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1537 = and(_T_1532, _T_1533) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1538 = and(_T_1537, _T_1535) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1539 = and(_T_1538, _T_1536) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1540 = or(_T_1530, _T_1539) @[el2_ifu_compress_ctl.scala 169:43] - node _T_1541 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1542 = eq(_T_1541, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1543 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1544 = eq(_T_1543, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1545 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1546 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1547 = eq(_T_1546, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1548 = and(_T_1542, _T_1544) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1549 = and(_T_1548, _T_1545) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1550 = and(_T_1549, _T_1547) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1551 = or(_T_1540, _T_1550) @[el2_ifu_compress_ctl.scala 169:67] - node _T_1552 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1553 = eq(_T_1552, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1554 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1555 = eq(_T_1554, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1556 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1557 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1558 = and(_T_1553, _T_1555) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1559 = and(_T_1558, _T_1556) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1560 = and(_T_1559, _T_1557) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1561 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 170:37] - node _T_1562 = eq(_T_1561, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 170:30] - node _T_1563 = and(_T_1560, _T_1562) @[el2_ifu_compress_ctl.scala 170:28] - node _T_1564 = or(_T_1551, _T_1563) @[el2_ifu_compress_ctl.scala 169:94] - node _T_1565 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1566 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1567 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1568 = eq(_T_1567, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1569 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1571 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1572 = and(_T_1565, _T_1566) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1573 = and(_T_1572, _T_1568) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1574 = and(_T_1573, _T_1570) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1575 = and(_T_1574, _T_1571) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1576 = or(_T_1564, _T_1575) @[el2_ifu_compress_ctl.scala 170:43] - node _T_1577 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1578 = eq(_T_1577, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1579 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1580 = eq(_T_1579, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1581 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1582 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1584 = and(_T_1578, _T_1580) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1585 = and(_T_1584, _T_1581) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1586 = and(_T_1585, _T_1583) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1587 = or(_T_1576, _T_1586) @[el2_ifu_compress_ctl.scala 170:71] - node _T_1588 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1589 = eq(_T_1588, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1590 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1592 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1593 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1594 = and(_T_1589, _T_1591) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1595 = and(_T_1594, _T_1592) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1596 = and(_T_1595, _T_1593) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1597 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 171:37] - node _T_1598 = eq(_T_1597, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 171:30] - node _T_1599 = and(_T_1596, _T_1598) @[el2_ifu_compress_ctl.scala 171:28] - node _T_1600 = or(_T_1587, _T_1599) @[el2_ifu_compress_ctl.scala 170:97] - node _T_1601 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1602 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1603 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1604 = eq(_T_1603, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1605 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1606 = and(_T_1601, _T_1602) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1607 = and(_T_1606, _T_1604) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1608 = and(_T_1607, _T_1605) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1609 = or(_T_1600, _T_1608) @[el2_ifu_compress_ctl.scala 171:43] - node _T_1610 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1611 = eq(_T_1610, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1612 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1613 = eq(_T_1612, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1614 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1615 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1616 = eq(_T_1615, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1617 = and(_T_1611, _T_1613) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1618 = and(_T_1617, _T_1614) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1619 = and(_T_1618, _T_1616) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1620 = or(_T_1609, _T_1619) @[el2_ifu_compress_ctl.scala 171:67] - node _T_1621 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1622 = eq(_T_1621, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1623 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1624 = eq(_T_1623, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1625 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1626 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1627 = and(_T_1622, _T_1624) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1628 = and(_T_1627, _T_1625) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1629 = and(_T_1628, _T_1626) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1630 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 172:37] - node _T_1631 = eq(_T_1630, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 172:30] - node _T_1632 = and(_T_1629, _T_1631) @[el2_ifu_compress_ctl.scala 172:28] - node _T_1633 = or(_T_1620, _T_1632) @[el2_ifu_compress_ctl.scala 171:93] - node _T_1634 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1635 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1636 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1637 = eq(_T_1636, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1638 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1639 = and(_T_1634, _T_1635) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1640 = and(_T_1639, _T_1637) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1641 = and(_T_1640, _T_1638) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1642 = or(_T_1633, _T_1641) @[el2_ifu_compress_ctl.scala 172:43] - node _T_1643 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1644 = eq(_T_1643, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1645 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1646 = eq(_T_1645, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1647 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1648 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1649 = and(_T_1644, _T_1646) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1650 = and(_T_1649, _T_1647) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1651 = and(_T_1650, _T_1648) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1652 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 172:100] - node _T_1653 = eq(_T_1652, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 172:93] - node _T_1654 = and(_T_1651, _T_1653) @[el2_ifu_compress_ctl.scala 172:91] - node _T_1655 = or(_T_1642, _T_1654) @[el2_ifu_compress_ctl.scala 172:66] - node _T_1656 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1657 = eq(_T_1656, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1658 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1659 = eq(_T_1658, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1660 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1661 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1662 = eq(_T_1661, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1663 = and(_T_1657, _T_1659) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1664 = and(_T_1663, _T_1660) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1665 = and(_T_1664, _T_1662) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1666 = or(_T_1655, _T_1665) @[el2_ifu_compress_ctl.scala 172:106] - node _T_1667 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1668 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1669 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1670 = eq(_T_1669, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1671 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1672 = and(_T_1667, _T_1668) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1673 = and(_T_1672, _T_1670) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1674 = and(_T_1673, _T_1671) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1675 = or(_T_1666, _T_1674) @[el2_ifu_compress_ctl.scala 173:29] - node _T_1676 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1677 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1678 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1679 = eq(_T_1678, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1680 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1681 = and(_T_1676, _T_1677) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1682 = and(_T_1681, _T_1679) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1683 = and(_T_1682, _T_1680) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1684 = or(_T_1675, _T_1683) @[el2_ifu_compress_ctl.scala 173:52] - node _T_1685 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1686 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1688 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1690 = and(_T_1685, _T_1687) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1691 = and(_T_1690, _T_1689) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1692 = or(_T_1684, _T_1691) @[el2_ifu_compress_ctl.scala 173:75] - node _T_1693 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1695 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1696 = eq(_T_1695, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1697 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1699 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1700 = and(_T_1694, _T_1696) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1701 = and(_T_1700, _T_1698) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1702 = and(_T_1701, _T_1699) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1703 = or(_T_1692, _T_1702) @[el2_ifu_compress_ctl.scala 173:98] - node _T_1704 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1705 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1706 = eq(_T_1705, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1707 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1708 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1709 = and(_T_1704, _T_1706) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1710 = and(_T_1709, _T_1707) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1711 = and(_T_1710, _T_1708) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1712 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 174:63] - node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 174:56] - node _T_1714 = and(_T_1711, _T_1713) @[el2_ifu_compress_ctl.scala 174:54] - node _T_1715 = or(_T_1703, _T_1714) @[el2_ifu_compress_ctl.scala 174:29] - node _T_1716 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1717 = eq(_T_1716, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1718 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1719 = eq(_T_1718, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1720 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1721 = eq(_T_1720, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1722 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1723 = and(_T_1717, _T_1719) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1724 = and(_T_1723, _T_1721) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1725 = and(_T_1724, _T_1722) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1726 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 174:105] - node _T_1727 = eq(_T_1726, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 174:98] - node _T_1728 = and(_T_1725, _T_1727) @[el2_ifu_compress_ctl.scala 174:96] - node _T_1729 = or(_T_1715, _T_1728) @[el2_ifu_compress_ctl.scala 174:69] - node _T_1730 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1731 = eq(_T_1730, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1732 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1733 = eq(_T_1732, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1734 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1735 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1736 = eq(_T_1735, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1737 = and(_T_1731, _T_1733) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1738 = and(_T_1737, _T_1734) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1739 = and(_T_1738, _T_1736) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1740 = or(_T_1729, _T_1739) @[el2_ifu_compress_ctl.scala 174:111] - node _T_1741 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 23:71] - node _T_1742 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 23:90] - node _T_1743 = eq(_T_1742, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 23:83] - node _T_1744 = and(_T_1741, _T_1743) @[el2_ifu_compress_ctl.scala 23:110] - node _T_1745 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 175:59] - node _T_1746 = eq(_T_1745, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 175:52] - node _T_1747 = and(_T_1744, _T_1746) @[el2_ifu_compress_ctl.scala 175:50] - node legal = or(_T_1740, _T_1747) @[el2_ifu_compress_ctl.scala 175:30] + node _T_1401 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1402 = eq(_T_1401, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1403 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1404 = eq(_T_1403, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1405 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1406 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1407 = and(_T_1402, _T_1404) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1408 = and(_T_1407, _T_1405) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1409 = and(_T_1408, _T_1406) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1410 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 169:48] + node _T_1411 = eq(_T_1410, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 169:41] + node _T_1412 = and(_T_1409, _T_1411) @[el2_ifu_compress_ctl.scala 169:39] + node _T_1413 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1414 = eq(_T_1413, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1415 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1417 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1418 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1419 = and(_T_1414, _T_1416) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1420 = and(_T_1419, _T_1417) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1421 = and(_T_1420, _T_1418) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1422 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 169:88] + node _T_1423 = eq(_T_1422, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 169:81] + node _T_1424 = and(_T_1421, _T_1423) @[el2_ifu_compress_ctl.scala 169:79] + node _T_1425 = or(_T_1412, _T_1424) @[el2_ifu_compress_ctl.scala 169:54] + node _T_1426 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1427 = eq(_T_1426, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1428 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1429 = eq(_T_1428, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1430 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1431 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1432 = eq(_T_1431, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1433 = and(_T_1427, _T_1429) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1434 = and(_T_1433, _T_1430) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1435 = and(_T_1434, _T_1432) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1436 = or(_T_1425, _T_1435) @[el2_ifu_compress_ctl.scala 169:94] + node _T_1437 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1438 = eq(_T_1437, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1439 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1440 = eq(_T_1439, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1441 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1442 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1443 = and(_T_1438, _T_1440) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1444 = and(_T_1443, _T_1441) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1445 = and(_T_1444, _T_1442) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1446 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 170:64] + node _T_1447 = eq(_T_1446, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 170:57] + node _T_1448 = and(_T_1445, _T_1447) @[el2_ifu_compress_ctl.scala 170:55] + node _T_1449 = or(_T_1436, _T_1448) @[el2_ifu_compress_ctl.scala 170:30] + node _T_1450 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1451 = eq(_T_1450, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1452 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1453 = eq(_T_1452, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1454 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1455 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1456 = and(_T_1451, _T_1453) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1457 = and(_T_1456, _T_1454) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1458 = and(_T_1457, _T_1455) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1459 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 170:105] + node _T_1460 = eq(_T_1459, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 170:98] + node _T_1461 = and(_T_1458, _T_1460) @[el2_ifu_compress_ctl.scala 170:96] + node _T_1462 = or(_T_1449, _T_1461) @[el2_ifu_compress_ctl.scala 170:70] + node _T_1463 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1464 = eq(_T_1463, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1465 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1467 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1468 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1469 = eq(_T_1468, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1470 = and(_T_1464, _T_1466) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1471 = and(_T_1470, _T_1467) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1472 = and(_T_1471, _T_1469) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1473 = or(_T_1462, _T_1472) @[el2_ifu_compress_ctl.scala 170:111] + node _T_1474 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1475 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1476 = eq(_T_1475, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1477 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1479 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1480 = and(_T_1474, _T_1476) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1481 = and(_T_1480, _T_1478) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1482 = and(_T_1481, _T_1479) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1483 = or(_T_1473, _T_1482) @[el2_ifu_compress_ctl.scala 171:29] + node _T_1484 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1485 = eq(_T_1484, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1486 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1488 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1489 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1490 = and(_T_1485, _T_1487) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1491 = and(_T_1490, _T_1488) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1492 = and(_T_1491, _T_1489) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1493 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 171:88] + node _T_1494 = eq(_T_1493, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 171:81] + node _T_1495 = and(_T_1492, _T_1494) @[el2_ifu_compress_ctl.scala 171:79] + node _T_1496 = or(_T_1483, _T_1495) @[el2_ifu_compress_ctl.scala 171:54] + node _T_1497 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1498 = eq(_T_1497, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1499 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1500 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1501 = eq(_T_1500, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1502 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1503 = and(_T_1498, _T_1499) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1504 = and(_T_1503, _T_1501) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1505 = and(_T_1504, _T_1502) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1506 = or(_T_1496, _T_1505) @[el2_ifu_compress_ctl.scala 171:94] + node _T_1507 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1508 = eq(_T_1507, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1509 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1510 = eq(_T_1509, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1511 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1512 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1514 = and(_T_1508, _T_1510) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1515 = and(_T_1514, _T_1511) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1516 = and(_T_1515, _T_1513) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1517 = or(_T_1506, _T_1516) @[el2_ifu_compress_ctl.scala 171:118] + node _T_1518 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1519 = eq(_T_1518, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1520 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1522 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1523 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1524 = and(_T_1519, _T_1521) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1525 = and(_T_1524, _T_1522) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1526 = and(_T_1525, _T_1523) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1527 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 172:37] + node _T_1528 = eq(_T_1527, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 172:30] + node _T_1529 = and(_T_1526, _T_1528) @[el2_ifu_compress_ctl.scala 172:28] + node _T_1530 = or(_T_1517, _T_1529) @[el2_ifu_compress_ctl.scala 171:144] + node _T_1531 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1532 = eq(_T_1531, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1533 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1534 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1536 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1537 = and(_T_1532, _T_1533) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1538 = and(_T_1537, _T_1535) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1539 = and(_T_1538, _T_1536) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1540 = or(_T_1530, _T_1539) @[el2_ifu_compress_ctl.scala 172:43] + node _T_1541 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1542 = eq(_T_1541, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1543 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1544 = eq(_T_1543, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1545 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1546 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1547 = eq(_T_1546, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1548 = and(_T_1542, _T_1544) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1549 = and(_T_1548, _T_1545) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1550 = and(_T_1549, _T_1547) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1551 = or(_T_1540, _T_1550) @[el2_ifu_compress_ctl.scala 172:67] + node _T_1552 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1553 = eq(_T_1552, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1554 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1555 = eq(_T_1554, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1556 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1557 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1558 = and(_T_1553, _T_1555) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1559 = and(_T_1558, _T_1556) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1560 = and(_T_1559, _T_1557) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1561 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 173:37] + node _T_1562 = eq(_T_1561, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 173:30] + node _T_1563 = and(_T_1560, _T_1562) @[el2_ifu_compress_ctl.scala 173:28] + node _T_1564 = or(_T_1551, _T_1563) @[el2_ifu_compress_ctl.scala 172:94] + node _T_1565 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1566 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1567 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1568 = eq(_T_1567, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1569 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1571 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1572 = and(_T_1565, _T_1566) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1573 = and(_T_1572, _T_1568) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1574 = and(_T_1573, _T_1570) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1575 = and(_T_1574, _T_1571) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1576 = or(_T_1564, _T_1575) @[el2_ifu_compress_ctl.scala 173:43] + node _T_1577 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1578 = eq(_T_1577, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1579 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1580 = eq(_T_1579, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1581 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1582 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1584 = and(_T_1578, _T_1580) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1585 = and(_T_1584, _T_1581) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1586 = and(_T_1585, _T_1583) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1587 = or(_T_1576, _T_1586) @[el2_ifu_compress_ctl.scala 173:71] + node _T_1588 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1589 = eq(_T_1588, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1590 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1592 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1593 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1594 = and(_T_1589, _T_1591) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1595 = and(_T_1594, _T_1592) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1596 = and(_T_1595, _T_1593) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1597 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 174:37] + node _T_1598 = eq(_T_1597, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 174:30] + node _T_1599 = and(_T_1596, _T_1598) @[el2_ifu_compress_ctl.scala 174:28] + node _T_1600 = or(_T_1587, _T_1599) @[el2_ifu_compress_ctl.scala 173:97] + node _T_1601 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1602 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1603 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1604 = eq(_T_1603, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1605 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1606 = and(_T_1601, _T_1602) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1607 = and(_T_1606, _T_1604) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1608 = and(_T_1607, _T_1605) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1609 = or(_T_1600, _T_1608) @[el2_ifu_compress_ctl.scala 174:43] + node _T_1610 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1611 = eq(_T_1610, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1612 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1613 = eq(_T_1612, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1614 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1615 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1616 = eq(_T_1615, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1617 = and(_T_1611, _T_1613) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1618 = and(_T_1617, _T_1614) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1619 = and(_T_1618, _T_1616) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1620 = or(_T_1609, _T_1619) @[el2_ifu_compress_ctl.scala 174:67] + node _T_1621 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1622 = eq(_T_1621, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1623 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1624 = eq(_T_1623, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1625 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1626 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1627 = and(_T_1622, _T_1624) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1628 = and(_T_1627, _T_1625) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1629 = and(_T_1628, _T_1626) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1630 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 175:37] + node _T_1631 = eq(_T_1630, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 175:30] + node _T_1632 = and(_T_1629, _T_1631) @[el2_ifu_compress_ctl.scala 175:28] + node _T_1633 = or(_T_1620, _T_1632) @[el2_ifu_compress_ctl.scala 174:93] + node _T_1634 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1635 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1636 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1637 = eq(_T_1636, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1638 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1639 = and(_T_1634, _T_1635) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1640 = and(_T_1639, _T_1637) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1641 = and(_T_1640, _T_1638) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1642 = or(_T_1633, _T_1641) @[el2_ifu_compress_ctl.scala 175:43] + node _T_1643 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1644 = eq(_T_1643, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1645 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1646 = eq(_T_1645, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1647 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1648 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1649 = and(_T_1644, _T_1646) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1650 = and(_T_1649, _T_1647) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1651 = and(_T_1650, _T_1648) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1652 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 175:100] + node _T_1653 = eq(_T_1652, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 175:93] + node _T_1654 = and(_T_1651, _T_1653) @[el2_ifu_compress_ctl.scala 175:91] + node _T_1655 = or(_T_1642, _T_1654) @[el2_ifu_compress_ctl.scala 175:66] + node _T_1656 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1657 = eq(_T_1656, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1658 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1659 = eq(_T_1658, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1660 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1661 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1662 = eq(_T_1661, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1663 = and(_T_1657, _T_1659) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1664 = and(_T_1663, _T_1660) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1665 = and(_T_1664, _T_1662) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1666 = or(_T_1655, _T_1665) @[el2_ifu_compress_ctl.scala 175:106] + node _T_1667 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1668 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1669 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1670 = eq(_T_1669, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1671 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1672 = and(_T_1667, _T_1668) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1673 = and(_T_1672, _T_1670) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1674 = and(_T_1673, _T_1671) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1675 = or(_T_1666, _T_1674) @[el2_ifu_compress_ctl.scala 176:29] + node _T_1676 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1677 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1678 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1679 = eq(_T_1678, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1680 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1681 = and(_T_1676, _T_1677) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1682 = and(_T_1681, _T_1679) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1683 = and(_T_1682, _T_1680) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1684 = or(_T_1675, _T_1683) @[el2_ifu_compress_ctl.scala 176:52] + node _T_1685 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1686 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1688 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1690 = and(_T_1685, _T_1687) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1691 = and(_T_1690, _T_1689) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1692 = or(_T_1684, _T_1691) @[el2_ifu_compress_ctl.scala 176:75] + node _T_1693 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1695 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1696 = eq(_T_1695, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1697 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1699 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1700 = and(_T_1694, _T_1696) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1701 = and(_T_1700, _T_1698) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1702 = and(_T_1701, _T_1699) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1703 = or(_T_1692, _T_1702) @[el2_ifu_compress_ctl.scala 176:98] + node _T_1704 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1705 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1706 = eq(_T_1705, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1707 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1708 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1709 = and(_T_1704, _T_1706) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1710 = and(_T_1709, _T_1707) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1711 = and(_T_1710, _T_1708) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1712 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 177:63] + node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 177:56] + node _T_1714 = and(_T_1711, _T_1713) @[el2_ifu_compress_ctl.scala 177:54] + node _T_1715 = or(_T_1703, _T_1714) @[el2_ifu_compress_ctl.scala 177:29] + node _T_1716 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1717 = eq(_T_1716, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1718 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1719 = eq(_T_1718, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1720 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1721 = eq(_T_1720, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1722 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1723 = and(_T_1717, _T_1719) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1724 = and(_T_1723, _T_1721) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1725 = and(_T_1724, _T_1722) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1726 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 177:105] + node _T_1727 = eq(_T_1726, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 177:98] + node _T_1728 = and(_T_1725, _T_1727) @[el2_ifu_compress_ctl.scala 177:96] + node _T_1729 = or(_T_1715, _T_1728) @[el2_ifu_compress_ctl.scala 177:69] + node _T_1730 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1731 = eq(_T_1730, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1732 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1733 = eq(_T_1732, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1734 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1735 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1736 = eq(_T_1735, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1737 = and(_T_1731, _T_1733) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1738 = and(_T_1737, _T_1734) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1739 = and(_T_1738, _T_1736) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1740 = or(_T_1729, _T_1739) @[el2_ifu_compress_ctl.scala 177:111] + node _T_1741 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 25:71] + node _T_1742 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 25:90] + node _T_1743 = eq(_T_1742, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 25:83] + node _T_1744 = and(_T_1741, _T_1743) @[el2_ifu_compress_ctl.scala 25:110] + node _T_1745 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 178:59] + node _T_1746 = eq(_T_1745, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 178:52] + node _T_1747 = and(_T_1744, _T_1746) @[el2_ifu_compress_ctl.scala 178:50] + node legal = or(_T_1740, _T_1747) @[el2_ifu_compress_ctl.scala 178:30] node _T_1748 = bits(legal, 0, 0) @[Bitwise.scala 72:15] node _T_1749 = mux(_T_1748, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_1750 = and(l3, _T_1749) @[el2_ifu_compress_ctl.scala 177:16] - io.dout <= _T_1750 @[el2_ifu_compress_ctl.scala 177:10] - io.l1 <= l1 @[el2_ifu_compress_ctl.scala 178:9] - io.l2 <= l2 @[el2_ifu_compress_ctl.scala 179:9] - io.l3 <= l3 @[el2_ifu_compress_ctl.scala 180:9] - io.legal <= legal @[el2_ifu_compress_ctl.scala 181:12] + node _T_1750 = and(l3, _T_1749) @[el2_ifu_compress_ctl.scala 180:16] + io.dout <= _T_1750 @[el2_ifu_compress_ctl.scala 180:10] + io.l1 <= l1 @[el2_ifu_compress_ctl.scala 181:9] + io.l2 <= l2 @[el2_ifu_compress_ctl.scala 182:9] + io.l3 <= l3 @[el2_ifu_compress_ctl.scala 183:9] + io.legal <= legal @[el2_ifu_compress_ctl.scala 184:12] node _T_1751 = cat(out[31], out[30]) @[Cat.scala 29:58] node _T_1752 = cat(_T_1751, out[29]) @[Cat.scala 29:58] node _T_1753 = cat(_T_1752, out[28]) @[Cat.scala 29:58] @@ -1897,9 +1897,11 @@ circuit el2_ifu_compress_ctl : node _T_1779 = cat(_T_1778, out[2]) @[Cat.scala 29:58] node _T_1780 = cat(_T_1779, out[1]) @[Cat.scala 29:58] node _T_1781 = cat(_T_1780, out[0]) @[Cat.scala 29:58] - io.o <= _T_1781 @[el2_ifu_compress_ctl.scala 182:8] - io.rdd <= rdd @[el2_ifu_compress_ctl.scala 183:10] - io.rdpd <= rdpd @[el2_ifu_compress_ctl.scala 184:11] - io.rs2d <= rs2d @[el2_ifu_compress_ctl.scala 185:11] - io.rs2pd <= rs2pd @[el2_ifu_compress_ctl.scala 186:12] + io.o <= _T_1781 @[el2_ifu_compress_ctl.scala 185:8] + io.rdd <= rdd @[el2_ifu_compress_ctl.scala 186:10] + io.rdpd <= rdpd @[el2_ifu_compress_ctl.scala 187:11] + io.rs2d <= rs2d @[el2_ifu_compress_ctl.scala 188:11] + io.rs2pd <= rs2pd @[el2_ifu_compress_ctl.scala 189:12] + io.sjaloffset11_1 <= sjaloffset11_1 @[el2_ifu_compress_ctl.scala 190:21] + io.sluimm17_12 <= sluimm17_12 @[el2_ifu_compress_ctl.scala 191:18] diff --git a/el2_ifu_compress_ctl.v b/el2_ifu_compress_ctl.v index 3700b37c..274f0081 100644 --- a/el2_ifu_compress_ctl.v +++ b/el2_ifu_compress_ctl.v @@ -11,317 +11,319 @@ module el2_ifu_compress_ctl( output [4:0] io_rdpd, output [4:0] io_rs2d, output [4:0] io_rs2pd, - output [31:0] io_o + output [31:0] io_o, + output io_sjaloffset11_1, + output io_sluimm17_12 ); - wire _T_2 = ~io_din[14]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_4 = ~io_din[13]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_7 = ~io_din[6]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_9 = ~io_din[5]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_11 = io_din[15] & _T_2; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_12 = _T_11 & _T_4; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_13 = _T_12 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_14 = _T_13 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_15 = _T_14 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_16 = _T_15 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_23 = ~io_din[11]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_28 = _T_12 & _T_23; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_29 = _T_28 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_30 = _T_29 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire out_30 = _T_16 | _T_30; // @[el2_ifu_compress_ctl.scala 26:53] - wire _T_38 = ~io_din[10]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_40 = ~io_din[9]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_42 = ~io_din[8]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_44 = ~io_din[7]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_50 = ~io_din[4]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_52 = ~io_din[3]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_54 = ~io_din[2]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_56 = _T_2 & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_57 = _T_56 & _T_23; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_58 = _T_57 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_59 = _T_58 & _T_40; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_60 = _T_59 & _T_42; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_61 = _T_60 & _T_44; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_62 = _T_61 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_63 = _T_62 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_64 = _T_63 & _T_50; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_65 = _T_64 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_66 = _T_65 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110] - wire out_20 = _T_66 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_79 = _T_28 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_90 = _T_12 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_91 = _T_90 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_92 = _T_79 | _T_91; // @[el2_ifu_compress_ctl.scala 28:46] - wire _T_102 = _T_12 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_103 = _T_102 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_104 = _T_92 | _T_103; // @[el2_ifu_compress_ctl.scala 28:80] - wire _T_114 = _T_12 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_115 = _T_114 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire out_14 = _T_104 | _T_115; // @[el2_ifu_compress_ctl.scala 28:113] - wire _T_128 = _T_12 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_129 = _T_128 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_130 = _T_129 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_142 = _T_128 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_143 = _T_142 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_144 = _T_130 | _T_143; // @[el2_ifu_compress_ctl.scala 30:50] - wire _T_147 = ~io_din[0]; // @[el2_ifu_compress_ctl.scala 30:101] - wire _T_148 = io_din[14] & _T_147; // @[el2_ifu_compress_ctl.scala 30:99] - wire out_13 = _T_144 | _T_148; // @[el2_ifu_compress_ctl.scala 30:86] - wire _T_161 = _T_102 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_162 = _T_161 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_175 = _T_162 | _T_79; // @[el2_ifu_compress_ctl.scala 31:47] - wire _T_188 = _T_175 | _T_91; // @[el2_ifu_compress_ctl.scala 31:81] - wire _T_190 = ~io_din[15]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_194 = _T_190 & _T_2; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_195 = _T_194 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_196 = _T_188 | _T_195; // @[el2_ifu_compress_ctl.scala 31:115] - wire _T_200 = io_din[15] & io_din[14]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_201 = _T_200 & io_din[13]; // @[el2_ifu_compress_ctl.scala 23:110] - wire out_12 = _T_196 | _T_201; // @[el2_ifu_compress_ctl.scala 32:26] - wire _T_217 = _T_11 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_218 = _T_217 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_219 = _T_218 & _T_50; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_220 = _T_219 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_221 = _T_220 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_224 = _T_221 & _T_147; // @[el2_ifu_compress_ctl.scala 33:53] - wire _T_228 = _T_2 & io_din[13]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_229 = _T_224 | _T_228; // @[el2_ifu_compress_ctl.scala 33:67] - wire _T_234 = _T_200 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire out_6 = _T_229 | _T_234; // @[el2_ifu_compress_ctl.scala 33:88] - wire _T_239 = io_din[15] & _T_147; // @[el2_ifu_compress_ctl.scala 35:24] - wire _T_243 = io_din[15] & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_244 = _T_243 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_245 = _T_239 | _T_244; // @[el2_ifu_compress_ctl.scala 35:39] - wire _T_249 = io_din[13] & _T_42; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_250 = _T_245 | _T_249; // @[el2_ifu_compress_ctl.scala 35:63] - wire _T_253 = io_din[13] & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_254 = _T_250 | _T_253; // @[el2_ifu_compress_ctl.scala 35:83] - wire _T_257 = io_din[13] & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_258 = _T_254 | _T_257; // @[el2_ifu_compress_ctl.scala 35:102] - wire _T_261 = io_din[13] & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_262 = _T_258 | _T_261; // @[el2_ifu_compress_ctl.scala 36:22] - wire _T_265 = io_din[13] & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_266 = _T_262 | _T_265; // @[el2_ifu_compress_ctl.scala 36:42] - wire _T_271 = _T_266 | _T_228; // @[el2_ifu_compress_ctl.scala 36:62] - wire out_5 = _T_271 | _T_200; // @[el2_ifu_compress_ctl.scala 36:83] - wire _T_288 = _T_2 & _T_23; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_289 = _T_288 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_290 = _T_289 & _T_40; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_291 = _T_290 & _T_42; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_292 = _T_291 & _T_44; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_295 = _T_292 & _T_147; // @[el2_ifu_compress_ctl.scala 39:50] - wire _T_303 = _T_194 & _T_147; // @[el2_ifu_compress_ctl.scala 39:87] - wire _T_304 = _T_295 | _T_303; // @[el2_ifu_compress_ctl.scala 39:65] - wire _T_308 = _T_2 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_311 = _T_308 & _T_147; // @[el2_ifu_compress_ctl.scala 40:23] - wire _T_312 = _T_304 | _T_311; // @[el2_ifu_compress_ctl.scala 39:102] - wire _T_317 = _T_190 & io_din[14]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_318 = _T_317 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_319 = _T_312 | _T_318; // @[el2_ifu_compress_ctl.scala 40:38] - wire _T_323 = _T_2 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_326 = _T_323 & _T_147; // @[el2_ifu_compress_ctl.scala 40:82] - wire _T_327 = _T_319 | _T_326; // @[el2_ifu_compress_ctl.scala 40:62] - wire _T_331 = _T_2 & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_334 = _T_331 & _T_147; // @[el2_ifu_compress_ctl.scala 41:23] - wire _T_335 = _T_327 | _T_334; // @[el2_ifu_compress_ctl.scala 40:97] - wire _T_339 = _T_2 & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_342 = _T_339 & _T_147; // @[el2_ifu_compress_ctl.scala 41:58] - wire _T_343 = _T_335 | _T_342; // @[el2_ifu_compress_ctl.scala 41:38] - wire _T_347 = _T_2 & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_350 = _T_347 & _T_147; // @[el2_ifu_compress_ctl.scala 41:93] - wire _T_351 = _T_343 | _T_350; // @[el2_ifu_compress_ctl.scala 41:73] - wire _T_357 = _T_2 & _T_4; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_358 = _T_357 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire out_4 = _T_351 | _T_358; // @[el2_ifu_compress_ctl.scala 41:108] - wire _T_380 = _T_56 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_381 = _T_380 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_382 = _T_381 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_383 = _T_382 & _T_50; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_384 = _T_383 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_385 = _T_384 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_386 = _T_385 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_403 = _T_56 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_404 = _T_403 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_405 = _T_404 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_406 = _T_405 & _T_50; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_407 = _T_406 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_408 = _T_407 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_409 = _T_408 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_410 = _T_386 | _T_409; // @[el2_ifu_compress_ctl.scala 48:59] - wire _T_427 = _T_56 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_428 = _T_427 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_429 = _T_428 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_430 = _T_429 & _T_50; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_431 = _T_430 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_432 = _T_431 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_433 = _T_432 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_434 = _T_410 | _T_433; // @[el2_ifu_compress_ctl.scala 49:59] - wire _T_451 = _T_56 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_452 = _T_451 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_453 = _T_452 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_454 = _T_453 & _T_50; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_455 = _T_454 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_456 = _T_455 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_457 = _T_456 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_458 = _T_434 | _T_457; // @[el2_ifu_compress_ctl.scala 50:58] - wire _T_475 = _T_56 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_476 = _T_475 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_477 = _T_476 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_478 = _T_477 & _T_50; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_479 = _T_478 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_480 = _T_479 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_481 = _T_480 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_482 = _T_458 | _T_481; // @[el2_ifu_compress_ctl.scala 51:55] - wire _T_487 = ~io_din[12]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_499 = _T_11 & _T_487; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_500 = _T_499 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_501 = _T_500 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_502 = _T_501 & _T_50; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_503 = _T_502 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_504 = _T_503 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_507 = _T_504 & _T_147; // @[el2_ifu_compress_ctl.scala 53:56] - wire _T_508 = _T_482 | _T_507; // @[el2_ifu_compress_ctl.scala 52:57] - wire _T_514 = _T_190 & io_din[13]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_515 = _T_514 & _T_42; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_516 = _T_508 | _T_515; // @[el2_ifu_compress_ctl.scala 53:71] - wire _T_522 = _T_514 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_523 = _T_516 | _T_522; // @[el2_ifu_compress_ctl.scala 54:34] - wire _T_529 = _T_514 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_530 = _T_523 | _T_529; // @[el2_ifu_compress_ctl.scala 55:33] - wire _T_536 = _T_514 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_537 = _T_530 | _T_536; // @[el2_ifu_compress_ctl.scala 56:33] - wire _T_543 = _T_514 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_544 = _T_537 | _T_543; // @[el2_ifu_compress_ctl.scala 57:34] - wire out_2 = _T_544 | _T_228; // @[el2_ifu_compress_ctl.scala 58:34] - wire [4:0] rs2d = io_din[6:2]; // @[el2_ifu_compress_ctl.scala 67:20] - wire [4:0] rdd = io_din[11:7]; // @[el2_ifu_compress_ctl.scala 68:19] + wire _T_2 = ~io_din[14]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_4 = ~io_din[13]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_7 = ~io_din[6]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_9 = ~io_din[5]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_11 = io_din[15] & _T_2; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_12 = _T_11 & _T_4; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_13 = _T_12 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_14 = _T_13 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_15 = _T_14 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_16 = _T_15 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_23 = ~io_din[11]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_28 = _T_12 & _T_23; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_29 = _T_28 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_30 = _T_29 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire out_30 = _T_16 | _T_30; // @[el2_ifu_compress_ctl.scala 28:53] + wire _T_38 = ~io_din[10]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_40 = ~io_din[9]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_42 = ~io_din[8]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_44 = ~io_din[7]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_50 = ~io_din[4]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_52 = ~io_din[3]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_54 = ~io_din[2]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_56 = _T_2 & io_din[12]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_57 = _T_56 & _T_23; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_58 = _T_57 & _T_38; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_59 = _T_58 & _T_40; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_60 = _T_59 & _T_42; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_61 = _T_60 & _T_44; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_62 = _T_61 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_63 = _T_62 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_64 = _T_63 & _T_50; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_65 = _T_64 & _T_52; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_66 = _T_65 & _T_54; // @[el2_ifu_compress_ctl.scala 25:110] + wire out_20 = _T_66 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_79 = _T_28 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_90 = _T_12 & _T_38; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_91 = _T_90 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_92 = _T_79 | _T_91; // @[el2_ifu_compress_ctl.scala 30:46] + wire _T_102 = _T_12 & io_din[6]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_103 = _T_102 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_104 = _T_92 | _T_103; // @[el2_ifu_compress_ctl.scala 30:80] + wire _T_114 = _T_12 & io_din[5]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_115 = _T_114 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire out_14 = _T_104 | _T_115; // @[el2_ifu_compress_ctl.scala 30:113] + wire _T_128 = _T_12 & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_129 = _T_128 & _T_38; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_130 = _T_129 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_142 = _T_128 & io_din[6]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_143 = _T_142 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_144 = _T_130 | _T_143; // @[el2_ifu_compress_ctl.scala 32:50] + wire _T_147 = ~io_din[0]; // @[el2_ifu_compress_ctl.scala 32:101] + wire _T_148 = io_din[14] & _T_147; // @[el2_ifu_compress_ctl.scala 32:99] + wire out_13 = _T_144 | _T_148; // @[el2_ifu_compress_ctl.scala 32:86] + wire _T_161 = _T_102 & io_din[5]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_162 = _T_161 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_175 = _T_162 | _T_79; // @[el2_ifu_compress_ctl.scala 33:47] + wire _T_188 = _T_175 | _T_91; // @[el2_ifu_compress_ctl.scala 33:81] + wire _T_190 = ~io_din[15]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_194 = _T_190 & _T_2; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_195 = _T_194 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_196 = _T_188 | _T_195; // @[el2_ifu_compress_ctl.scala 33:115] + wire _T_200 = io_din[15] & io_din[14]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_201 = _T_200 & io_din[13]; // @[el2_ifu_compress_ctl.scala 25:110] + wire out_12 = _T_196 | _T_201; // @[el2_ifu_compress_ctl.scala 34:26] + wire _T_217 = _T_11 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_218 = _T_217 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_219 = _T_218 & _T_50; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_220 = _T_219 & _T_52; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_221 = _T_220 & _T_54; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_224 = _T_221 & _T_147; // @[el2_ifu_compress_ctl.scala 35:53] + wire _T_228 = _T_2 & io_din[13]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_229 = _T_224 | _T_228; // @[el2_ifu_compress_ctl.scala 35:67] + wire _T_234 = _T_200 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire out_6 = _T_229 | _T_234; // @[el2_ifu_compress_ctl.scala 35:88] + wire _T_239 = io_din[15] & _T_147; // @[el2_ifu_compress_ctl.scala 37:24] + wire _T_243 = io_din[15] & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_244 = _T_243 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_245 = _T_239 | _T_244; // @[el2_ifu_compress_ctl.scala 37:39] + wire _T_249 = io_din[13] & _T_42; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_250 = _T_245 | _T_249; // @[el2_ifu_compress_ctl.scala 37:63] + wire _T_253 = io_din[13] & io_din[7]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_254 = _T_250 | _T_253; // @[el2_ifu_compress_ctl.scala 37:83] + wire _T_257 = io_din[13] & io_din[9]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_258 = _T_254 | _T_257; // @[el2_ifu_compress_ctl.scala 37:102] + wire _T_261 = io_din[13] & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_262 = _T_258 | _T_261; // @[el2_ifu_compress_ctl.scala 38:22] + wire _T_265 = io_din[13] & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_266 = _T_262 | _T_265; // @[el2_ifu_compress_ctl.scala 38:42] + wire _T_271 = _T_266 | _T_228; // @[el2_ifu_compress_ctl.scala 38:62] + wire out_5 = _T_271 | _T_200; // @[el2_ifu_compress_ctl.scala 38:83] + wire _T_288 = _T_2 & _T_23; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_289 = _T_288 & _T_38; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_290 = _T_289 & _T_40; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_291 = _T_290 & _T_42; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_292 = _T_291 & _T_44; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_295 = _T_292 & _T_147; // @[el2_ifu_compress_ctl.scala 41:50] + wire _T_303 = _T_194 & _T_147; // @[el2_ifu_compress_ctl.scala 41:87] + wire _T_304 = _T_295 | _T_303; // @[el2_ifu_compress_ctl.scala 41:65] + wire _T_308 = _T_2 & io_din[6]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_311 = _T_308 & _T_147; // @[el2_ifu_compress_ctl.scala 42:23] + wire _T_312 = _T_304 | _T_311; // @[el2_ifu_compress_ctl.scala 41:102] + wire _T_317 = _T_190 & io_din[14]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_318 = _T_317 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_319 = _T_312 | _T_318; // @[el2_ifu_compress_ctl.scala 42:38] + wire _T_323 = _T_2 & io_din[5]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_326 = _T_323 & _T_147; // @[el2_ifu_compress_ctl.scala 42:82] + wire _T_327 = _T_319 | _T_326; // @[el2_ifu_compress_ctl.scala 42:62] + wire _T_331 = _T_2 & io_din[4]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_334 = _T_331 & _T_147; // @[el2_ifu_compress_ctl.scala 43:23] + wire _T_335 = _T_327 | _T_334; // @[el2_ifu_compress_ctl.scala 42:97] + wire _T_339 = _T_2 & io_din[3]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_342 = _T_339 & _T_147; // @[el2_ifu_compress_ctl.scala 43:58] + wire _T_343 = _T_335 | _T_342; // @[el2_ifu_compress_ctl.scala 43:38] + wire _T_347 = _T_2 & io_din[2]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_350 = _T_347 & _T_147; // @[el2_ifu_compress_ctl.scala 43:93] + wire _T_351 = _T_343 | _T_350; // @[el2_ifu_compress_ctl.scala 43:73] + wire _T_357 = _T_2 & _T_4; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_358 = _T_357 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire out_4 = _T_351 | _T_358; // @[el2_ifu_compress_ctl.scala 43:108] + wire _T_380 = _T_56 & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_381 = _T_380 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_382 = _T_381 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_383 = _T_382 & _T_50; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_384 = _T_383 & _T_52; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_385 = _T_384 & _T_54; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_386 = _T_385 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_403 = _T_56 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_404 = _T_403 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_405 = _T_404 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_406 = _T_405 & _T_50; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_407 = _T_406 & _T_52; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_408 = _T_407 & _T_54; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_409 = _T_408 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_410 = _T_386 | _T_409; // @[el2_ifu_compress_ctl.scala 50:59] + wire _T_427 = _T_56 & io_din[9]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_428 = _T_427 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_429 = _T_428 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_430 = _T_429 & _T_50; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_431 = _T_430 & _T_52; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_432 = _T_431 & _T_54; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_433 = _T_432 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_434 = _T_410 | _T_433; // @[el2_ifu_compress_ctl.scala 51:59] + wire _T_451 = _T_56 & io_din[8]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_452 = _T_451 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_453 = _T_452 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_454 = _T_453 & _T_50; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_455 = _T_454 & _T_52; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_456 = _T_455 & _T_54; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_457 = _T_456 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_458 = _T_434 | _T_457; // @[el2_ifu_compress_ctl.scala 52:58] + wire _T_475 = _T_56 & io_din[7]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_476 = _T_475 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_477 = _T_476 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_478 = _T_477 & _T_50; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_479 = _T_478 & _T_52; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_480 = _T_479 & _T_54; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_481 = _T_480 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_482 = _T_458 | _T_481; // @[el2_ifu_compress_ctl.scala 53:55] + wire _T_487 = ~io_din[12]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_499 = _T_11 & _T_487; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_500 = _T_499 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_501 = _T_500 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_502 = _T_501 & _T_50; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_503 = _T_502 & _T_52; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_504 = _T_503 & _T_54; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_507 = _T_504 & _T_147; // @[el2_ifu_compress_ctl.scala 55:56] + wire _T_508 = _T_482 | _T_507; // @[el2_ifu_compress_ctl.scala 54:57] + wire _T_514 = _T_190 & io_din[13]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_515 = _T_514 & _T_42; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_516 = _T_508 | _T_515; // @[el2_ifu_compress_ctl.scala 55:71] + wire _T_522 = _T_514 & io_din[7]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_523 = _T_516 | _T_522; // @[el2_ifu_compress_ctl.scala 56:34] + wire _T_529 = _T_514 & io_din[9]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_530 = _T_523 | _T_529; // @[el2_ifu_compress_ctl.scala 57:33] + wire _T_536 = _T_514 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_537 = _T_530 | _T_536; // @[el2_ifu_compress_ctl.scala 58:33] + wire _T_543 = _T_514 & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_544 = _T_537 | _T_543; // @[el2_ifu_compress_ctl.scala 59:34] + wire out_2 = _T_544 | _T_228; // @[el2_ifu_compress_ctl.scala 60:34] + wire [4:0] rs2d = io_din[6:2]; // @[el2_ifu_compress_ctl.scala 69:20] + wire [4:0] rdd = io_din[11:7]; // @[el2_ifu_compress_ctl.scala 70:19] wire [4:0] rdpd = {2'h1,io_din[9:7]}; // @[Cat.scala 29:58] wire [4:0] rs2pd = {2'h1,io_din[4:2]}; // @[Cat.scala 29:58] - wire _T_557 = _T_308 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_564 = _T_317 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_565 = _T_564 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_566 = _T_557 | _T_565; // @[el2_ifu_compress_ctl.scala 72:33] - wire _T_572 = _T_323 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_573 = _T_566 | _T_572; // @[el2_ifu_compress_ctl.scala 72:58] - wire _T_580 = _T_317 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_581 = _T_580 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_582 = _T_573 | _T_581; // @[el2_ifu_compress_ctl.scala 72:79] - wire _T_588 = _T_331 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_589 = _T_582 | _T_588; // @[el2_ifu_compress_ctl.scala 72:104] - wire _T_596 = _T_317 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_597 = _T_596 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_598 = _T_589 | _T_597; // @[el2_ifu_compress_ctl.scala 73:24] - wire _T_604 = _T_339 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_605 = _T_598 | _T_604; // @[el2_ifu_compress_ctl.scala 73:48] - wire _T_613 = _T_317 & _T_42; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_614 = _T_613 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_615 = _T_605 | _T_614; // @[el2_ifu_compress_ctl.scala 73:69] - wire _T_621 = _T_347 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_622 = _T_615 | _T_621; // @[el2_ifu_compress_ctl.scala 73:94] - wire _T_629 = _T_317 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_630 = _T_629 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_631 = _T_622 | _T_630; // @[el2_ifu_compress_ctl.scala 74:22] - wire _T_635 = _T_190 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_636 = _T_631 | _T_635; // @[el2_ifu_compress_ctl.scala 74:46] - wire _T_642 = _T_190 & _T_4; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_643 = _T_642 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire rdrd = _T_636 | _T_643; // @[el2_ifu_compress_ctl.scala 74:65] - wire _T_651 = _T_380 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_659 = _T_403 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_660 = _T_651 | _T_659; // @[el2_ifu_compress_ctl.scala 76:38] - wire _T_668 = _T_427 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_669 = _T_660 | _T_668; // @[el2_ifu_compress_ctl.scala 77:28] - wire _T_677 = _T_451 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_678 = _T_669 | _T_677; // @[el2_ifu_compress_ctl.scala 78:27] - wire _T_686 = _T_475 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_687 = _T_678 | _T_686; // @[el2_ifu_compress_ctl.scala 79:27] - wire _T_703 = _T_2 & _T_487; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_704 = _T_703 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_705 = _T_704 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_706 = _T_705 & _T_50; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_707 = _T_706 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_708 = _T_707 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_709 = _T_708 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_710 = _T_687 | _T_709; // @[el2_ifu_compress_ctl.scala 80:27] - wire _T_717 = _T_56 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_718 = _T_717 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_719 = _T_710 | _T_718; // @[el2_ifu_compress_ctl.scala 81:41] - wire _T_726 = _T_56 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_727 = _T_726 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_728 = _T_719 | _T_727; // @[el2_ifu_compress_ctl.scala 82:27] - wire _T_735 = _T_56 & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_736 = _T_735 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_737 = _T_728 | _T_736; // @[el2_ifu_compress_ctl.scala 83:27] - wire _T_744 = _T_56 & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_745 = _T_744 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_746 = _T_737 | _T_745; // @[el2_ifu_compress_ctl.scala 84:27] - wire _T_753 = _T_56 & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_754 = _T_753 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_755 = _T_746 | _T_754; // @[el2_ifu_compress_ctl.scala 85:27] - wire _T_764 = _T_194 & _T_4; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_765 = _T_764 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_766 = _T_755 | _T_765; // @[el2_ifu_compress_ctl.scala 86:27] - wire rdrs1 = _T_766 | _T_195; // @[el2_ifu_compress_ctl.scala 87:30] - wire _T_777 = io_din[15] & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_778 = _T_777 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_782 = io_din[15] & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_783 = _T_782 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_784 = _T_778 | _T_783; // @[el2_ifu_compress_ctl.scala 90:34] - wire _T_788 = io_din[15] & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_789 = _T_788 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_790 = _T_784 | _T_789; // @[el2_ifu_compress_ctl.scala 90:54] - wire _T_794 = io_din[15] & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_795 = _T_794 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_796 = _T_790 | _T_795; // @[el2_ifu_compress_ctl.scala 90:74] - wire _T_800 = io_din[15] & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_801 = _T_800 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_802 = _T_796 | _T_801; // @[el2_ifu_compress_ctl.scala 90:94] - wire _T_807 = _T_200 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire rs2rs2 = _T_802 | _T_807; // @[el2_ifu_compress_ctl.scala 90:114] - wire rdprd = _T_12 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_820 = io_din[15] & _T_4; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_821 = _T_820 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_827 = _T_821 | _T_234; // @[el2_ifu_compress_ctl.scala 94:36] - wire _T_830 = ~io_din[1]; // @[el2_ifu_compress_ctl.scala 23:83] - wire _T_831 = io_din[14] & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_834 = _T_831 & _T_147; // @[el2_ifu_compress_ctl.scala 94:76] - wire rdprs1 = _T_827 | _T_834; // @[el2_ifu_compress_ctl.scala 94:57] - wire _T_846 = _T_128 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_847 = _T_846 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_851 = io_din[15] & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_854 = _T_851 & _T_147; // @[el2_ifu_compress_ctl.scala 96:66] - wire rs2prs2 = _T_847 | _T_854; // @[el2_ifu_compress_ctl.scala 96:47] - wire _T_859 = _T_190 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire rs2prd = _T_859 & _T_147; // @[el2_ifu_compress_ctl.scala 97:33] - wire _T_866 = _T_2 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire uimm9_2 = _T_866 & _T_147; // @[el2_ifu_compress_ctl.scala 98:34] - wire _T_875 = _T_317 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire ulwimm6_2 = _T_875 & _T_147; // @[el2_ifu_compress_ctl.scala 99:39] - wire ulwspimm7_2 = _T_317 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_897 = _T_317 & io_din[13]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_898 = _T_897 & _T_23; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_899 = _T_898 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_900 = _T_899 & _T_40; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_901 = _T_900 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110] - wire rdeq2 = _T_901 & _T_44; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1027 = _T_194 & io_din[13]; // @[el2_ifu_compress_ctl.scala 23:110] - wire rdeq1 = _T_482 | _T_1027; // @[el2_ifu_compress_ctl.scala 104:42] - wire _T_1050 = io_din[14] & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1051 = rdeq2 | _T_1050; // @[el2_ifu_compress_ctl.scala 105:53] - wire rs1eq2 = _T_1051 | uimm9_2; // @[el2_ifu_compress_ctl.scala 105:71] - wire _T_1092 = _T_357 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1093 = _T_1092 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1094 = _T_1093 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire simm5_0 = _T_1094 | _T_643; // @[el2_ifu_compress_ctl.scala 108:45] - wire _T_1112 = _T_897 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1121 = _T_897 & _T_42; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1122 = _T_1112 | _T_1121; // @[el2_ifu_compress_ctl.scala 110:44] - wire _T_1130 = _T_897 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1131 = _T_1122 | _T_1130; // @[el2_ifu_compress_ctl.scala 110:70] - wire _T_1139 = _T_897 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1140 = _T_1131 | _T_1139; // @[el2_ifu_compress_ctl.scala 110:95] - wire _T_1148 = _T_897 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire sluimm17_12 = _T_1140 | _T_1148; // @[el2_ifu_compress_ctl.scala 111:29] - wire uimm5_0 = _T_79 | _T_195; // @[el2_ifu_compress_ctl.scala 112:45] + wire _T_557 = _T_308 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_564 = _T_317 & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_565 = _T_564 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_566 = _T_557 | _T_565; // @[el2_ifu_compress_ctl.scala 74:33] + wire _T_572 = _T_323 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_573 = _T_566 | _T_572; // @[el2_ifu_compress_ctl.scala 74:58] + wire _T_580 = _T_317 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_581 = _T_580 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_582 = _T_573 | _T_581; // @[el2_ifu_compress_ctl.scala 74:79] + wire _T_588 = _T_331 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_589 = _T_582 | _T_588; // @[el2_ifu_compress_ctl.scala 74:104] + wire _T_596 = _T_317 & io_din[9]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_597 = _T_596 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_598 = _T_589 | _T_597; // @[el2_ifu_compress_ctl.scala 75:24] + wire _T_604 = _T_339 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_605 = _T_598 | _T_604; // @[el2_ifu_compress_ctl.scala 75:48] + wire _T_613 = _T_317 & _T_42; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_614 = _T_613 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_615 = _T_605 | _T_614; // @[el2_ifu_compress_ctl.scala 75:69] + wire _T_621 = _T_347 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_622 = _T_615 | _T_621; // @[el2_ifu_compress_ctl.scala 75:94] + wire _T_629 = _T_317 & io_din[7]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_630 = _T_629 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_631 = _T_622 | _T_630; // @[el2_ifu_compress_ctl.scala 76:22] + wire _T_635 = _T_190 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_636 = _T_631 | _T_635; // @[el2_ifu_compress_ctl.scala 76:46] + wire _T_642 = _T_190 & _T_4; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_643 = _T_642 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire rdrd = _T_636 | _T_643; // @[el2_ifu_compress_ctl.scala 76:65] + wire _T_651 = _T_380 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_659 = _T_403 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_660 = _T_651 | _T_659; // @[el2_ifu_compress_ctl.scala 78:38] + wire _T_668 = _T_427 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_669 = _T_660 | _T_668; // @[el2_ifu_compress_ctl.scala 79:28] + wire _T_677 = _T_451 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_678 = _T_669 | _T_677; // @[el2_ifu_compress_ctl.scala 80:27] + wire _T_686 = _T_475 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_687 = _T_678 | _T_686; // @[el2_ifu_compress_ctl.scala 81:27] + wire _T_703 = _T_2 & _T_487; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_704 = _T_703 & _T_7; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_705 = _T_704 & _T_9; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_706 = _T_705 & _T_50; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_707 = _T_706 & _T_52; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_708 = _T_707 & _T_54; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_709 = _T_708 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_710 = _T_687 | _T_709; // @[el2_ifu_compress_ctl.scala 82:27] + wire _T_717 = _T_56 & io_din[6]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_718 = _T_717 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_719 = _T_710 | _T_718; // @[el2_ifu_compress_ctl.scala 83:41] + wire _T_726 = _T_56 & io_din[5]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_727 = _T_726 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_728 = _T_719 | _T_727; // @[el2_ifu_compress_ctl.scala 84:27] + wire _T_735 = _T_56 & io_din[4]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_736 = _T_735 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_737 = _T_728 | _T_736; // @[el2_ifu_compress_ctl.scala 85:27] + wire _T_744 = _T_56 & io_din[3]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_745 = _T_744 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_746 = _T_737 | _T_745; // @[el2_ifu_compress_ctl.scala 86:27] + wire _T_753 = _T_56 & io_din[2]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_754 = _T_753 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_755 = _T_746 | _T_754; // @[el2_ifu_compress_ctl.scala 87:27] + wire _T_764 = _T_194 & _T_4; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_765 = _T_764 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_766 = _T_755 | _T_765; // @[el2_ifu_compress_ctl.scala 88:27] + wire rdrs1 = _T_766 | _T_195; // @[el2_ifu_compress_ctl.scala 89:30] + wire _T_777 = io_din[15] & io_din[6]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_778 = _T_777 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_782 = io_din[15] & io_din[5]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_783 = _T_782 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_784 = _T_778 | _T_783; // @[el2_ifu_compress_ctl.scala 92:34] + wire _T_788 = io_din[15] & io_din[4]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_789 = _T_788 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_790 = _T_784 | _T_789; // @[el2_ifu_compress_ctl.scala 92:54] + wire _T_794 = io_din[15] & io_din[3]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_795 = _T_794 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_796 = _T_790 | _T_795; // @[el2_ifu_compress_ctl.scala 92:74] + wire _T_800 = io_din[15] & io_din[2]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_801 = _T_800 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_802 = _T_796 | _T_801; // @[el2_ifu_compress_ctl.scala 92:94] + wire _T_807 = _T_200 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire rs2rs2 = _T_802 | _T_807; // @[el2_ifu_compress_ctl.scala 92:114] + wire rdprd = _T_12 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_820 = io_din[15] & _T_4; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_821 = _T_820 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_827 = _T_821 | _T_234; // @[el2_ifu_compress_ctl.scala 96:36] + wire _T_830 = ~io_din[1]; // @[el2_ifu_compress_ctl.scala 25:83] + wire _T_831 = io_din[14] & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_834 = _T_831 & _T_147; // @[el2_ifu_compress_ctl.scala 96:76] + wire rdprs1 = _T_827 | _T_834; // @[el2_ifu_compress_ctl.scala 96:57] + wire _T_846 = _T_128 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_847 = _T_846 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_851 = io_din[15] & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_854 = _T_851 & _T_147; // @[el2_ifu_compress_ctl.scala 98:66] + wire rs2prs2 = _T_847 | _T_854; // @[el2_ifu_compress_ctl.scala 98:47] + wire _T_859 = _T_190 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire rs2prd = _T_859 & _T_147; // @[el2_ifu_compress_ctl.scala 99:33] + wire _T_866 = _T_2 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire uimm9_2 = _T_866 & _T_147; // @[el2_ifu_compress_ctl.scala 100:34] + wire _T_875 = _T_317 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire ulwimm6_2 = _T_875 & _T_147; // @[el2_ifu_compress_ctl.scala 101:39] + wire ulwspimm7_2 = _T_317 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_897 = _T_317 & io_din[13]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_898 = _T_897 & _T_23; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_899 = _T_898 & _T_38; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_900 = _T_899 & _T_40; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_901 = _T_900 & io_din[8]; // @[el2_ifu_compress_ctl.scala 25:110] + wire rdeq2 = _T_901 & _T_44; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1027 = _T_194 & io_din[13]; // @[el2_ifu_compress_ctl.scala 25:110] + wire rdeq1 = _T_482 | _T_1027; // @[el2_ifu_compress_ctl.scala 106:42] + wire _T_1050 = io_din[14] & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1051 = rdeq2 | _T_1050; // @[el2_ifu_compress_ctl.scala 107:53] + wire rs1eq2 = _T_1051 | uimm9_2; // @[el2_ifu_compress_ctl.scala 107:71] + wire _T_1092 = _T_357 & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1093 = _T_1092 & _T_38; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1094 = _T_1093 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire simm5_0 = _T_1094 | _T_643; // @[el2_ifu_compress_ctl.scala 110:45] + wire _T_1112 = _T_897 & io_din[7]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1121 = _T_897 & _T_42; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1122 = _T_1112 | _T_1121; // @[el2_ifu_compress_ctl.scala 112:44] + wire _T_1130 = _T_897 & io_din[9]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1131 = _T_1122 | _T_1130; // @[el2_ifu_compress_ctl.scala 112:70] + wire _T_1139 = _T_897 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1140 = _T_1131 | _T_1139; // @[el2_ifu_compress_ctl.scala 112:95] + wire _T_1148 = _T_897 & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire sluimm17_12 = _T_1140 | _T_1148; // @[el2_ifu_compress_ctl.scala 113:29] + wire uimm5_0 = _T_79 | _T_195; // @[el2_ifu_compress_ctl.scala 114:45] wire [6:0] l1_6 = {out_6,out_5,out_4,_T_228,out_2,1'h1,1'h1}; // @[Cat.scala 29:58] wire [4:0] _T_1192 = rdrd ? rdd : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_1193 = rdprd ? rdpd : 5'h0; // @[Mux.scala 27:72] @@ -341,7 +343,7 @@ module el2_ifu_compress_ctl( wire [4:0] _T_1222 = rs2rs2 ? rs2d : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_1223 = rs2prs2 ? rs2pd : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_1224 = _T_1222 | _T_1223; // @[Mux.scala 27:72] - wire [4:0] l1_24 = _T_1219 | _T_1224; // @[el2_ifu_compress_ctl.scala 125:67] + wire [4:0] l1_24 = _T_1219 | _T_1224; // @[el2_ifu_compress_ctl.scala 127:67] wire [14:0] _T_1232 = {out_14,out_13,out_12,l1_11,l1_6}; // @[Cat.scala 29:58] wire [16:0] _T_1234 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19}; // @[Cat.scala 29:58] wire [31:0] l1 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19,_T_1232}; // @[Cat.scala 29:58] @@ -375,13 +377,13 @@ module el2_ifu_compress_ctl( wire [11:0] _T_1326 = _T_1325 | _T_1319; // @[Mux.scala 27:72] wire [11:0] _T_1327 = _T_1326 | _T_1320; // @[Mux.scala 27:72] wire [11:0] _T_1328 = _T_1327 | _T_1321; // @[Mux.scala 27:72] - wire [11:0] l2_31 = l1[31:20] | _T_1328; // @[el2_ifu_compress_ctl.scala 141:25] + wire [11:0] l2_31 = l1[31:20] | _T_1328; // @[el2_ifu_compress_ctl.scala 144:25] wire [8:0] _T_1335 = _T_228 ? sjald[19:11] : 9'h0; // @[Mux.scala 27:72] wire [7:0] _T_1336 = sluimm17_12 ? sluimmd[7:0] : 8'h0; // @[Mux.scala 27:72] wire [8:0] _GEN_0 = {{1'd0}, _T_1336}; // @[Mux.scala 27:72] wire [8:0] _T_1337 = _T_1335 | _GEN_0; // @[Mux.scala 27:72] - wire [8:0] _GEN_1 = {{1'd0}, l1[19:12]}; // @[el2_ifu_compress_ctl.scala 151:25] - wire [8:0] l2_19 = _GEN_1 | _T_1337; // @[el2_ifu_compress_ctl.scala 151:25] + wire [8:0] _GEN_1 = {{1'd0}, l1[19:12]}; // @[el2_ifu_compress_ctl.scala 154:25] + wire [8:0] l2_19 = _GEN_1 | _T_1337; // @[el2_ifu_compress_ctl.scala 154:25] wire [32:0] l2 = {l2_31,l2_19,l1[11:0]}; // @[Cat.scala 29:58] wire [8:0] sbr8d = {io_din[12],io_din[6],io_din[5],io_din[2],io_din[11],io_din[10],io_din[4],io_din[3],1'h0}; // @[Cat.scala 29:58] wire [6:0] uswimm6d = {io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] @@ -395,144 +397,146 @@ module el2_ifu_compress_ctl( wire [6:0] _T_1379 = _T_807 ? _T_1376 : 7'h0; // @[Mux.scala 27:72] wire [6:0] _T_1380 = _T_1377 | _T_1378; // @[Mux.scala 27:72] wire [6:0] _T_1381 = _T_1380 | _T_1379; // @[Mux.scala 27:72] - wire [6:0] l3_31 = l2[31:25] | _T_1381; // @[el2_ifu_compress_ctl.scala 157:25] - wire [12:0] l3_24 = l2[24:12]; // @[el2_ifu_compress_ctl.scala 160:17] + wire [6:0] l3_31 = l2[31:25] | _T_1381; // @[el2_ifu_compress_ctl.scala 160:25] + wire [12:0] l3_24 = l2[24:12]; // @[el2_ifu_compress_ctl.scala 163:17] wire [4:0] _T_1387 = {sbr8d[4:1],sbr8d[8]}; // @[Cat.scala 29:58] wire [4:0] _T_1392 = _T_234 ? _T_1387 : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_1393 = _T_854 ? uswimm6d[4:0] : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_1394 = _T_807 ? uswspimm7d[4:0] : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_1395 = _T_1392 | _T_1393; // @[Mux.scala 27:72] wire [4:0] _T_1396 = _T_1395 | _T_1394; // @[Mux.scala 27:72] - wire [4:0] l3_11 = l2[11:7] | _T_1396; // @[el2_ifu_compress_ctl.scala 161:24] + wire [4:0] l3_11 = l2[11:7] | _T_1396; // @[el2_ifu_compress_ctl.scala 164:24] wire [11:0] _T_1399 = {l3_11,l2[6:0]}; // @[Cat.scala 29:58] wire [19:0] _T_1400 = {l3_31,l3_24}; // @[Cat.scala 29:58] wire [31:0] l3 = {l3_31,l3_24,l3_11,l2[6:0]}; // @[Cat.scala 29:58] - wire _T_1407 = _T_4 & _T_487; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1408 = _T_1407 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1409 = _T_1408 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1412 = _T_1409 & _T_147; // @[el2_ifu_compress_ctl.scala 166:39] - wire _T_1420 = _T_1407 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1421 = _T_1420 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1424 = _T_1421 & _T_147; // @[el2_ifu_compress_ctl.scala 166:79] - wire _T_1425 = _T_1412 | _T_1424; // @[el2_ifu_compress_ctl.scala 166:54] - wire _T_1434 = _T_642 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1435 = _T_1434 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1436 = _T_1425 | _T_1435; // @[el2_ifu_compress_ctl.scala 166:94] - wire _T_1444 = _T_1407 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1445 = _T_1444 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1448 = _T_1445 & _T_147; // @[el2_ifu_compress_ctl.scala 167:55] - wire _T_1449 = _T_1436 | _T_1448; // @[el2_ifu_compress_ctl.scala 167:30] - wire _T_1457 = _T_1407 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1458 = _T_1457 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1461 = _T_1458 & _T_147; // @[el2_ifu_compress_ctl.scala 167:96] - wire _T_1462 = _T_1449 | _T_1461; // @[el2_ifu_compress_ctl.scala 167:70] - wire _T_1471 = _T_642 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1472 = _T_1471 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1473 = _T_1462 | _T_1472; // @[el2_ifu_compress_ctl.scala 167:111] - wire _T_1480 = io_din[15] & _T_487; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1481 = _T_1480 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1482 = _T_1481 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1483 = _T_1473 | _T_1482; // @[el2_ifu_compress_ctl.scala 168:29] - wire _T_1491 = _T_1407 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1492 = _T_1491 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1495 = _T_1492 & _T_147; // @[el2_ifu_compress_ctl.scala 168:79] - wire _T_1496 = _T_1483 | _T_1495; // @[el2_ifu_compress_ctl.scala 168:54] - wire _T_1503 = _T_487 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1504 = _T_1503 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1505 = _T_1504 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1506 = _T_1496 | _T_1505; // @[el2_ifu_compress_ctl.scala 168:94] - wire _T_1515 = _T_642 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1516 = _T_1515 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1517 = _T_1506 | _T_1516; // @[el2_ifu_compress_ctl.scala 168:118] - wire _T_1525 = _T_1407 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1526 = _T_1525 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1529 = _T_1526 & _T_147; // @[el2_ifu_compress_ctl.scala 169:28] - wire _T_1530 = _T_1517 | _T_1529; // @[el2_ifu_compress_ctl.scala 168:144] - wire _T_1537 = _T_487 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1538 = _T_1537 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1539 = _T_1538 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1540 = _T_1530 | _T_1539; // @[el2_ifu_compress_ctl.scala 169:43] - wire _T_1549 = _T_642 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1550 = _T_1549 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1551 = _T_1540 | _T_1550; // @[el2_ifu_compress_ctl.scala 169:67] - wire _T_1559 = _T_1407 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1560 = _T_1559 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1563 = _T_1560 & _T_147; // @[el2_ifu_compress_ctl.scala 170:28] - wire _T_1564 = _T_1551 | _T_1563; // @[el2_ifu_compress_ctl.scala 169:94] - wire _T_1572 = io_din[12] & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1573 = _T_1572 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1574 = _T_1573 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1575 = _T_1574 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1576 = _T_1564 | _T_1575; // @[el2_ifu_compress_ctl.scala 170:43] - wire _T_1585 = _T_642 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1586 = _T_1585 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1587 = _T_1576 | _T_1586; // @[el2_ifu_compress_ctl.scala 170:71] - wire _T_1595 = _T_1407 & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1596 = _T_1595 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1599 = _T_1596 & _T_147; // @[el2_ifu_compress_ctl.scala 171:28] - wire _T_1600 = _T_1587 | _T_1599; // @[el2_ifu_compress_ctl.scala 170:97] - wire _T_1606 = io_din[13] & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1607 = _T_1606 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1608 = _T_1607 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1609 = _T_1600 | _T_1608; // @[el2_ifu_compress_ctl.scala 171:43] - wire _T_1618 = _T_642 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1619 = _T_1618 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1620 = _T_1609 | _T_1619; // @[el2_ifu_compress_ctl.scala 171:67] - wire _T_1628 = _T_1407 & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1629 = _T_1628 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1632 = _T_1629 & _T_147; // @[el2_ifu_compress_ctl.scala 172:28] - wire _T_1633 = _T_1620 | _T_1632; // @[el2_ifu_compress_ctl.scala 171:93] - wire _T_1639 = io_din[13] & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1640 = _T_1639 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1641 = _T_1640 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1642 = _T_1633 | _T_1641; // @[el2_ifu_compress_ctl.scala 172:43] - wire _T_1650 = _T_1407 & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1651 = _T_1650 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1654 = _T_1651 & _T_147; // @[el2_ifu_compress_ctl.scala 172:91] - wire _T_1655 = _T_1642 | _T_1654; // @[el2_ifu_compress_ctl.scala 172:66] - wire _T_1664 = _T_642 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1665 = _T_1664 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1666 = _T_1655 | _T_1665; // @[el2_ifu_compress_ctl.scala 172:106] - wire _T_1672 = io_din[13] & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1673 = _T_1672 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1674 = _T_1673 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1675 = _T_1666 | _T_1674; // @[el2_ifu_compress_ctl.scala 173:29] - wire _T_1681 = io_din[13] & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1682 = _T_1681 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1683 = _T_1682 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1684 = _T_1675 | _T_1683; // @[el2_ifu_compress_ctl.scala 173:52] - wire _T_1690 = io_din[14] & _T_4; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1691 = _T_1690 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1692 = _T_1684 | _T_1691; // @[el2_ifu_compress_ctl.scala 173:75] - wire _T_1701 = _T_703 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1702 = _T_1701 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1703 = _T_1692 | _T_1702; // @[el2_ifu_compress_ctl.scala 173:98] - wire _T_1710 = _T_820 & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1711 = _T_1710 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1714 = _T_1711 & _T_147; // @[el2_ifu_compress_ctl.scala 174:54] - wire _T_1715 = _T_1703 | _T_1714; // @[el2_ifu_compress_ctl.scala 174:29] - wire _T_1724 = _T_642 & _T_487; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1725 = _T_1724 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1728 = _T_1725 & _T_147; // @[el2_ifu_compress_ctl.scala 174:96] - wire _T_1729 = _T_1715 | _T_1728; // @[el2_ifu_compress_ctl.scala 174:69] - wire _T_1738 = _T_642 & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1739 = _T_1738 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110] - wire _T_1740 = _T_1729 | _T_1739; // @[el2_ifu_compress_ctl.scala 174:111] - wire _T_1747 = _T_1690 & _T_147; // @[el2_ifu_compress_ctl.scala 175:50] - wire legal = _T_1740 | _T_1747; // @[el2_ifu_compress_ctl.scala 175:30] + wire _T_1407 = _T_4 & _T_487; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1408 = _T_1407 & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1409 = _T_1408 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1412 = _T_1409 & _T_147; // @[el2_ifu_compress_ctl.scala 169:39] + wire _T_1420 = _T_1407 & io_din[6]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1421 = _T_1420 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1424 = _T_1421 & _T_147; // @[el2_ifu_compress_ctl.scala 169:79] + wire _T_1425 = _T_1412 | _T_1424; // @[el2_ifu_compress_ctl.scala 169:54] + wire _T_1434 = _T_642 & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1435 = _T_1434 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1436 = _T_1425 | _T_1435; // @[el2_ifu_compress_ctl.scala 169:94] + wire _T_1444 = _T_1407 & io_din[5]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1445 = _T_1444 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1448 = _T_1445 & _T_147; // @[el2_ifu_compress_ctl.scala 170:55] + wire _T_1449 = _T_1436 | _T_1448; // @[el2_ifu_compress_ctl.scala 170:30] + wire _T_1457 = _T_1407 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1458 = _T_1457 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1461 = _T_1458 & _T_147; // @[el2_ifu_compress_ctl.scala 170:96] + wire _T_1462 = _T_1449 | _T_1461; // @[el2_ifu_compress_ctl.scala 170:70] + wire _T_1471 = _T_642 & io_din[6]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1472 = _T_1471 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1473 = _T_1462 | _T_1472; // @[el2_ifu_compress_ctl.scala 170:111] + wire _T_1480 = io_din[15] & _T_487; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1481 = _T_1480 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1482 = _T_1481 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1483 = _T_1473 | _T_1482; // @[el2_ifu_compress_ctl.scala 171:29] + wire _T_1491 = _T_1407 & io_din[9]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1492 = _T_1491 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1495 = _T_1492 & _T_147; // @[el2_ifu_compress_ctl.scala 171:79] + wire _T_1496 = _T_1483 | _T_1495; // @[el2_ifu_compress_ctl.scala 171:54] + wire _T_1503 = _T_487 & io_din[6]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1504 = _T_1503 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1505 = _T_1504 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1506 = _T_1496 | _T_1505; // @[el2_ifu_compress_ctl.scala 171:94] + wire _T_1515 = _T_642 & io_din[5]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1516 = _T_1515 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1517 = _T_1506 | _T_1516; // @[el2_ifu_compress_ctl.scala 171:118] + wire _T_1525 = _T_1407 & io_din[8]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1526 = _T_1525 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1529 = _T_1526 & _T_147; // @[el2_ifu_compress_ctl.scala 172:28] + wire _T_1530 = _T_1517 | _T_1529; // @[el2_ifu_compress_ctl.scala 171:144] + wire _T_1537 = _T_487 & io_din[5]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1538 = _T_1537 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1539 = _T_1538 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1540 = _T_1530 | _T_1539; // @[el2_ifu_compress_ctl.scala 172:43] + wire _T_1549 = _T_642 & io_din[10]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1550 = _T_1549 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1551 = _T_1540 | _T_1550; // @[el2_ifu_compress_ctl.scala 172:67] + wire _T_1559 = _T_1407 & io_din[7]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1560 = _T_1559 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1563 = _T_1560 & _T_147; // @[el2_ifu_compress_ctl.scala 173:28] + wire _T_1564 = _T_1551 | _T_1563; // @[el2_ifu_compress_ctl.scala 172:94] + wire _T_1572 = io_din[12] & io_din[11]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1573 = _T_1572 & _T_38; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1574 = _T_1573 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1575 = _T_1574 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1576 = _T_1564 | _T_1575; // @[el2_ifu_compress_ctl.scala 173:43] + wire _T_1585 = _T_642 & io_din[9]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1586 = _T_1585 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1587 = _T_1576 | _T_1586; // @[el2_ifu_compress_ctl.scala 173:71] + wire _T_1595 = _T_1407 & io_din[4]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1596 = _T_1595 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1599 = _T_1596 & _T_147; // @[el2_ifu_compress_ctl.scala 174:28] + wire _T_1600 = _T_1587 | _T_1599; // @[el2_ifu_compress_ctl.scala 173:97] + wire _T_1606 = io_din[13] & io_din[12]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1607 = _T_1606 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1608 = _T_1607 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1609 = _T_1600 | _T_1608; // @[el2_ifu_compress_ctl.scala 174:43] + wire _T_1618 = _T_642 & io_din[8]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1619 = _T_1618 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1620 = _T_1609 | _T_1619; // @[el2_ifu_compress_ctl.scala 174:67] + wire _T_1628 = _T_1407 & io_din[3]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1629 = _T_1628 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1632 = _T_1629 & _T_147; // @[el2_ifu_compress_ctl.scala 175:28] + wire _T_1633 = _T_1620 | _T_1632; // @[el2_ifu_compress_ctl.scala 174:93] + wire _T_1639 = io_din[13] & io_din[4]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1640 = _T_1639 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1641 = _T_1640 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1642 = _T_1633 | _T_1641; // @[el2_ifu_compress_ctl.scala 175:43] + wire _T_1650 = _T_1407 & io_din[2]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1651 = _T_1650 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1654 = _T_1651 & _T_147; // @[el2_ifu_compress_ctl.scala 175:91] + wire _T_1655 = _T_1642 | _T_1654; // @[el2_ifu_compress_ctl.scala 175:66] + wire _T_1664 = _T_642 & io_din[7]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1665 = _T_1664 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1666 = _T_1655 | _T_1665; // @[el2_ifu_compress_ctl.scala 175:106] + wire _T_1672 = io_din[13] & io_din[3]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1673 = _T_1672 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1674 = _T_1673 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1675 = _T_1666 | _T_1674; // @[el2_ifu_compress_ctl.scala 176:29] + wire _T_1681 = io_din[13] & io_din[2]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1682 = _T_1681 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1683 = _T_1682 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1684 = _T_1675 | _T_1683; // @[el2_ifu_compress_ctl.scala 176:52] + wire _T_1690 = io_din[14] & _T_4; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1691 = _T_1690 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1692 = _T_1684 | _T_1691; // @[el2_ifu_compress_ctl.scala 176:75] + wire _T_1701 = _T_703 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1702 = _T_1701 & io_din[0]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1703 = _T_1692 | _T_1702; // @[el2_ifu_compress_ctl.scala 176:98] + wire _T_1710 = _T_820 & io_din[12]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1711 = _T_1710 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1714 = _T_1711 & _T_147; // @[el2_ifu_compress_ctl.scala 177:54] + wire _T_1715 = _T_1703 | _T_1714; // @[el2_ifu_compress_ctl.scala 177:29] + wire _T_1724 = _T_642 & _T_487; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1725 = _T_1724 & io_din[1]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1728 = _T_1725 & _T_147; // @[el2_ifu_compress_ctl.scala 177:96] + wire _T_1729 = _T_1715 | _T_1728; // @[el2_ifu_compress_ctl.scala 177:69] + wire _T_1738 = _T_642 & io_din[12]; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1739 = _T_1738 & _T_830; // @[el2_ifu_compress_ctl.scala 25:110] + wire _T_1740 = _T_1729 | _T_1739; // @[el2_ifu_compress_ctl.scala 177:111] + wire _T_1747 = _T_1690 & _T_147; // @[el2_ifu_compress_ctl.scala 178:50] + wire legal = _T_1740 | _T_1747; // @[el2_ifu_compress_ctl.scala 178:30] wire [31:0] _T_1749 = legal ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] wire [9:0] _T_1759 = {1'h0,out_30,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0}; // @[Cat.scala 29:58] wire [18:0] _T_1768 = {_T_1759,1'h0,out_20,1'h0,1'h0,1'h0,1'h0,1'h0,out_14,out_13}; // @[Cat.scala 29:58] wire [27:0] _T_1777 = {_T_1768,out_12,1'h0,1'h0,1'h0,1'h0,1'h0,out_6,out_5,out_4}; // @[Cat.scala 29:58] wire [30:0] _T_1780 = {_T_1777,_T_228,out_2,1'h1}; // @[Cat.scala 29:58] - assign io_dout = l3 & _T_1749; // @[el2_ifu_compress_ctl.scala 177:10] - assign io_l1 = {_T_1234,_T_1232}; // @[el2_ifu_compress_ctl.scala 178:9] - assign io_l2 = l2[31:0]; // @[el2_ifu_compress_ctl.scala 179:9] - assign io_l3 = {_T_1400,_T_1399}; // @[el2_ifu_compress_ctl.scala 180:9] - assign io_legal = _T_1740 | _T_1747; // @[el2_ifu_compress_ctl.scala 181:12] - assign io_rdd = io_din[11:7]; // @[el2_ifu_compress_ctl.scala 183:10] - assign io_rdpd = {2'h1,io_din[9:7]}; // @[el2_ifu_compress_ctl.scala 184:11] - assign io_rs2d = io_din[6:2]; // @[el2_ifu_compress_ctl.scala 185:11] - assign io_rs2pd = {2'h1,io_din[4:2]}; // @[el2_ifu_compress_ctl.scala 186:12] - assign io_o = {_T_1780,1'h1}; // @[el2_ifu_compress_ctl.scala 182:8] + assign io_dout = l3 & _T_1749; // @[el2_ifu_compress_ctl.scala 180:10] + assign io_l1 = {_T_1234,_T_1232}; // @[el2_ifu_compress_ctl.scala 181:9] + assign io_l2 = l2[31:0]; // @[el2_ifu_compress_ctl.scala 182:9] + assign io_l3 = {_T_1400,_T_1399}; // @[el2_ifu_compress_ctl.scala 183:9] + assign io_legal = _T_1740 | _T_1747; // @[el2_ifu_compress_ctl.scala 184:12] + assign io_rdd = io_din[11:7]; // @[el2_ifu_compress_ctl.scala 186:10] + assign io_rdpd = {2'h1,io_din[9:7]}; // @[el2_ifu_compress_ctl.scala 187:11] + assign io_rs2d = io_din[6:2]; // @[el2_ifu_compress_ctl.scala 188:11] + assign io_rs2pd = {2'h1,io_din[4:2]}; // @[el2_ifu_compress_ctl.scala 189:12] + assign io_o = {_T_1780,1'h1}; // @[el2_ifu_compress_ctl.scala 185:8] + assign io_sjaloffset11_1 = _T_2 & io_din[13]; // @[el2_ifu_compress_ctl.scala 190:21] + assign io_sluimm17_12 = _T_1140 | _T_1148; // @[el2_ifu_compress_ctl.scala 191:18] endmodule diff --git a/src/main/scala/ifu/el2_ifu_compress_ctl.scala b/src/main/scala/ifu/el2_ifu_compress_ctl.scala index 63e3d67b..0ed13255 100644 --- a/src/main/scala/ifu/el2_ifu_compress_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_compress_ctl.scala @@ -16,6 +16,8 @@ class el2_ifu_compress_ctl extends Module { val rs2d = Output(UInt(5.W)) val rs2pd = Output(UInt(5.W)) val o = Output(UInt(32.W)) + val sjaloffset11_1 = Output(Bool()) + val sluimm17_12 = Output(Bool()) }) //io.dout := (0 until 32).map(i=> 0.U.asBool) @@ -138,6 +140,7 @@ class el2_ifu_compress_ctl extends Module { val sjald_12 = Fill(9, io.din(12)) val sjald = Cat(sjald_12,sjald_1) val sluimmd = Cat(Fill(15, io.din(12)), io.din(6,2)) + val l2_31 = l1(31,20) | Mux1H(Seq(simm5_0.asBool->Cat(Fill(7, simm5d(5)),simm5d(4,0)), uimm9_2.asBool->Cat(0.U(2.W),uimm9d,0.U(2.W)), @@ -184,6 +187,8 @@ class el2_ifu_compress_ctl extends Module { io.rdpd := rdpd io.rs2d := rs2d io.rs2pd := rs2pd + io.sjaloffset11_1 := sjaloffset11_1 + io.sluimm17_12 := sluimm17_12 } /* class ExpandedInstruction extends Bundle { diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class index e4e55309..0710b14c 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class index f3e7d888..d92c3c7d 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_compress$.class b/target/scala-2.12/classes/ifu/ifu_compress$.class index 5c8baecd..f3734830 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_compress$.class and b/target/scala-2.12/classes/ifu/ifu_compress$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_compress$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_compress$delayedInit$body.class index 3dbac9cc..1f21c62d 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_compress$delayedInit$body.class and b/target/scala-2.12/classes/ifu/ifu_compress$delayedInit$body.class differ