Update el2_ifu_bp_ctl.scala

This commit is contained in:
Sarmad-paracha 2020-09-25 21:02:06 +05:00 committed by GitHub
parent 190229bf7f
commit 45d3f79e82
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 2 additions and 2 deletions

View File

@ -317,8 +317,8 @@ class el2_ifu_bp_ctl extends Module with el2_lib {
}
/*
object ifu_bp extends App {
println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_bp_ctl()))
}
}*/