diff --git a/lsu.fir b/lsu.fir index e7075909..816313e5 100644 --- a/lsu.fir +++ b/lsu.fir @@ -6709,905 +6709,909 @@ circuit lsu : node _T_301 = cat(_T_300, _T_297) @[lib.scala 89:14] node _T_302 = cat(_T_301, _T_294) @[lib.scala 89:14] node _T_303 = cat(_T_302, _T_287) @[lib.scala 89:14] - node _T_304 = and(_T_46, _T_303) @[lsu_trigger.scala 19:92] - node _T_305 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] - node _T_306 = and(io.lsu_pkt_m.valid, _T_305) @[lsu_trigger.scala 18:69] - node _T_307 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] - node _T_308 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] - node _T_309 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] - node _T_310 = and(_T_308, _T_309) @[lsu_trigger.scala 19:58] - node _T_311 = or(_T_307, _T_310) @[lsu_trigger.scala 18:152] - node _T_312 = and(_T_306, _T_311) @[lsu_trigger.scala 18:94] - node _T_313 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] - wire _T_314 : UInt<1>[32] @[lib.scala 84:24] - node _T_315 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 85:45] - node _T_316 = not(_T_315) @[lib.scala 85:39] - node _T_317 = and(_T_313, _T_316) @[lib.scala 85:37] - node _T_318 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 86:48] - node _T_319 = bits(lsu_match_data_1, 0, 0) @[lib.scala 86:60] - node _T_320 = eq(_T_318, _T_319) @[lib.scala 86:52] - node _T_321 = or(_T_317, _T_320) @[lib.scala 86:41] - _T_314[0] <= _T_321 @[lib.scala 86:18] - node _T_322 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 88:28] - node _T_323 = andr(_T_322) @[lib.scala 88:36] - node _T_324 = and(_T_323, _T_317) @[lib.scala 88:41] - node _T_325 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 88:74] - node _T_326 = bits(lsu_match_data_1, 1, 1) @[lib.scala 88:86] - node _T_327 = eq(_T_325, _T_326) @[lib.scala 88:78] - node _T_328 = mux(_T_324, UInt<1>("h01"), _T_327) @[lib.scala 88:23] - _T_314[1] <= _T_328 @[lib.scala 88:17] - node _T_329 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 88:28] - node _T_330 = andr(_T_329) @[lib.scala 88:36] - node _T_331 = and(_T_330, _T_317) @[lib.scala 88:41] - node _T_332 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 88:74] - node _T_333 = bits(lsu_match_data_1, 2, 2) @[lib.scala 88:86] - node _T_334 = eq(_T_332, _T_333) @[lib.scala 88:78] - node _T_335 = mux(_T_331, UInt<1>("h01"), _T_334) @[lib.scala 88:23] - _T_314[2] <= _T_335 @[lib.scala 88:17] - node _T_336 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 88:28] - node _T_337 = andr(_T_336) @[lib.scala 88:36] - node _T_338 = and(_T_337, _T_317) @[lib.scala 88:41] - node _T_339 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 88:74] - node _T_340 = bits(lsu_match_data_1, 3, 3) @[lib.scala 88:86] - node _T_341 = eq(_T_339, _T_340) @[lib.scala 88:78] - node _T_342 = mux(_T_338, UInt<1>("h01"), _T_341) @[lib.scala 88:23] - _T_314[3] <= _T_342 @[lib.scala 88:17] - node _T_343 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 88:28] - node _T_344 = andr(_T_343) @[lib.scala 88:36] - node _T_345 = and(_T_344, _T_317) @[lib.scala 88:41] - node _T_346 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 88:74] - node _T_347 = bits(lsu_match_data_1, 4, 4) @[lib.scala 88:86] - node _T_348 = eq(_T_346, _T_347) @[lib.scala 88:78] - node _T_349 = mux(_T_345, UInt<1>("h01"), _T_348) @[lib.scala 88:23] - _T_314[4] <= _T_349 @[lib.scala 88:17] - node _T_350 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 88:28] - node _T_351 = andr(_T_350) @[lib.scala 88:36] - node _T_352 = and(_T_351, _T_317) @[lib.scala 88:41] - node _T_353 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 88:74] - node _T_354 = bits(lsu_match_data_1, 5, 5) @[lib.scala 88:86] - node _T_355 = eq(_T_353, _T_354) @[lib.scala 88:78] - node _T_356 = mux(_T_352, UInt<1>("h01"), _T_355) @[lib.scala 88:23] - _T_314[5] <= _T_356 @[lib.scala 88:17] - node _T_357 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 88:28] - node _T_358 = andr(_T_357) @[lib.scala 88:36] - node _T_359 = and(_T_358, _T_317) @[lib.scala 88:41] - node _T_360 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 88:74] - node _T_361 = bits(lsu_match_data_1, 6, 6) @[lib.scala 88:86] - node _T_362 = eq(_T_360, _T_361) @[lib.scala 88:78] - node _T_363 = mux(_T_359, UInt<1>("h01"), _T_362) @[lib.scala 88:23] - _T_314[6] <= _T_363 @[lib.scala 88:17] - node _T_364 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 88:28] - node _T_365 = andr(_T_364) @[lib.scala 88:36] - node _T_366 = and(_T_365, _T_317) @[lib.scala 88:41] - node _T_367 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 88:74] - node _T_368 = bits(lsu_match_data_1, 7, 7) @[lib.scala 88:86] - node _T_369 = eq(_T_367, _T_368) @[lib.scala 88:78] - node _T_370 = mux(_T_366, UInt<1>("h01"), _T_369) @[lib.scala 88:23] - _T_314[7] <= _T_370 @[lib.scala 88:17] - node _T_371 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 88:28] - node _T_372 = andr(_T_371) @[lib.scala 88:36] - node _T_373 = and(_T_372, _T_317) @[lib.scala 88:41] - node _T_374 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 88:74] - node _T_375 = bits(lsu_match_data_1, 8, 8) @[lib.scala 88:86] - node _T_376 = eq(_T_374, _T_375) @[lib.scala 88:78] - node _T_377 = mux(_T_373, UInt<1>("h01"), _T_376) @[lib.scala 88:23] - _T_314[8] <= _T_377 @[lib.scala 88:17] - node _T_378 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 88:28] - node _T_379 = andr(_T_378) @[lib.scala 88:36] - node _T_380 = and(_T_379, _T_317) @[lib.scala 88:41] - node _T_381 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 88:74] - node _T_382 = bits(lsu_match_data_1, 9, 9) @[lib.scala 88:86] - node _T_383 = eq(_T_381, _T_382) @[lib.scala 88:78] - node _T_384 = mux(_T_380, UInt<1>("h01"), _T_383) @[lib.scala 88:23] - _T_314[9] <= _T_384 @[lib.scala 88:17] - node _T_385 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 88:28] - node _T_386 = andr(_T_385) @[lib.scala 88:36] - node _T_387 = and(_T_386, _T_317) @[lib.scala 88:41] - node _T_388 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 88:74] - node _T_389 = bits(lsu_match_data_1, 10, 10) @[lib.scala 88:86] - node _T_390 = eq(_T_388, _T_389) @[lib.scala 88:78] - node _T_391 = mux(_T_387, UInt<1>("h01"), _T_390) @[lib.scala 88:23] - _T_314[10] <= _T_391 @[lib.scala 88:17] - node _T_392 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 88:28] - node _T_393 = andr(_T_392) @[lib.scala 88:36] - node _T_394 = and(_T_393, _T_317) @[lib.scala 88:41] - node _T_395 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 88:74] - node _T_396 = bits(lsu_match_data_1, 11, 11) @[lib.scala 88:86] - node _T_397 = eq(_T_395, _T_396) @[lib.scala 88:78] - node _T_398 = mux(_T_394, UInt<1>("h01"), _T_397) @[lib.scala 88:23] - _T_314[11] <= _T_398 @[lib.scala 88:17] - node _T_399 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 88:28] - node _T_400 = andr(_T_399) @[lib.scala 88:36] - node _T_401 = and(_T_400, _T_317) @[lib.scala 88:41] - node _T_402 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 88:74] - node _T_403 = bits(lsu_match_data_1, 12, 12) @[lib.scala 88:86] - node _T_404 = eq(_T_402, _T_403) @[lib.scala 88:78] - node _T_405 = mux(_T_401, UInt<1>("h01"), _T_404) @[lib.scala 88:23] - _T_314[12] <= _T_405 @[lib.scala 88:17] - node _T_406 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 88:28] - node _T_407 = andr(_T_406) @[lib.scala 88:36] - node _T_408 = and(_T_407, _T_317) @[lib.scala 88:41] - node _T_409 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 88:74] - node _T_410 = bits(lsu_match_data_1, 13, 13) @[lib.scala 88:86] - node _T_411 = eq(_T_409, _T_410) @[lib.scala 88:78] - node _T_412 = mux(_T_408, UInt<1>("h01"), _T_411) @[lib.scala 88:23] - _T_314[13] <= _T_412 @[lib.scala 88:17] - node _T_413 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 88:28] - node _T_414 = andr(_T_413) @[lib.scala 88:36] - node _T_415 = and(_T_414, _T_317) @[lib.scala 88:41] - node _T_416 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 88:74] - node _T_417 = bits(lsu_match_data_1, 14, 14) @[lib.scala 88:86] - node _T_418 = eq(_T_416, _T_417) @[lib.scala 88:78] - node _T_419 = mux(_T_415, UInt<1>("h01"), _T_418) @[lib.scala 88:23] - _T_314[14] <= _T_419 @[lib.scala 88:17] - node _T_420 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 88:28] - node _T_421 = andr(_T_420) @[lib.scala 88:36] - node _T_422 = and(_T_421, _T_317) @[lib.scala 88:41] - node _T_423 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 88:74] - node _T_424 = bits(lsu_match_data_1, 15, 15) @[lib.scala 88:86] - node _T_425 = eq(_T_423, _T_424) @[lib.scala 88:78] - node _T_426 = mux(_T_422, UInt<1>("h01"), _T_425) @[lib.scala 88:23] - _T_314[15] <= _T_426 @[lib.scala 88:17] - node _T_427 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 88:28] - node _T_428 = andr(_T_427) @[lib.scala 88:36] - node _T_429 = and(_T_428, _T_317) @[lib.scala 88:41] - node _T_430 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 88:74] - node _T_431 = bits(lsu_match_data_1, 16, 16) @[lib.scala 88:86] - node _T_432 = eq(_T_430, _T_431) @[lib.scala 88:78] - node _T_433 = mux(_T_429, UInt<1>("h01"), _T_432) @[lib.scala 88:23] - _T_314[16] <= _T_433 @[lib.scala 88:17] - node _T_434 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 88:28] - node _T_435 = andr(_T_434) @[lib.scala 88:36] - node _T_436 = and(_T_435, _T_317) @[lib.scala 88:41] - node _T_437 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 88:74] - node _T_438 = bits(lsu_match_data_1, 17, 17) @[lib.scala 88:86] - node _T_439 = eq(_T_437, _T_438) @[lib.scala 88:78] - node _T_440 = mux(_T_436, UInt<1>("h01"), _T_439) @[lib.scala 88:23] - _T_314[17] <= _T_440 @[lib.scala 88:17] - node _T_441 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 88:28] - node _T_442 = andr(_T_441) @[lib.scala 88:36] - node _T_443 = and(_T_442, _T_317) @[lib.scala 88:41] - node _T_444 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 88:74] - node _T_445 = bits(lsu_match_data_1, 18, 18) @[lib.scala 88:86] - node _T_446 = eq(_T_444, _T_445) @[lib.scala 88:78] - node _T_447 = mux(_T_443, UInt<1>("h01"), _T_446) @[lib.scala 88:23] - _T_314[18] <= _T_447 @[lib.scala 88:17] - node _T_448 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 88:28] - node _T_449 = andr(_T_448) @[lib.scala 88:36] - node _T_450 = and(_T_449, _T_317) @[lib.scala 88:41] - node _T_451 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 88:74] - node _T_452 = bits(lsu_match_data_1, 19, 19) @[lib.scala 88:86] - node _T_453 = eq(_T_451, _T_452) @[lib.scala 88:78] - node _T_454 = mux(_T_450, UInt<1>("h01"), _T_453) @[lib.scala 88:23] - _T_314[19] <= _T_454 @[lib.scala 88:17] - node _T_455 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 88:28] - node _T_456 = andr(_T_455) @[lib.scala 88:36] - node _T_457 = and(_T_456, _T_317) @[lib.scala 88:41] - node _T_458 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 88:74] - node _T_459 = bits(lsu_match_data_1, 20, 20) @[lib.scala 88:86] - node _T_460 = eq(_T_458, _T_459) @[lib.scala 88:78] - node _T_461 = mux(_T_457, UInt<1>("h01"), _T_460) @[lib.scala 88:23] - _T_314[20] <= _T_461 @[lib.scala 88:17] - node _T_462 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 88:28] - node _T_463 = andr(_T_462) @[lib.scala 88:36] - node _T_464 = and(_T_463, _T_317) @[lib.scala 88:41] - node _T_465 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 88:74] - node _T_466 = bits(lsu_match_data_1, 21, 21) @[lib.scala 88:86] - node _T_467 = eq(_T_465, _T_466) @[lib.scala 88:78] - node _T_468 = mux(_T_464, UInt<1>("h01"), _T_467) @[lib.scala 88:23] - _T_314[21] <= _T_468 @[lib.scala 88:17] - node _T_469 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 88:28] - node _T_470 = andr(_T_469) @[lib.scala 88:36] - node _T_471 = and(_T_470, _T_317) @[lib.scala 88:41] - node _T_472 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 88:74] - node _T_473 = bits(lsu_match_data_1, 22, 22) @[lib.scala 88:86] - node _T_474 = eq(_T_472, _T_473) @[lib.scala 88:78] - node _T_475 = mux(_T_471, UInt<1>("h01"), _T_474) @[lib.scala 88:23] - _T_314[22] <= _T_475 @[lib.scala 88:17] - node _T_476 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 88:28] - node _T_477 = andr(_T_476) @[lib.scala 88:36] - node _T_478 = and(_T_477, _T_317) @[lib.scala 88:41] - node _T_479 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 88:74] - node _T_480 = bits(lsu_match_data_1, 23, 23) @[lib.scala 88:86] - node _T_481 = eq(_T_479, _T_480) @[lib.scala 88:78] - node _T_482 = mux(_T_478, UInt<1>("h01"), _T_481) @[lib.scala 88:23] - _T_314[23] <= _T_482 @[lib.scala 88:17] - node _T_483 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 88:28] - node _T_484 = andr(_T_483) @[lib.scala 88:36] - node _T_485 = and(_T_484, _T_317) @[lib.scala 88:41] - node _T_486 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 88:74] - node _T_487 = bits(lsu_match_data_1, 24, 24) @[lib.scala 88:86] - node _T_488 = eq(_T_486, _T_487) @[lib.scala 88:78] - node _T_489 = mux(_T_485, UInt<1>("h01"), _T_488) @[lib.scala 88:23] - _T_314[24] <= _T_489 @[lib.scala 88:17] - node _T_490 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 88:28] - node _T_491 = andr(_T_490) @[lib.scala 88:36] - node _T_492 = and(_T_491, _T_317) @[lib.scala 88:41] - node _T_493 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 88:74] - node _T_494 = bits(lsu_match_data_1, 25, 25) @[lib.scala 88:86] - node _T_495 = eq(_T_493, _T_494) @[lib.scala 88:78] - node _T_496 = mux(_T_492, UInt<1>("h01"), _T_495) @[lib.scala 88:23] - _T_314[25] <= _T_496 @[lib.scala 88:17] - node _T_497 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 88:28] - node _T_498 = andr(_T_497) @[lib.scala 88:36] - node _T_499 = and(_T_498, _T_317) @[lib.scala 88:41] - node _T_500 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 88:74] - node _T_501 = bits(lsu_match_data_1, 26, 26) @[lib.scala 88:86] - node _T_502 = eq(_T_500, _T_501) @[lib.scala 88:78] - node _T_503 = mux(_T_499, UInt<1>("h01"), _T_502) @[lib.scala 88:23] - _T_314[26] <= _T_503 @[lib.scala 88:17] - node _T_504 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 88:28] - node _T_505 = andr(_T_504) @[lib.scala 88:36] - node _T_506 = and(_T_505, _T_317) @[lib.scala 88:41] - node _T_507 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 88:74] - node _T_508 = bits(lsu_match_data_1, 27, 27) @[lib.scala 88:86] - node _T_509 = eq(_T_507, _T_508) @[lib.scala 88:78] - node _T_510 = mux(_T_506, UInt<1>("h01"), _T_509) @[lib.scala 88:23] - _T_314[27] <= _T_510 @[lib.scala 88:17] - node _T_511 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 88:28] - node _T_512 = andr(_T_511) @[lib.scala 88:36] - node _T_513 = and(_T_512, _T_317) @[lib.scala 88:41] - node _T_514 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 88:74] - node _T_515 = bits(lsu_match_data_1, 28, 28) @[lib.scala 88:86] - node _T_516 = eq(_T_514, _T_515) @[lib.scala 88:78] - node _T_517 = mux(_T_513, UInt<1>("h01"), _T_516) @[lib.scala 88:23] - _T_314[28] <= _T_517 @[lib.scala 88:17] - node _T_518 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 88:28] - node _T_519 = andr(_T_518) @[lib.scala 88:36] - node _T_520 = and(_T_519, _T_317) @[lib.scala 88:41] - node _T_521 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 88:74] - node _T_522 = bits(lsu_match_data_1, 29, 29) @[lib.scala 88:86] - node _T_523 = eq(_T_521, _T_522) @[lib.scala 88:78] - node _T_524 = mux(_T_520, UInt<1>("h01"), _T_523) @[lib.scala 88:23] - _T_314[29] <= _T_524 @[lib.scala 88:17] - node _T_525 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 88:28] - node _T_526 = andr(_T_525) @[lib.scala 88:36] - node _T_527 = and(_T_526, _T_317) @[lib.scala 88:41] - node _T_528 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 88:74] - node _T_529 = bits(lsu_match_data_1, 30, 30) @[lib.scala 88:86] - node _T_530 = eq(_T_528, _T_529) @[lib.scala 88:78] - node _T_531 = mux(_T_527, UInt<1>("h01"), _T_530) @[lib.scala 88:23] - _T_314[30] <= _T_531 @[lib.scala 88:17] - node _T_532 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 88:28] - node _T_533 = andr(_T_532) @[lib.scala 88:36] - node _T_534 = and(_T_533, _T_317) @[lib.scala 88:41] - node _T_535 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 88:74] - node _T_536 = bits(lsu_match_data_1, 31, 31) @[lib.scala 88:86] - node _T_537 = eq(_T_535, _T_536) @[lib.scala 88:78] - node _T_538 = mux(_T_534, UInt<1>("h01"), _T_537) @[lib.scala 88:23] - _T_314[31] <= _T_538 @[lib.scala 88:17] - node _T_539 = cat(_T_314[1], _T_314[0]) @[lib.scala 89:14] - node _T_540 = cat(_T_314[3], _T_314[2]) @[lib.scala 89:14] - node _T_541 = cat(_T_540, _T_539) @[lib.scala 89:14] - node _T_542 = cat(_T_314[5], _T_314[4]) @[lib.scala 89:14] - node _T_543 = cat(_T_314[7], _T_314[6]) @[lib.scala 89:14] - node _T_544 = cat(_T_543, _T_542) @[lib.scala 89:14] - node _T_545 = cat(_T_544, _T_541) @[lib.scala 89:14] - node _T_546 = cat(_T_314[9], _T_314[8]) @[lib.scala 89:14] - node _T_547 = cat(_T_314[11], _T_314[10]) @[lib.scala 89:14] - node _T_548 = cat(_T_547, _T_546) @[lib.scala 89:14] - node _T_549 = cat(_T_314[13], _T_314[12]) @[lib.scala 89:14] - node _T_550 = cat(_T_314[15], _T_314[14]) @[lib.scala 89:14] - node _T_551 = cat(_T_550, _T_549) @[lib.scala 89:14] - node _T_552 = cat(_T_551, _T_548) @[lib.scala 89:14] - node _T_553 = cat(_T_552, _T_545) @[lib.scala 89:14] - node _T_554 = cat(_T_314[17], _T_314[16]) @[lib.scala 89:14] - node _T_555 = cat(_T_314[19], _T_314[18]) @[lib.scala 89:14] - node _T_556 = cat(_T_555, _T_554) @[lib.scala 89:14] - node _T_557 = cat(_T_314[21], _T_314[20]) @[lib.scala 89:14] - node _T_558 = cat(_T_314[23], _T_314[22]) @[lib.scala 89:14] - node _T_559 = cat(_T_558, _T_557) @[lib.scala 89:14] - node _T_560 = cat(_T_559, _T_556) @[lib.scala 89:14] - node _T_561 = cat(_T_314[25], _T_314[24]) @[lib.scala 89:14] - node _T_562 = cat(_T_314[27], _T_314[26]) @[lib.scala 89:14] - node _T_563 = cat(_T_562, _T_561) @[lib.scala 89:14] - node _T_564 = cat(_T_314[29], _T_314[28]) @[lib.scala 89:14] - node _T_565 = cat(_T_314[31], _T_314[30]) @[lib.scala 89:14] - node _T_566 = cat(_T_565, _T_564) @[lib.scala 89:14] - node _T_567 = cat(_T_566, _T_563) @[lib.scala 89:14] - node _T_568 = cat(_T_567, _T_560) @[lib.scala 89:14] - node _T_569 = cat(_T_568, _T_553) @[lib.scala 89:14] - node _T_570 = and(_T_312, _T_569) @[lsu_trigger.scala 19:92] - node _T_571 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] - node _T_572 = and(io.lsu_pkt_m.valid, _T_571) @[lsu_trigger.scala 18:69] - node _T_573 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] - node _T_574 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] - node _T_575 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] - node _T_576 = and(_T_574, _T_575) @[lsu_trigger.scala 19:58] - node _T_577 = or(_T_573, _T_576) @[lsu_trigger.scala 18:152] - node _T_578 = and(_T_572, _T_577) @[lsu_trigger.scala 18:94] - node _T_579 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] - wire _T_580 : UInt<1>[32] @[lib.scala 84:24] - node _T_581 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 85:45] - node _T_582 = not(_T_581) @[lib.scala 85:39] - node _T_583 = and(_T_579, _T_582) @[lib.scala 85:37] - node _T_584 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 86:48] - node _T_585 = bits(lsu_match_data_2, 0, 0) @[lib.scala 86:60] - node _T_586 = eq(_T_584, _T_585) @[lib.scala 86:52] - node _T_587 = or(_T_583, _T_586) @[lib.scala 86:41] - _T_580[0] <= _T_587 @[lib.scala 86:18] - node _T_588 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 88:28] - node _T_589 = andr(_T_588) @[lib.scala 88:36] - node _T_590 = and(_T_589, _T_583) @[lib.scala 88:41] - node _T_591 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 88:74] - node _T_592 = bits(lsu_match_data_2, 1, 1) @[lib.scala 88:86] - node _T_593 = eq(_T_591, _T_592) @[lib.scala 88:78] - node _T_594 = mux(_T_590, UInt<1>("h01"), _T_593) @[lib.scala 88:23] - _T_580[1] <= _T_594 @[lib.scala 88:17] - node _T_595 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 88:28] - node _T_596 = andr(_T_595) @[lib.scala 88:36] - node _T_597 = and(_T_596, _T_583) @[lib.scala 88:41] - node _T_598 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 88:74] - node _T_599 = bits(lsu_match_data_2, 2, 2) @[lib.scala 88:86] - node _T_600 = eq(_T_598, _T_599) @[lib.scala 88:78] - node _T_601 = mux(_T_597, UInt<1>("h01"), _T_600) @[lib.scala 88:23] - _T_580[2] <= _T_601 @[lib.scala 88:17] - node _T_602 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 88:28] - node _T_603 = andr(_T_602) @[lib.scala 88:36] - node _T_604 = and(_T_603, _T_583) @[lib.scala 88:41] - node _T_605 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 88:74] - node _T_606 = bits(lsu_match_data_2, 3, 3) @[lib.scala 88:86] - node _T_607 = eq(_T_605, _T_606) @[lib.scala 88:78] - node _T_608 = mux(_T_604, UInt<1>("h01"), _T_607) @[lib.scala 88:23] - _T_580[3] <= _T_608 @[lib.scala 88:17] - node _T_609 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 88:28] - node _T_610 = andr(_T_609) @[lib.scala 88:36] - node _T_611 = and(_T_610, _T_583) @[lib.scala 88:41] - node _T_612 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 88:74] - node _T_613 = bits(lsu_match_data_2, 4, 4) @[lib.scala 88:86] - node _T_614 = eq(_T_612, _T_613) @[lib.scala 88:78] - node _T_615 = mux(_T_611, UInt<1>("h01"), _T_614) @[lib.scala 88:23] - _T_580[4] <= _T_615 @[lib.scala 88:17] - node _T_616 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 88:28] - node _T_617 = andr(_T_616) @[lib.scala 88:36] - node _T_618 = and(_T_617, _T_583) @[lib.scala 88:41] - node _T_619 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 88:74] - node _T_620 = bits(lsu_match_data_2, 5, 5) @[lib.scala 88:86] - node _T_621 = eq(_T_619, _T_620) @[lib.scala 88:78] - node _T_622 = mux(_T_618, UInt<1>("h01"), _T_621) @[lib.scala 88:23] - _T_580[5] <= _T_622 @[lib.scala 88:17] - node _T_623 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 88:28] - node _T_624 = andr(_T_623) @[lib.scala 88:36] - node _T_625 = and(_T_624, _T_583) @[lib.scala 88:41] - node _T_626 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 88:74] - node _T_627 = bits(lsu_match_data_2, 6, 6) @[lib.scala 88:86] - node _T_628 = eq(_T_626, _T_627) @[lib.scala 88:78] - node _T_629 = mux(_T_625, UInt<1>("h01"), _T_628) @[lib.scala 88:23] - _T_580[6] <= _T_629 @[lib.scala 88:17] - node _T_630 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 88:28] - node _T_631 = andr(_T_630) @[lib.scala 88:36] - node _T_632 = and(_T_631, _T_583) @[lib.scala 88:41] - node _T_633 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 88:74] - node _T_634 = bits(lsu_match_data_2, 7, 7) @[lib.scala 88:86] - node _T_635 = eq(_T_633, _T_634) @[lib.scala 88:78] - node _T_636 = mux(_T_632, UInt<1>("h01"), _T_635) @[lib.scala 88:23] - _T_580[7] <= _T_636 @[lib.scala 88:17] - node _T_637 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 88:28] - node _T_638 = andr(_T_637) @[lib.scala 88:36] - node _T_639 = and(_T_638, _T_583) @[lib.scala 88:41] - node _T_640 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 88:74] - node _T_641 = bits(lsu_match_data_2, 8, 8) @[lib.scala 88:86] - node _T_642 = eq(_T_640, _T_641) @[lib.scala 88:78] - node _T_643 = mux(_T_639, UInt<1>("h01"), _T_642) @[lib.scala 88:23] - _T_580[8] <= _T_643 @[lib.scala 88:17] - node _T_644 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 88:28] - node _T_645 = andr(_T_644) @[lib.scala 88:36] - node _T_646 = and(_T_645, _T_583) @[lib.scala 88:41] - node _T_647 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 88:74] - node _T_648 = bits(lsu_match_data_2, 9, 9) @[lib.scala 88:86] - node _T_649 = eq(_T_647, _T_648) @[lib.scala 88:78] - node _T_650 = mux(_T_646, UInt<1>("h01"), _T_649) @[lib.scala 88:23] - _T_580[9] <= _T_650 @[lib.scala 88:17] - node _T_651 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 88:28] - node _T_652 = andr(_T_651) @[lib.scala 88:36] - node _T_653 = and(_T_652, _T_583) @[lib.scala 88:41] - node _T_654 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 88:74] - node _T_655 = bits(lsu_match_data_2, 10, 10) @[lib.scala 88:86] - node _T_656 = eq(_T_654, _T_655) @[lib.scala 88:78] - node _T_657 = mux(_T_653, UInt<1>("h01"), _T_656) @[lib.scala 88:23] - _T_580[10] <= _T_657 @[lib.scala 88:17] - node _T_658 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 88:28] - node _T_659 = andr(_T_658) @[lib.scala 88:36] - node _T_660 = and(_T_659, _T_583) @[lib.scala 88:41] - node _T_661 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 88:74] - node _T_662 = bits(lsu_match_data_2, 11, 11) @[lib.scala 88:86] - node _T_663 = eq(_T_661, _T_662) @[lib.scala 88:78] - node _T_664 = mux(_T_660, UInt<1>("h01"), _T_663) @[lib.scala 88:23] - _T_580[11] <= _T_664 @[lib.scala 88:17] - node _T_665 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 88:28] - node _T_666 = andr(_T_665) @[lib.scala 88:36] - node _T_667 = and(_T_666, _T_583) @[lib.scala 88:41] - node _T_668 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 88:74] - node _T_669 = bits(lsu_match_data_2, 12, 12) @[lib.scala 88:86] - node _T_670 = eq(_T_668, _T_669) @[lib.scala 88:78] - node _T_671 = mux(_T_667, UInt<1>("h01"), _T_670) @[lib.scala 88:23] - _T_580[12] <= _T_671 @[lib.scala 88:17] - node _T_672 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 88:28] - node _T_673 = andr(_T_672) @[lib.scala 88:36] - node _T_674 = and(_T_673, _T_583) @[lib.scala 88:41] - node _T_675 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 88:74] - node _T_676 = bits(lsu_match_data_2, 13, 13) @[lib.scala 88:86] - node _T_677 = eq(_T_675, _T_676) @[lib.scala 88:78] - node _T_678 = mux(_T_674, UInt<1>("h01"), _T_677) @[lib.scala 88:23] - _T_580[13] <= _T_678 @[lib.scala 88:17] - node _T_679 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 88:28] - node _T_680 = andr(_T_679) @[lib.scala 88:36] - node _T_681 = and(_T_680, _T_583) @[lib.scala 88:41] - node _T_682 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 88:74] - node _T_683 = bits(lsu_match_data_2, 14, 14) @[lib.scala 88:86] - node _T_684 = eq(_T_682, _T_683) @[lib.scala 88:78] - node _T_685 = mux(_T_681, UInt<1>("h01"), _T_684) @[lib.scala 88:23] - _T_580[14] <= _T_685 @[lib.scala 88:17] - node _T_686 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 88:28] - node _T_687 = andr(_T_686) @[lib.scala 88:36] - node _T_688 = and(_T_687, _T_583) @[lib.scala 88:41] - node _T_689 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 88:74] - node _T_690 = bits(lsu_match_data_2, 15, 15) @[lib.scala 88:86] - node _T_691 = eq(_T_689, _T_690) @[lib.scala 88:78] - node _T_692 = mux(_T_688, UInt<1>("h01"), _T_691) @[lib.scala 88:23] - _T_580[15] <= _T_692 @[lib.scala 88:17] - node _T_693 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 88:28] - node _T_694 = andr(_T_693) @[lib.scala 88:36] - node _T_695 = and(_T_694, _T_583) @[lib.scala 88:41] - node _T_696 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 88:74] - node _T_697 = bits(lsu_match_data_2, 16, 16) @[lib.scala 88:86] - node _T_698 = eq(_T_696, _T_697) @[lib.scala 88:78] - node _T_699 = mux(_T_695, UInt<1>("h01"), _T_698) @[lib.scala 88:23] - _T_580[16] <= _T_699 @[lib.scala 88:17] - node _T_700 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 88:28] - node _T_701 = andr(_T_700) @[lib.scala 88:36] - node _T_702 = and(_T_701, _T_583) @[lib.scala 88:41] - node _T_703 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 88:74] - node _T_704 = bits(lsu_match_data_2, 17, 17) @[lib.scala 88:86] - node _T_705 = eq(_T_703, _T_704) @[lib.scala 88:78] - node _T_706 = mux(_T_702, UInt<1>("h01"), _T_705) @[lib.scala 88:23] - _T_580[17] <= _T_706 @[lib.scala 88:17] - node _T_707 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 88:28] - node _T_708 = andr(_T_707) @[lib.scala 88:36] - node _T_709 = and(_T_708, _T_583) @[lib.scala 88:41] - node _T_710 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 88:74] - node _T_711 = bits(lsu_match_data_2, 18, 18) @[lib.scala 88:86] - node _T_712 = eq(_T_710, _T_711) @[lib.scala 88:78] - node _T_713 = mux(_T_709, UInt<1>("h01"), _T_712) @[lib.scala 88:23] - _T_580[18] <= _T_713 @[lib.scala 88:17] - node _T_714 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 88:28] - node _T_715 = andr(_T_714) @[lib.scala 88:36] - node _T_716 = and(_T_715, _T_583) @[lib.scala 88:41] - node _T_717 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 88:74] - node _T_718 = bits(lsu_match_data_2, 19, 19) @[lib.scala 88:86] - node _T_719 = eq(_T_717, _T_718) @[lib.scala 88:78] - node _T_720 = mux(_T_716, UInt<1>("h01"), _T_719) @[lib.scala 88:23] - _T_580[19] <= _T_720 @[lib.scala 88:17] - node _T_721 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 88:28] - node _T_722 = andr(_T_721) @[lib.scala 88:36] - node _T_723 = and(_T_722, _T_583) @[lib.scala 88:41] - node _T_724 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 88:74] - node _T_725 = bits(lsu_match_data_2, 20, 20) @[lib.scala 88:86] - node _T_726 = eq(_T_724, _T_725) @[lib.scala 88:78] - node _T_727 = mux(_T_723, UInt<1>("h01"), _T_726) @[lib.scala 88:23] - _T_580[20] <= _T_727 @[lib.scala 88:17] - node _T_728 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 88:28] - node _T_729 = andr(_T_728) @[lib.scala 88:36] - node _T_730 = and(_T_729, _T_583) @[lib.scala 88:41] - node _T_731 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 88:74] - node _T_732 = bits(lsu_match_data_2, 21, 21) @[lib.scala 88:86] - node _T_733 = eq(_T_731, _T_732) @[lib.scala 88:78] - node _T_734 = mux(_T_730, UInt<1>("h01"), _T_733) @[lib.scala 88:23] - _T_580[21] <= _T_734 @[lib.scala 88:17] - node _T_735 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 88:28] - node _T_736 = andr(_T_735) @[lib.scala 88:36] - node _T_737 = and(_T_736, _T_583) @[lib.scala 88:41] - node _T_738 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 88:74] - node _T_739 = bits(lsu_match_data_2, 22, 22) @[lib.scala 88:86] - node _T_740 = eq(_T_738, _T_739) @[lib.scala 88:78] - node _T_741 = mux(_T_737, UInt<1>("h01"), _T_740) @[lib.scala 88:23] - _T_580[22] <= _T_741 @[lib.scala 88:17] - node _T_742 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 88:28] - node _T_743 = andr(_T_742) @[lib.scala 88:36] - node _T_744 = and(_T_743, _T_583) @[lib.scala 88:41] - node _T_745 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 88:74] - node _T_746 = bits(lsu_match_data_2, 23, 23) @[lib.scala 88:86] - node _T_747 = eq(_T_745, _T_746) @[lib.scala 88:78] - node _T_748 = mux(_T_744, UInt<1>("h01"), _T_747) @[lib.scala 88:23] - _T_580[23] <= _T_748 @[lib.scala 88:17] - node _T_749 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 88:28] - node _T_750 = andr(_T_749) @[lib.scala 88:36] - node _T_751 = and(_T_750, _T_583) @[lib.scala 88:41] - node _T_752 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 88:74] - node _T_753 = bits(lsu_match_data_2, 24, 24) @[lib.scala 88:86] - node _T_754 = eq(_T_752, _T_753) @[lib.scala 88:78] - node _T_755 = mux(_T_751, UInt<1>("h01"), _T_754) @[lib.scala 88:23] - _T_580[24] <= _T_755 @[lib.scala 88:17] - node _T_756 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 88:28] - node _T_757 = andr(_T_756) @[lib.scala 88:36] - node _T_758 = and(_T_757, _T_583) @[lib.scala 88:41] - node _T_759 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 88:74] - node _T_760 = bits(lsu_match_data_2, 25, 25) @[lib.scala 88:86] - node _T_761 = eq(_T_759, _T_760) @[lib.scala 88:78] - node _T_762 = mux(_T_758, UInt<1>("h01"), _T_761) @[lib.scala 88:23] - _T_580[25] <= _T_762 @[lib.scala 88:17] - node _T_763 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 88:28] - node _T_764 = andr(_T_763) @[lib.scala 88:36] - node _T_765 = and(_T_764, _T_583) @[lib.scala 88:41] - node _T_766 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 88:74] - node _T_767 = bits(lsu_match_data_2, 26, 26) @[lib.scala 88:86] - node _T_768 = eq(_T_766, _T_767) @[lib.scala 88:78] - node _T_769 = mux(_T_765, UInt<1>("h01"), _T_768) @[lib.scala 88:23] - _T_580[26] <= _T_769 @[lib.scala 88:17] - node _T_770 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 88:28] - node _T_771 = andr(_T_770) @[lib.scala 88:36] - node _T_772 = and(_T_771, _T_583) @[lib.scala 88:41] - node _T_773 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 88:74] - node _T_774 = bits(lsu_match_data_2, 27, 27) @[lib.scala 88:86] - node _T_775 = eq(_T_773, _T_774) @[lib.scala 88:78] - node _T_776 = mux(_T_772, UInt<1>("h01"), _T_775) @[lib.scala 88:23] - _T_580[27] <= _T_776 @[lib.scala 88:17] - node _T_777 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 88:28] - node _T_778 = andr(_T_777) @[lib.scala 88:36] - node _T_779 = and(_T_778, _T_583) @[lib.scala 88:41] - node _T_780 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 88:74] - node _T_781 = bits(lsu_match_data_2, 28, 28) @[lib.scala 88:86] - node _T_782 = eq(_T_780, _T_781) @[lib.scala 88:78] - node _T_783 = mux(_T_779, UInt<1>("h01"), _T_782) @[lib.scala 88:23] - _T_580[28] <= _T_783 @[lib.scala 88:17] - node _T_784 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 88:28] - node _T_785 = andr(_T_784) @[lib.scala 88:36] - node _T_786 = and(_T_785, _T_583) @[lib.scala 88:41] - node _T_787 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 88:74] - node _T_788 = bits(lsu_match_data_2, 29, 29) @[lib.scala 88:86] - node _T_789 = eq(_T_787, _T_788) @[lib.scala 88:78] - node _T_790 = mux(_T_786, UInt<1>("h01"), _T_789) @[lib.scala 88:23] - _T_580[29] <= _T_790 @[lib.scala 88:17] - node _T_791 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 88:28] - node _T_792 = andr(_T_791) @[lib.scala 88:36] - node _T_793 = and(_T_792, _T_583) @[lib.scala 88:41] - node _T_794 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 88:74] - node _T_795 = bits(lsu_match_data_2, 30, 30) @[lib.scala 88:86] - node _T_796 = eq(_T_794, _T_795) @[lib.scala 88:78] - node _T_797 = mux(_T_793, UInt<1>("h01"), _T_796) @[lib.scala 88:23] - _T_580[30] <= _T_797 @[lib.scala 88:17] - node _T_798 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 88:28] - node _T_799 = andr(_T_798) @[lib.scala 88:36] - node _T_800 = and(_T_799, _T_583) @[lib.scala 88:41] - node _T_801 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 88:74] - node _T_802 = bits(lsu_match_data_2, 31, 31) @[lib.scala 88:86] - node _T_803 = eq(_T_801, _T_802) @[lib.scala 88:78] - node _T_804 = mux(_T_800, UInt<1>("h01"), _T_803) @[lib.scala 88:23] - _T_580[31] <= _T_804 @[lib.scala 88:17] - node _T_805 = cat(_T_580[1], _T_580[0]) @[lib.scala 89:14] - node _T_806 = cat(_T_580[3], _T_580[2]) @[lib.scala 89:14] - node _T_807 = cat(_T_806, _T_805) @[lib.scala 89:14] - node _T_808 = cat(_T_580[5], _T_580[4]) @[lib.scala 89:14] - node _T_809 = cat(_T_580[7], _T_580[6]) @[lib.scala 89:14] - node _T_810 = cat(_T_809, _T_808) @[lib.scala 89:14] - node _T_811 = cat(_T_810, _T_807) @[lib.scala 89:14] - node _T_812 = cat(_T_580[9], _T_580[8]) @[lib.scala 89:14] - node _T_813 = cat(_T_580[11], _T_580[10]) @[lib.scala 89:14] - node _T_814 = cat(_T_813, _T_812) @[lib.scala 89:14] - node _T_815 = cat(_T_580[13], _T_580[12]) @[lib.scala 89:14] - node _T_816 = cat(_T_580[15], _T_580[14]) @[lib.scala 89:14] - node _T_817 = cat(_T_816, _T_815) @[lib.scala 89:14] - node _T_818 = cat(_T_817, _T_814) @[lib.scala 89:14] - node _T_819 = cat(_T_818, _T_811) @[lib.scala 89:14] - node _T_820 = cat(_T_580[17], _T_580[16]) @[lib.scala 89:14] - node _T_821 = cat(_T_580[19], _T_580[18]) @[lib.scala 89:14] - node _T_822 = cat(_T_821, _T_820) @[lib.scala 89:14] - node _T_823 = cat(_T_580[21], _T_580[20]) @[lib.scala 89:14] - node _T_824 = cat(_T_580[23], _T_580[22]) @[lib.scala 89:14] - node _T_825 = cat(_T_824, _T_823) @[lib.scala 89:14] - node _T_826 = cat(_T_825, _T_822) @[lib.scala 89:14] - node _T_827 = cat(_T_580[25], _T_580[24]) @[lib.scala 89:14] - node _T_828 = cat(_T_580[27], _T_580[26]) @[lib.scala 89:14] - node _T_829 = cat(_T_828, _T_827) @[lib.scala 89:14] - node _T_830 = cat(_T_580[29], _T_580[28]) @[lib.scala 89:14] - node _T_831 = cat(_T_580[31], _T_580[30]) @[lib.scala 89:14] - node _T_832 = cat(_T_831, _T_830) @[lib.scala 89:14] - node _T_833 = cat(_T_832, _T_829) @[lib.scala 89:14] - node _T_834 = cat(_T_833, _T_826) @[lib.scala 89:14] - node _T_835 = cat(_T_834, _T_819) @[lib.scala 89:14] - node _T_836 = and(_T_578, _T_835) @[lsu_trigger.scala 19:92] - node _T_837 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] - node _T_838 = and(io.lsu_pkt_m.valid, _T_837) @[lsu_trigger.scala 18:69] - node _T_839 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] - node _T_840 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] - node _T_841 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] - node _T_842 = and(_T_840, _T_841) @[lsu_trigger.scala 19:58] - node _T_843 = or(_T_839, _T_842) @[lsu_trigger.scala 18:152] - node _T_844 = and(_T_838, _T_843) @[lsu_trigger.scala 18:94] - node _T_845 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] - wire _T_846 : UInt<1>[32] @[lib.scala 84:24] - node _T_847 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 85:45] - node _T_848 = not(_T_847) @[lib.scala 85:39] - node _T_849 = and(_T_845, _T_848) @[lib.scala 85:37] - node _T_850 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 86:48] - node _T_851 = bits(lsu_match_data_3, 0, 0) @[lib.scala 86:60] - node _T_852 = eq(_T_850, _T_851) @[lib.scala 86:52] - node _T_853 = or(_T_849, _T_852) @[lib.scala 86:41] - _T_846[0] <= _T_853 @[lib.scala 86:18] - node _T_854 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 88:28] - node _T_855 = andr(_T_854) @[lib.scala 88:36] - node _T_856 = and(_T_855, _T_849) @[lib.scala 88:41] - node _T_857 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 88:74] - node _T_858 = bits(lsu_match_data_3, 1, 1) @[lib.scala 88:86] - node _T_859 = eq(_T_857, _T_858) @[lib.scala 88:78] - node _T_860 = mux(_T_856, UInt<1>("h01"), _T_859) @[lib.scala 88:23] - _T_846[1] <= _T_860 @[lib.scala 88:17] - node _T_861 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 88:28] - node _T_862 = andr(_T_861) @[lib.scala 88:36] - node _T_863 = and(_T_862, _T_849) @[lib.scala 88:41] - node _T_864 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 88:74] - node _T_865 = bits(lsu_match_data_3, 2, 2) @[lib.scala 88:86] - node _T_866 = eq(_T_864, _T_865) @[lib.scala 88:78] - node _T_867 = mux(_T_863, UInt<1>("h01"), _T_866) @[lib.scala 88:23] - _T_846[2] <= _T_867 @[lib.scala 88:17] - node _T_868 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 88:28] - node _T_869 = andr(_T_868) @[lib.scala 88:36] - node _T_870 = and(_T_869, _T_849) @[lib.scala 88:41] - node _T_871 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 88:74] - node _T_872 = bits(lsu_match_data_3, 3, 3) @[lib.scala 88:86] - node _T_873 = eq(_T_871, _T_872) @[lib.scala 88:78] - node _T_874 = mux(_T_870, UInt<1>("h01"), _T_873) @[lib.scala 88:23] - _T_846[3] <= _T_874 @[lib.scala 88:17] - node _T_875 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 88:28] - node _T_876 = andr(_T_875) @[lib.scala 88:36] - node _T_877 = and(_T_876, _T_849) @[lib.scala 88:41] - node _T_878 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 88:74] - node _T_879 = bits(lsu_match_data_3, 4, 4) @[lib.scala 88:86] - node _T_880 = eq(_T_878, _T_879) @[lib.scala 88:78] - node _T_881 = mux(_T_877, UInt<1>("h01"), _T_880) @[lib.scala 88:23] - _T_846[4] <= _T_881 @[lib.scala 88:17] - node _T_882 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 88:28] - node _T_883 = andr(_T_882) @[lib.scala 88:36] - node _T_884 = and(_T_883, _T_849) @[lib.scala 88:41] - node _T_885 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 88:74] - node _T_886 = bits(lsu_match_data_3, 5, 5) @[lib.scala 88:86] - node _T_887 = eq(_T_885, _T_886) @[lib.scala 88:78] - node _T_888 = mux(_T_884, UInt<1>("h01"), _T_887) @[lib.scala 88:23] - _T_846[5] <= _T_888 @[lib.scala 88:17] - node _T_889 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 88:28] - node _T_890 = andr(_T_889) @[lib.scala 88:36] - node _T_891 = and(_T_890, _T_849) @[lib.scala 88:41] - node _T_892 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 88:74] - node _T_893 = bits(lsu_match_data_3, 6, 6) @[lib.scala 88:86] - node _T_894 = eq(_T_892, _T_893) @[lib.scala 88:78] - node _T_895 = mux(_T_891, UInt<1>("h01"), _T_894) @[lib.scala 88:23] - _T_846[6] <= _T_895 @[lib.scala 88:17] - node _T_896 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 88:28] - node _T_897 = andr(_T_896) @[lib.scala 88:36] - node _T_898 = and(_T_897, _T_849) @[lib.scala 88:41] - node _T_899 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 88:74] - node _T_900 = bits(lsu_match_data_3, 7, 7) @[lib.scala 88:86] - node _T_901 = eq(_T_899, _T_900) @[lib.scala 88:78] - node _T_902 = mux(_T_898, UInt<1>("h01"), _T_901) @[lib.scala 88:23] - _T_846[7] <= _T_902 @[lib.scala 88:17] - node _T_903 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 88:28] - node _T_904 = andr(_T_903) @[lib.scala 88:36] - node _T_905 = and(_T_904, _T_849) @[lib.scala 88:41] - node _T_906 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 88:74] - node _T_907 = bits(lsu_match_data_3, 8, 8) @[lib.scala 88:86] - node _T_908 = eq(_T_906, _T_907) @[lib.scala 88:78] - node _T_909 = mux(_T_905, UInt<1>("h01"), _T_908) @[lib.scala 88:23] - _T_846[8] <= _T_909 @[lib.scala 88:17] - node _T_910 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 88:28] - node _T_911 = andr(_T_910) @[lib.scala 88:36] - node _T_912 = and(_T_911, _T_849) @[lib.scala 88:41] - node _T_913 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 88:74] - node _T_914 = bits(lsu_match_data_3, 9, 9) @[lib.scala 88:86] - node _T_915 = eq(_T_913, _T_914) @[lib.scala 88:78] - node _T_916 = mux(_T_912, UInt<1>("h01"), _T_915) @[lib.scala 88:23] - _T_846[9] <= _T_916 @[lib.scala 88:17] - node _T_917 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 88:28] - node _T_918 = andr(_T_917) @[lib.scala 88:36] - node _T_919 = and(_T_918, _T_849) @[lib.scala 88:41] - node _T_920 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 88:74] - node _T_921 = bits(lsu_match_data_3, 10, 10) @[lib.scala 88:86] - node _T_922 = eq(_T_920, _T_921) @[lib.scala 88:78] - node _T_923 = mux(_T_919, UInt<1>("h01"), _T_922) @[lib.scala 88:23] - _T_846[10] <= _T_923 @[lib.scala 88:17] - node _T_924 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 88:28] - node _T_925 = andr(_T_924) @[lib.scala 88:36] - node _T_926 = and(_T_925, _T_849) @[lib.scala 88:41] - node _T_927 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 88:74] - node _T_928 = bits(lsu_match_data_3, 11, 11) @[lib.scala 88:86] - node _T_929 = eq(_T_927, _T_928) @[lib.scala 88:78] - node _T_930 = mux(_T_926, UInt<1>("h01"), _T_929) @[lib.scala 88:23] - _T_846[11] <= _T_930 @[lib.scala 88:17] - node _T_931 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 88:28] - node _T_932 = andr(_T_931) @[lib.scala 88:36] - node _T_933 = and(_T_932, _T_849) @[lib.scala 88:41] - node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 88:74] - node _T_935 = bits(lsu_match_data_3, 12, 12) @[lib.scala 88:86] - node _T_936 = eq(_T_934, _T_935) @[lib.scala 88:78] - node _T_937 = mux(_T_933, UInt<1>("h01"), _T_936) @[lib.scala 88:23] - _T_846[12] <= _T_937 @[lib.scala 88:17] - node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 88:28] - node _T_939 = andr(_T_938) @[lib.scala 88:36] - node _T_940 = and(_T_939, _T_849) @[lib.scala 88:41] - node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 88:74] - node _T_942 = bits(lsu_match_data_3, 13, 13) @[lib.scala 88:86] - node _T_943 = eq(_T_941, _T_942) @[lib.scala 88:78] - node _T_944 = mux(_T_940, UInt<1>("h01"), _T_943) @[lib.scala 88:23] - _T_846[13] <= _T_944 @[lib.scala 88:17] - node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 88:28] - node _T_946 = andr(_T_945) @[lib.scala 88:36] - node _T_947 = and(_T_946, _T_849) @[lib.scala 88:41] - node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 88:74] - node _T_949 = bits(lsu_match_data_3, 14, 14) @[lib.scala 88:86] - node _T_950 = eq(_T_948, _T_949) @[lib.scala 88:78] - node _T_951 = mux(_T_947, UInt<1>("h01"), _T_950) @[lib.scala 88:23] - _T_846[14] <= _T_951 @[lib.scala 88:17] - node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 88:28] - node _T_953 = andr(_T_952) @[lib.scala 88:36] - node _T_954 = and(_T_953, _T_849) @[lib.scala 88:41] - node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 88:74] - node _T_956 = bits(lsu_match_data_3, 15, 15) @[lib.scala 88:86] - node _T_957 = eq(_T_955, _T_956) @[lib.scala 88:78] - node _T_958 = mux(_T_954, UInt<1>("h01"), _T_957) @[lib.scala 88:23] - _T_846[15] <= _T_958 @[lib.scala 88:17] - node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 88:28] - node _T_960 = andr(_T_959) @[lib.scala 88:36] - node _T_961 = and(_T_960, _T_849) @[lib.scala 88:41] - node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 88:74] - node _T_963 = bits(lsu_match_data_3, 16, 16) @[lib.scala 88:86] - node _T_964 = eq(_T_962, _T_963) @[lib.scala 88:78] - node _T_965 = mux(_T_961, UInt<1>("h01"), _T_964) @[lib.scala 88:23] - _T_846[16] <= _T_965 @[lib.scala 88:17] - node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 88:28] - node _T_967 = andr(_T_966) @[lib.scala 88:36] - node _T_968 = and(_T_967, _T_849) @[lib.scala 88:41] - node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 88:74] - node _T_970 = bits(lsu_match_data_3, 17, 17) @[lib.scala 88:86] - node _T_971 = eq(_T_969, _T_970) @[lib.scala 88:78] - node _T_972 = mux(_T_968, UInt<1>("h01"), _T_971) @[lib.scala 88:23] - _T_846[17] <= _T_972 @[lib.scala 88:17] - node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 88:28] - node _T_974 = andr(_T_973) @[lib.scala 88:36] - node _T_975 = and(_T_974, _T_849) @[lib.scala 88:41] - node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 88:74] - node _T_977 = bits(lsu_match_data_3, 18, 18) @[lib.scala 88:86] - node _T_978 = eq(_T_976, _T_977) @[lib.scala 88:78] - node _T_979 = mux(_T_975, UInt<1>("h01"), _T_978) @[lib.scala 88:23] - _T_846[18] <= _T_979 @[lib.scala 88:17] - node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 88:28] - node _T_981 = andr(_T_980) @[lib.scala 88:36] - node _T_982 = and(_T_981, _T_849) @[lib.scala 88:41] - node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 88:74] - node _T_984 = bits(lsu_match_data_3, 19, 19) @[lib.scala 88:86] - node _T_985 = eq(_T_983, _T_984) @[lib.scala 88:78] - node _T_986 = mux(_T_982, UInt<1>("h01"), _T_985) @[lib.scala 88:23] - _T_846[19] <= _T_986 @[lib.scala 88:17] - node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 88:28] - node _T_988 = andr(_T_987) @[lib.scala 88:36] - node _T_989 = and(_T_988, _T_849) @[lib.scala 88:41] - node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 88:74] - node _T_991 = bits(lsu_match_data_3, 20, 20) @[lib.scala 88:86] - node _T_992 = eq(_T_990, _T_991) @[lib.scala 88:78] - node _T_993 = mux(_T_989, UInt<1>("h01"), _T_992) @[lib.scala 88:23] - _T_846[20] <= _T_993 @[lib.scala 88:17] - node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 88:28] - node _T_995 = andr(_T_994) @[lib.scala 88:36] - node _T_996 = and(_T_995, _T_849) @[lib.scala 88:41] - node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 88:74] - node _T_998 = bits(lsu_match_data_3, 21, 21) @[lib.scala 88:86] - node _T_999 = eq(_T_997, _T_998) @[lib.scala 88:78] - node _T_1000 = mux(_T_996, UInt<1>("h01"), _T_999) @[lib.scala 88:23] - _T_846[21] <= _T_1000 @[lib.scala 88:17] - node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 88:28] - node _T_1002 = andr(_T_1001) @[lib.scala 88:36] - node _T_1003 = and(_T_1002, _T_849) @[lib.scala 88:41] - node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 88:74] - node _T_1005 = bits(lsu_match_data_3, 22, 22) @[lib.scala 88:86] - node _T_1006 = eq(_T_1004, _T_1005) @[lib.scala 88:78] - node _T_1007 = mux(_T_1003, UInt<1>("h01"), _T_1006) @[lib.scala 88:23] - _T_846[22] <= _T_1007 @[lib.scala 88:17] - node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 88:28] - node _T_1009 = andr(_T_1008) @[lib.scala 88:36] - node _T_1010 = and(_T_1009, _T_849) @[lib.scala 88:41] - node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 88:74] - node _T_1012 = bits(lsu_match_data_3, 23, 23) @[lib.scala 88:86] - node _T_1013 = eq(_T_1011, _T_1012) @[lib.scala 88:78] - node _T_1014 = mux(_T_1010, UInt<1>("h01"), _T_1013) @[lib.scala 88:23] - _T_846[23] <= _T_1014 @[lib.scala 88:17] - node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 88:28] - node _T_1016 = andr(_T_1015) @[lib.scala 88:36] - node _T_1017 = and(_T_1016, _T_849) @[lib.scala 88:41] - node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 88:74] - node _T_1019 = bits(lsu_match_data_3, 24, 24) @[lib.scala 88:86] - node _T_1020 = eq(_T_1018, _T_1019) @[lib.scala 88:78] - node _T_1021 = mux(_T_1017, UInt<1>("h01"), _T_1020) @[lib.scala 88:23] - _T_846[24] <= _T_1021 @[lib.scala 88:17] - node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 88:28] - node _T_1023 = andr(_T_1022) @[lib.scala 88:36] - node _T_1024 = and(_T_1023, _T_849) @[lib.scala 88:41] - node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 88:74] - node _T_1026 = bits(lsu_match_data_3, 25, 25) @[lib.scala 88:86] - node _T_1027 = eq(_T_1025, _T_1026) @[lib.scala 88:78] - node _T_1028 = mux(_T_1024, UInt<1>("h01"), _T_1027) @[lib.scala 88:23] - _T_846[25] <= _T_1028 @[lib.scala 88:17] - node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 88:28] - node _T_1030 = andr(_T_1029) @[lib.scala 88:36] - node _T_1031 = and(_T_1030, _T_849) @[lib.scala 88:41] - node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 88:74] - node _T_1033 = bits(lsu_match_data_3, 26, 26) @[lib.scala 88:86] - node _T_1034 = eq(_T_1032, _T_1033) @[lib.scala 88:78] - node _T_1035 = mux(_T_1031, UInt<1>("h01"), _T_1034) @[lib.scala 88:23] - _T_846[26] <= _T_1035 @[lib.scala 88:17] - node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 88:28] - node _T_1037 = andr(_T_1036) @[lib.scala 88:36] - node _T_1038 = and(_T_1037, _T_849) @[lib.scala 88:41] - node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 88:74] - node _T_1040 = bits(lsu_match_data_3, 27, 27) @[lib.scala 88:86] - node _T_1041 = eq(_T_1039, _T_1040) @[lib.scala 88:78] - node _T_1042 = mux(_T_1038, UInt<1>("h01"), _T_1041) @[lib.scala 88:23] - _T_846[27] <= _T_1042 @[lib.scala 88:17] - node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 88:28] - node _T_1044 = andr(_T_1043) @[lib.scala 88:36] - node _T_1045 = and(_T_1044, _T_849) @[lib.scala 88:41] - node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 88:74] - node _T_1047 = bits(lsu_match_data_3, 28, 28) @[lib.scala 88:86] - node _T_1048 = eq(_T_1046, _T_1047) @[lib.scala 88:78] - node _T_1049 = mux(_T_1045, UInt<1>("h01"), _T_1048) @[lib.scala 88:23] - _T_846[28] <= _T_1049 @[lib.scala 88:17] - node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 88:28] - node _T_1051 = andr(_T_1050) @[lib.scala 88:36] - node _T_1052 = and(_T_1051, _T_849) @[lib.scala 88:41] - node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 88:74] - node _T_1054 = bits(lsu_match_data_3, 29, 29) @[lib.scala 88:86] - node _T_1055 = eq(_T_1053, _T_1054) @[lib.scala 88:78] - node _T_1056 = mux(_T_1052, UInt<1>("h01"), _T_1055) @[lib.scala 88:23] - _T_846[29] <= _T_1056 @[lib.scala 88:17] - node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 88:28] - node _T_1058 = andr(_T_1057) @[lib.scala 88:36] - node _T_1059 = and(_T_1058, _T_849) @[lib.scala 88:41] - node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 88:74] - node _T_1061 = bits(lsu_match_data_3, 30, 30) @[lib.scala 88:86] - node _T_1062 = eq(_T_1060, _T_1061) @[lib.scala 88:78] - node _T_1063 = mux(_T_1059, UInt<1>("h01"), _T_1062) @[lib.scala 88:23] - _T_846[30] <= _T_1063 @[lib.scala 88:17] - node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 88:28] - node _T_1065 = andr(_T_1064) @[lib.scala 88:36] - node _T_1066 = and(_T_1065, _T_849) @[lib.scala 88:41] - node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 88:74] - node _T_1068 = bits(lsu_match_data_3, 31, 31) @[lib.scala 88:86] - node _T_1069 = eq(_T_1067, _T_1068) @[lib.scala 88:78] - node _T_1070 = mux(_T_1066, UInt<1>("h01"), _T_1069) @[lib.scala 88:23] - _T_846[31] <= _T_1070 @[lib.scala 88:17] - node _T_1071 = cat(_T_846[1], _T_846[0]) @[lib.scala 89:14] - node _T_1072 = cat(_T_846[3], _T_846[2]) @[lib.scala 89:14] - node _T_1073 = cat(_T_1072, _T_1071) @[lib.scala 89:14] - node _T_1074 = cat(_T_846[5], _T_846[4]) @[lib.scala 89:14] - node _T_1075 = cat(_T_846[7], _T_846[6]) @[lib.scala 89:14] + node _T_304 = andr(_T_303) @[lib.scala 89:25] + node _T_305 = and(_T_46, _T_304) @[lsu_trigger.scala 19:92] + node _T_306 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_307 = and(io.lsu_pkt_m.valid, _T_306) @[lsu_trigger.scala 18:69] + node _T_308 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_309 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_310 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_311 = and(_T_309, _T_310) @[lsu_trigger.scala 19:58] + node _T_312 = or(_T_308, _T_311) @[lsu_trigger.scala 18:152] + node _T_313 = and(_T_307, _T_312) @[lsu_trigger.scala 18:94] + node _T_314 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_315 : UInt<1>[32] @[lib.scala 84:24] + node _T_316 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 85:45] + node _T_317 = not(_T_316) @[lib.scala 85:39] + node _T_318 = and(_T_314, _T_317) @[lib.scala 85:37] + node _T_319 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 86:48] + node _T_320 = bits(lsu_match_data_1, 0, 0) @[lib.scala 86:60] + node _T_321 = eq(_T_319, _T_320) @[lib.scala 86:52] + node _T_322 = or(_T_318, _T_321) @[lib.scala 86:41] + _T_315[0] <= _T_322 @[lib.scala 86:18] + node _T_323 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 88:28] + node _T_324 = andr(_T_323) @[lib.scala 88:36] + node _T_325 = and(_T_324, _T_318) @[lib.scala 88:41] + node _T_326 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 88:74] + node _T_327 = bits(lsu_match_data_1, 1, 1) @[lib.scala 88:86] + node _T_328 = eq(_T_326, _T_327) @[lib.scala 88:78] + node _T_329 = mux(_T_325, UInt<1>("h01"), _T_328) @[lib.scala 88:23] + _T_315[1] <= _T_329 @[lib.scala 88:17] + node _T_330 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 88:28] + node _T_331 = andr(_T_330) @[lib.scala 88:36] + node _T_332 = and(_T_331, _T_318) @[lib.scala 88:41] + node _T_333 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 88:74] + node _T_334 = bits(lsu_match_data_1, 2, 2) @[lib.scala 88:86] + node _T_335 = eq(_T_333, _T_334) @[lib.scala 88:78] + node _T_336 = mux(_T_332, UInt<1>("h01"), _T_335) @[lib.scala 88:23] + _T_315[2] <= _T_336 @[lib.scala 88:17] + node _T_337 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 88:28] + node _T_338 = andr(_T_337) @[lib.scala 88:36] + node _T_339 = and(_T_338, _T_318) @[lib.scala 88:41] + node _T_340 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 88:74] + node _T_341 = bits(lsu_match_data_1, 3, 3) @[lib.scala 88:86] + node _T_342 = eq(_T_340, _T_341) @[lib.scala 88:78] + node _T_343 = mux(_T_339, UInt<1>("h01"), _T_342) @[lib.scala 88:23] + _T_315[3] <= _T_343 @[lib.scala 88:17] + node _T_344 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 88:28] + node _T_345 = andr(_T_344) @[lib.scala 88:36] + node _T_346 = and(_T_345, _T_318) @[lib.scala 88:41] + node _T_347 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 88:74] + node _T_348 = bits(lsu_match_data_1, 4, 4) @[lib.scala 88:86] + node _T_349 = eq(_T_347, _T_348) @[lib.scala 88:78] + node _T_350 = mux(_T_346, UInt<1>("h01"), _T_349) @[lib.scala 88:23] + _T_315[4] <= _T_350 @[lib.scala 88:17] + node _T_351 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 88:28] + node _T_352 = andr(_T_351) @[lib.scala 88:36] + node _T_353 = and(_T_352, _T_318) @[lib.scala 88:41] + node _T_354 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 88:74] + node _T_355 = bits(lsu_match_data_1, 5, 5) @[lib.scala 88:86] + node _T_356 = eq(_T_354, _T_355) @[lib.scala 88:78] + node _T_357 = mux(_T_353, UInt<1>("h01"), _T_356) @[lib.scala 88:23] + _T_315[5] <= _T_357 @[lib.scala 88:17] + node _T_358 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 88:28] + node _T_359 = andr(_T_358) @[lib.scala 88:36] + node _T_360 = and(_T_359, _T_318) @[lib.scala 88:41] + node _T_361 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 88:74] + node _T_362 = bits(lsu_match_data_1, 6, 6) @[lib.scala 88:86] + node _T_363 = eq(_T_361, _T_362) @[lib.scala 88:78] + node _T_364 = mux(_T_360, UInt<1>("h01"), _T_363) @[lib.scala 88:23] + _T_315[6] <= _T_364 @[lib.scala 88:17] + node _T_365 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 88:28] + node _T_366 = andr(_T_365) @[lib.scala 88:36] + node _T_367 = and(_T_366, _T_318) @[lib.scala 88:41] + node _T_368 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 88:74] + node _T_369 = bits(lsu_match_data_1, 7, 7) @[lib.scala 88:86] + node _T_370 = eq(_T_368, _T_369) @[lib.scala 88:78] + node _T_371 = mux(_T_367, UInt<1>("h01"), _T_370) @[lib.scala 88:23] + _T_315[7] <= _T_371 @[lib.scala 88:17] + node _T_372 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 88:28] + node _T_373 = andr(_T_372) @[lib.scala 88:36] + node _T_374 = and(_T_373, _T_318) @[lib.scala 88:41] + node _T_375 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 88:74] + node _T_376 = bits(lsu_match_data_1, 8, 8) @[lib.scala 88:86] + node _T_377 = eq(_T_375, _T_376) @[lib.scala 88:78] + node _T_378 = mux(_T_374, UInt<1>("h01"), _T_377) @[lib.scala 88:23] + _T_315[8] <= _T_378 @[lib.scala 88:17] + node _T_379 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 88:28] + node _T_380 = andr(_T_379) @[lib.scala 88:36] + node _T_381 = and(_T_380, _T_318) @[lib.scala 88:41] + node _T_382 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 88:74] + node _T_383 = bits(lsu_match_data_1, 9, 9) @[lib.scala 88:86] + node _T_384 = eq(_T_382, _T_383) @[lib.scala 88:78] + node _T_385 = mux(_T_381, UInt<1>("h01"), _T_384) @[lib.scala 88:23] + _T_315[9] <= _T_385 @[lib.scala 88:17] + node _T_386 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 88:28] + node _T_387 = andr(_T_386) @[lib.scala 88:36] + node _T_388 = and(_T_387, _T_318) @[lib.scala 88:41] + node _T_389 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 88:74] + node _T_390 = bits(lsu_match_data_1, 10, 10) @[lib.scala 88:86] + node _T_391 = eq(_T_389, _T_390) @[lib.scala 88:78] + node _T_392 = mux(_T_388, UInt<1>("h01"), _T_391) @[lib.scala 88:23] + _T_315[10] <= _T_392 @[lib.scala 88:17] + node _T_393 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 88:28] + node _T_394 = andr(_T_393) @[lib.scala 88:36] + node _T_395 = and(_T_394, _T_318) @[lib.scala 88:41] + node _T_396 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 88:74] + node _T_397 = bits(lsu_match_data_1, 11, 11) @[lib.scala 88:86] + node _T_398 = eq(_T_396, _T_397) @[lib.scala 88:78] + node _T_399 = mux(_T_395, UInt<1>("h01"), _T_398) @[lib.scala 88:23] + _T_315[11] <= _T_399 @[lib.scala 88:17] + node _T_400 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 88:28] + node _T_401 = andr(_T_400) @[lib.scala 88:36] + node _T_402 = and(_T_401, _T_318) @[lib.scala 88:41] + node _T_403 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 88:74] + node _T_404 = bits(lsu_match_data_1, 12, 12) @[lib.scala 88:86] + node _T_405 = eq(_T_403, _T_404) @[lib.scala 88:78] + node _T_406 = mux(_T_402, UInt<1>("h01"), _T_405) @[lib.scala 88:23] + _T_315[12] <= _T_406 @[lib.scala 88:17] + node _T_407 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 88:28] + node _T_408 = andr(_T_407) @[lib.scala 88:36] + node _T_409 = and(_T_408, _T_318) @[lib.scala 88:41] + node _T_410 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 88:74] + node _T_411 = bits(lsu_match_data_1, 13, 13) @[lib.scala 88:86] + node _T_412 = eq(_T_410, _T_411) @[lib.scala 88:78] + node _T_413 = mux(_T_409, UInt<1>("h01"), _T_412) @[lib.scala 88:23] + _T_315[13] <= _T_413 @[lib.scala 88:17] + node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 88:28] + node _T_415 = andr(_T_414) @[lib.scala 88:36] + node _T_416 = and(_T_415, _T_318) @[lib.scala 88:41] + node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 88:74] + node _T_418 = bits(lsu_match_data_1, 14, 14) @[lib.scala 88:86] + node _T_419 = eq(_T_417, _T_418) @[lib.scala 88:78] + node _T_420 = mux(_T_416, UInt<1>("h01"), _T_419) @[lib.scala 88:23] + _T_315[14] <= _T_420 @[lib.scala 88:17] + node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 88:28] + node _T_422 = andr(_T_421) @[lib.scala 88:36] + node _T_423 = and(_T_422, _T_318) @[lib.scala 88:41] + node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 88:74] + node _T_425 = bits(lsu_match_data_1, 15, 15) @[lib.scala 88:86] + node _T_426 = eq(_T_424, _T_425) @[lib.scala 88:78] + node _T_427 = mux(_T_423, UInt<1>("h01"), _T_426) @[lib.scala 88:23] + _T_315[15] <= _T_427 @[lib.scala 88:17] + node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 88:28] + node _T_429 = andr(_T_428) @[lib.scala 88:36] + node _T_430 = and(_T_429, _T_318) @[lib.scala 88:41] + node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 88:74] + node _T_432 = bits(lsu_match_data_1, 16, 16) @[lib.scala 88:86] + node _T_433 = eq(_T_431, _T_432) @[lib.scala 88:78] + node _T_434 = mux(_T_430, UInt<1>("h01"), _T_433) @[lib.scala 88:23] + _T_315[16] <= _T_434 @[lib.scala 88:17] + node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 88:28] + node _T_436 = andr(_T_435) @[lib.scala 88:36] + node _T_437 = and(_T_436, _T_318) @[lib.scala 88:41] + node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 88:74] + node _T_439 = bits(lsu_match_data_1, 17, 17) @[lib.scala 88:86] + node _T_440 = eq(_T_438, _T_439) @[lib.scala 88:78] + node _T_441 = mux(_T_437, UInt<1>("h01"), _T_440) @[lib.scala 88:23] + _T_315[17] <= _T_441 @[lib.scala 88:17] + node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 88:28] + node _T_443 = andr(_T_442) @[lib.scala 88:36] + node _T_444 = and(_T_443, _T_318) @[lib.scala 88:41] + node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 88:74] + node _T_446 = bits(lsu_match_data_1, 18, 18) @[lib.scala 88:86] + node _T_447 = eq(_T_445, _T_446) @[lib.scala 88:78] + node _T_448 = mux(_T_444, UInt<1>("h01"), _T_447) @[lib.scala 88:23] + _T_315[18] <= _T_448 @[lib.scala 88:17] + node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 88:28] + node _T_450 = andr(_T_449) @[lib.scala 88:36] + node _T_451 = and(_T_450, _T_318) @[lib.scala 88:41] + node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 88:74] + node _T_453 = bits(lsu_match_data_1, 19, 19) @[lib.scala 88:86] + node _T_454 = eq(_T_452, _T_453) @[lib.scala 88:78] + node _T_455 = mux(_T_451, UInt<1>("h01"), _T_454) @[lib.scala 88:23] + _T_315[19] <= _T_455 @[lib.scala 88:17] + node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 88:28] + node _T_457 = andr(_T_456) @[lib.scala 88:36] + node _T_458 = and(_T_457, _T_318) @[lib.scala 88:41] + node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 88:74] + node _T_460 = bits(lsu_match_data_1, 20, 20) @[lib.scala 88:86] + node _T_461 = eq(_T_459, _T_460) @[lib.scala 88:78] + node _T_462 = mux(_T_458, UInt<1>("h01"), _T_461) @[lib.scala 88:23] + _T_315[20] <= _T_462 @[lib.scala 88:17] + node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 88:28] + node _T_464 = andr(_T_463) @[lib.scala 88:36] + node _T_465 = and(_T_464, _T_318) @[lib.scala 88:41] + node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 88:74] + node _T_467 = bits(lsu_match_data_1, 21, 21) @[lib.scala 88:86] + node _T_468 = eq(_T_466, _T_467) @[lib.scala 88:78] + node _T_469 = mux(_T_465, UInt<1>("h01"), _T_468) @[lib.scala 88:23] + _T_315[21] <= _T_469 @[lib.scala 88:17] + node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 88:28] + node _T_471 = andr(_T_470) @[lib.scala 88:36] + node _T_472 = and(_T_471, _T_318) @[lib.scala 88:41] + node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 88:74] + node _T_474 = bits(lsu_match_data_1, 22, 22) @[lib.scala 88:86] + node _T_475 = eq(_T_473, _T_474) @[lib.scala 88:78] + node _T_476 = mux(_T_472, UInt<1>("h01"), _T_475) @[lib.scala 88:23] + _T_315[22] <= _T_476 @[lib.scala 88:17] + node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 88:28] + node _T_478 = andr(_T_477) @[lib.scala 88:36] + node _T_479 = and(_T_478, _T_318) @[lib.scala 88:41] + node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 88:74] + node _T_481 = bits(lsu_match_data_1, 23, 23) @[lib.scala 88:86] + node _T_482 = eq(_T_480, _T_481) @[lib.scala 88:78] + node _T_483 = mux(_T_479, UInt<1>("h01"), _T_482) @[lib.scala 88:23] + _T_315[23] <= _T_483 @[lib.scala 88:17] + node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 88:28] + node _T_485 = andr(_T_484) @[lib.scala 88:36] + node _T_486 = and(_T_485, _T_318) @[lib.scala 88:41] + node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 88:74] + node _T_488 = bits(lsu_match_data_1, 24, 24) @[lib.scala 88:86] + node _T_489 = eq(_T_487, _T_488) @[lib.scala 88:78] + node _T_490 = mux(_T_486, UInt<1>("h01"), _T_489) @[lib.scala 88:23] + _T_315[24] <= _T_490 @[lib.scala 88:17] + node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 88:28] + node _T_492 = andr(_T_491) @[lib.scala 88:36] + node _T_493 = and(_T_492, _T_318) @[lib.scala 88:41] + node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 88:74] + node _T_495 = bits(lsu_match_data_1, 25, 25) @[lib.scala 88:86] + node _T_496 = eq(_T_494, _T_495) @[lib.scala 88:78] + node _T_497 = mux(_T_493, UInt<1>("h01"), _T_496) @[lib.scala 88:23] + _T_315[25] <= _T_497 @[lib.scala 88:17] + node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 88:28] + node _T_499 = andr(_T_498) @[lib.scala 88:36] + node _T_500 = and(_T_499, _T_318) @[lib.scala 88:41] + node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 88:74] + node _T_502 = bits(lsu_match_data_1, 26, 26) @[lib.scala 88:86] + node _T_503 = eq(_T_501, _T_502) @[lib.scala 88:78] + node _T_504 = mux(_T_500, UInt<1>("h01"), _T_503) @[lib.scala 88:23] + _T_315[26] <= _T_504 @[lib.scala 88:17] + node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 88:28] + node _T_506 = andr(_T_505) @[lib.scala 88:36] + node _T_507 = and(_T_506, _T_318) @[lib.scala 88:41] + node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 88:74] + node _T_509 = bits(lsu_match_data_1, 27, 27) @[lib.scala 88:86] + node _T_510 = eq(_T_508, _T_509) @[lib.scala 88:78] + node _T_511 = mux(_T_507, UInt<1>("h01"), _T_510) @[lib.scala 88:23] + _T_315[27] <= _T_511 @[lib.scala 88:17] + node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 88:28] + node _T_513 = andr(_T_512) @[lib.scala 88:36] + node _T_514 = and(_T_513, _T_318) @[lib.scala 88:41] + node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 88:74] + node _T_516 = bits(lsu_match_data_1, 28, 28) @[lib.scala 88:86] + node _T_517 = eq(_T_515, _T_516) @[lib.scala 88:78] + node _T_518 = mux(_T_514, UInt<1>("h01"), _T_517) @[lib.scala 88:23] + _T_315[28] <= _T_518 @[lib.scala 88:17] + node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 88:28] + node _T_520 = andr(_T_519) @[lib.scala 88:36] + node _T_521 = and(_T_520, _T_318) @[lib.scala 88:41] + node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 88:74] + node _T_523 = bits(lsu_match_data_1, 29, 29) @[lib.scala 88:86] + node _T_524 = eq(_T_522, _T_523) @[lib.scala 88:78] + node _T_525 = mux(_T_521, UInt<1>("h01"), _T_524) @[lib.scala 88:23] + _T_315[29] <= _T_525 @[lib.scala 88:17] + node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 88:28] + node _T_527 = andr(_T_526) @[lib.scala 88:36] + node _T_528 = and(_T_527, _T_318) @[lib.scala 88:41] + node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 88:74] + node _T_530 = bits(lsu_match_data_1, 30, 30) @[lib.scala 88:86] + node _T_531 = eq(_T_529, _T_530) @[lib.scala 88:78] + node _T_532 = mux(_T_528, UInt<1>("h01"), _T_531) @[lib.scala 88:23] + _T_315[30] <= _T_532 @[lib.scala 88:17] + node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 88:28] + node _T_534 = andr(_T_533) @[lib.scala 88:36] + node _T_535 = and(_T_534, _T_318) @[lib.scala 88:41] + node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 88:74] + node _T_537 = bits(lsu_match_data_1, 31, 31) @[lib.scala 88:86] + node _T_538 = eq(_T_536, _T_537) @[lib.scala 88:78] + node _T_539 = mux(_T_535, UInt<1>("h01"), _T_538) @[lib.scala 88:23] + _T_315[31] <= _T_539 @[lib.scala 88:17] + node _T_540 = cat(_T_315[1], _T_315[0]) @[lib.scala 89:14] + node _T_541 = cat(_T_315[3], _T_315[2]) @[lib.scala 89:14] + node _T_542 = cat(_T_541, _T_540) @[lib.scala 89:14] + node _T_543 = cat(_T_315[5], _T_315[4]) @[lib.scala 89:14] + node _T_544 = cat(_T_315[7], _T_315[6]) @[lib.scala 89:14] + node _T_545 = cat(_T_544, _T_543) @[lib.scala 89:14] + node _T_546 = cat(_T_545, _T_542) @[lib.scala 89:14] + node _T_547 = cat(_T_315[9], _T_315[8]) @[lib.scala 89:14] + node _T_548 = cat(_T_315[11], _T_315[10]) @[lib.scala 89:14] + node _T_549 = cat(_T_548, _T_547) @[lib.scala 89:14] + node _T_550 = cat(_T_315[13], _T_315[12]) @[lib.scala 89:14] + node _T_551 = cat(_T_315[15], _T_315[14]) @[lib.scala 89:14] + node _T_552 = cat(_T_551, _T_550) @[lib.scala 89:14] + node _T_553 = cat(_T_552, _T_549) @[lib.scala 89:14] + node _T_554 = cat(_T_553, _T_546) @[lib.scala 89:14] + node _T_555 = cat(_T_315[17], _T_315[16]) @[lib.scala 89:14] + node _T_556 = cat(_T_315[19], _T_315[18]) @[lib.scala 89:14] + node _T_557 = cat(_T_556, _T_555) @[lib.scala 89:14] + node _T_558 = cat(_T_315[21], _T_315[20]) @[lib.scala 89:14] + node _T_559 = cat(_T_315[23], _T_315[22]) @[lib.scala 89:14] + node _T_560 = cat(_T_559, _T_558) @[lib.scala 89:14] + node _T_561 = cat(_T_560, _T_557) @[lib.scala 89:14] + node _T_562 = cat(_T_315[25], _T_315[24]) @[lib.scala 89:14] + node _T_563 = cat(_T_315[27], _T_315[26]) @[lib.scala 89:14] + node _T_564 = cat(_T_563, _T_562) @[lib.scala 89:14] + node _T_565 = cat(_T_315[29], _T_315[28]) @[lib.scala 89:14] + node _T_566 = cat(_T_315[31], _T_315[30]) @[lib.scala 89:14] + node _T_567 = cat(_T_566, _T_565) @[lib.scala 89:14] + node _T_568 = cat(_T_567, _T_564) @[lib.scala 89:14] + node _T_569 = cat(_T_568, _T_561) @[lib.scala 89:14] + node _T_570 = cat(_T_569, _T_554) @[lib.scala 89:14] + node _T_571 = andr(_T_570) @[lib.scala 89:25] + node _T_572 = and(_T_313, _T_571) @[lsu_trigger.scala 19:92] + node _T_573 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_574 = and(io.lsu_pkt_m.valid, _T_573) @[lsu_trigger.scala 18:69] + node _T_575 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_576 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_577 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_578 = and(_T_576, _T_577) @[lsu_trigger.scala 19:58] + node _T_579 = or(_T_575, _T_578) @[lsu_trigger.scala 18:152] + node _T_580 = and(_T_574, _T_579) @[lsu_trigger.scala 18:94] + node _T_581 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_582 : UInt<1>[32] @[lib.scala 84:24] + node _T_583 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 85:45] + node _T_584 = not(_T_583) @[lib.scala 85:39] + node _T_585 = and(_T_581, _T_584) @[lib.scala 85:37] + node _T_586 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 86:48] + node _T_587 = bits(lsu_match_data_2, 0, 0) @[lib.scala 86:60] + node _T_588 = eq(_T_586, _T_587) @[lib.scala 86:52] + node _T_589 = or(_T_585, _T_588) @[lib.scala 86:41] + _T_582[0] <= _T_589 @[lib.scala 86:18] + node _T_590 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 88:28] + node _T_591 = andr(_T_590) @[lib.scala 88:36] + node _T_592 = and(_T_591, _T_585) @[lib.scala 88:41] + node _T_593 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 88:74] + node _T_594 = bits(lsu_match_data_2, 1, 1) @[lib.scala 88:86] + node _T_595 = eq(_T_593, _T_594) @[lib.scala 88:78] + node _T_596 = mux(_T_592, UInt<1>("h01"), _T_595) @[lib.scala 88:23] + _T_582[1] <= _T_596 @[lib.scala 88:17] + node _T_597 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 88:28] + node _T_598 = andr(_T_597) @[lib.scala 88:36] + node _T_599 = and(_T_598, _T_585) @[lib.scala 88:41] + node _T_600 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 88:74] + node _T_601 = bits(lsu_match_data_2, 2, 2) @[lib.scala 88:86] + node _T_602 = eq(_T_600, _T_601) @[lib.scala 88:78] + node _T_603 = mux(_T_599, UInt<1>("h01"), _T_602) @[lib.scala 88:23] + _T_582[2] <= _T_603 @[lib.scala 88:17] + node _T_604 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 88:28] + node _T_605 = andr(_T_604) @[lib.scala 88:36] + node _T_606 = and(_T_605, _T_585) @[lib.scala 88:41] + node _T_607 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 88:74] + node _T_608 = bits(lsu_match_data_2, 3, 3) @[lib.scala 88:86] + node _T_609 = eq(_T_607, _T_608) @[lib.scala 88:78] + node _T_610 = mux(_T_606, UInt<1>("h01"), _T_609) @[lib.scala 88:23] + _T_582[3] <= _T_610 @[lib.scala 88:17] + node _T_611 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 88:28] + node _T_612 = andr(_T_611) @[lib.scala 88:36] + node _T_613 = and(_T_612, _T_585) @[lib.scala 88:41] + node _T_614 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 88:74] + node _T_615 = bits(lsu_match_data_2, 4, 4) @[lib.scala 88:86] + node _T_616 = eq(_T_614, _T_615) @[lib.scala 88:78] + node _T_617 = mux(_T_613, UInt<1>("h01"), _T_616) @[lib.scala 88:23] + _T_582[4] <= _T_617 @[lib.scala 88:17] + node _T_618 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 88:28] + node _T_619 = andr(_T_618) @[lib.scala 88:36] + node _T_620 = and(_T_619, _T_585) @[lib.scala 88:41] + node _T_621 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 88:74] + node _T_622 = bits(lsu_match_data_2, 5, 5) @[lib.scala 88:86] + node _T_623 = eq(_T_621, _T_622) @[lib.scala 88:78] + node _T_624 = mux(_T_620, UInt<1>("h01"), _T_623) @[lib.scala 88:23] + _T_582[5] <= _T_624 @[lib.scala 88:17] + node _T_625 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 88:28] + node _T_626 = andr(_T_625) @[lib.scala 88:36] + node _T_627 = and(_T_626, _T_585) @[lib.scala 88:41] + node _T_628 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 88:74] + node _T_629 = bits(lsu_match_data_2, 6, 6) @[lib.scala 88:86] + node _T_630 = eq(_T_628, _T_629) @[lib.scala 88:78] + node _T_631 = mux(_T_627, UInt<1>("h01"), _T_630) @[lib.scala 88:23] + _T_582[6] <= _T_631 @[lib.scala 88:17] + node _T_632 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 88:28] + node _T_633 = andr(_T_632) @[lib.scala 88:36] + node _T_634 = and(_T_633, _T_585) @[lib.scala 88:41] + node _T_635 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 88:74] + node _T_636 = bits(lsu_match_data_2, 7, 7) @[lib.scala 88:86] + node _T_637 = eq(_T_635, _T_636) @[lib.scala 88:78] + node _T_638 = mux(_T_634, UInt<1>("h01"), _T_637) @[lib.scala 88:23] + _T_582[7] <= _T_638 @[lib.scala 88:17] + node _T_639 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 88:28] + node _T_640 = andr(_T_639) @[lib.scala 88:36] + node _T_641 = and(_T_640, _T_585) @[lib.scala 88:41] + node _T_642 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 88:74] + node _T_643 = bits(lsu_match_data_2, 8, 8) @[lib.scala 88:86] + node _T_644 = eq(_T_642, _T_643) @[lib.scala 88:78] + node _T_645 = mux(_T_641, UInt<1>("h01"), _T_644) @[lib.scala 88:23] + _T_582[8] <= _T_645 @[lib.scala 88:17] + node _T_646 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 88:28] + node _T_647 = andr(_T_646) @[lib.scala 88:36] + node _T_648 = and(_T_647, _T_585) @[lib.scala 88:41] + node _T_649 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 88:74] + node _T_650 = bits(lsu_match_data_2, 9, 9) @[lib.scala 88:86] + node _T_651 = eq(_T_649, _T_650) @[lib.scala 88:78] + node _T_652 = mux(_T_648, UInt<1>("h01"), _T_651) @[lib.scala 88:23] + _T_582[9] <= _T_652 @[lib.scala 88:17] + node _T_653 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 88:28] + node _T_654 = andr(_T_653) @[lib.scala 88:36] + node _T_655 = and(_T_654, _T_585) @[lib.scala 88:41] + node _T_656 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 88:74] + node _T_657 = bits(lsu_match_data_2, 10, 10) @[lib.scala 88:86] + node _T_658 = eq(_T_656, _T_657) @[lib.scala 88:78] + node _T_659 = mux(_T_655, UInt<1>("h01"), _T_658) @[lib.scala 88:23] + _T_582[10] <= _T_659 @[lib.scala 88:17] + node _T_660 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 88:28] + node _T_661 = andr(_T_660) @[lib.scala 88:36] + node _T_662 = and(_T_661, _T_585) @[lib.scala 88:41] + node _T_663 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 88:74] + node _T_664 = bits(lsu_match_data_2, 11, 11) @[lib.scala 88:86] + node _T_665 = eq(_T_663, _T_664) @[lib.scala 88:78] + node _T_666 = mux(_T_662, UInt<1>("h01"), _T_665) @[lib.scala 88:23] + _T_582[11] <= _T_666 @[lib.scala 88:17] + node _T_667 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 88:28] + node _T_668 = andr(_T_667) @[lib.scala 88:36] + node _T_669 = and(_T_668, _T_585) @[lib.scala 88:41] + node _T_670 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 88:74] + node _T_671 = bits(lsu_match_data_2, 12, 12) @[lib.scala 88:86] + node _T_672 = eq(_T_670, _T_671) @[lib.scala 88:78] + node _T_673 = mux(_T_669, UInt<1>("h01"), _T_672) @[lib.scala 88:23] + _T_582[12] <= _T_673 @[lib.scala 88:17] + node _T_674 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 88:28] + node _T_675 = andr(_T_674) @[lib.scala 88:36] + node _T_676 = and(_T_675, _T_585) @[lib.scala 88:41] + node _T_677 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 88:74] + node _T_678 = bits(lsu_match_data_2, 13, 13) @[lib.scala 88:86] + node _T_679 = eq(_T_677, _T_678) @[lib.scala 88:78] + node _T_680 = mux(_T_676, UInt<1>("h01"), _T_679) @[lib.scala 88:23] + _T_582[13] <= _T_680 @[lib.scala 88:17] + node _T_681 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 88:28] + node _T_682 = andr(_T_681) @[lib.scala 88:36] + node _T_683 = and(_T_682, _T_585) @[lib.scala 88:41] + node _T_684 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 88:74] + node _T_685 = bits(lsu_match_data_2, 14, 14) @[lib.scala 88:86] + node _T_686 = eq(_T_684, _T_685) @[lib.scala 88:78] + node _T_687 = mux(_T_683, UInt<1>("h01"), _T_686) @[lib.scala 88:23] + _T_582[14] <= _T_687 @[lib.scala 88:17] + node _T_688 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 88:28] + node _T_689 = andr(_T_688) @[lib.scala 88:36] + node _T_690 = and(_T_689, _T_585) @[lib.scala 88:41] + node _T_691 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 88:74] + node _T_692 = bits(lsu_match_data_2, 15, 15) @[lib.scala 88:86] + node _T_693 = eq(_T_691, _T_692) @[lib.scala 88:78] + node _T_694 = mux(_T_690, UInt<1>("h01"), _T_693) @[lib.scala 88:23] + _T_582[15] <= _T_694 @[lib.scala 88:17] + node _T_695 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 88:28] + node _T_696 = andr(_T_695) @[lib.scala 88:36] + node _T_697 = and(_T_696, _T_585) @[lib.scala 88:41] + node _T_698 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 88:74] + node _T_699 = bits(lsu_match_data_2, 16, 16) @[lib.scala 88:86] + node _T_700 = eq(_T_698, _T_699) @[lib.scala 88:78] + node _T_701 = mux(_T_697, UInt<1>("h01"), _T_700) @[lib.scala 88:23] + _T_582[16] <= _T_701 @[lib.scala 88:17] + node _T_702 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 88:28] + node _T_703 = andr(_T_702) @[lib.scala 88:36] + node _T_704 = and(_T_703, _T_585) @[lib.scala 88:41] + node _T_705 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 88:74] + node _T_706 = bits(lsu_match_data_2, 17, 17) @[lib.scala 88:86] + node _T_707 = eq(_T_705, _T_706) @[lib.scala 88:78] + node _T_708 = mux(_T_704, UInt<1>("h01"), _T_707) @[lib.scala 88:23] + _T_582[17] <= _T_708 @[lib.scala 88:17] + node _T_709 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 88:28] + node _T_710 = andr(_T_709) @[lib.scala 88:36] + node _T_711 = and(_T_710, _T_585) @[lib.scala 88:41] + node _T_712 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 88:74] + node _T_713 = bits(lsu_match_data_2, 18, 18) @[lib.scala 88:86] + node _T_714 = eq(_T_712, _T_713) @[lib.scala 88:78] + node _T_715 = mux(_T_711, UInt<1>("h01"), _T_714) @[lib.scala 88:23] + _T_582[18] <= _T_715 @[lib.scala 88:17] + node _T_716 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 88:28] + node _T_717 = andr(_T_716) @[lib.scala 88:36] + node _T_718 = and(_T_717, _T_585) @[lib.scala 88:41] + node _T_719 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 88:74] + node _T_720 = bits(lsu_match_data_2, 19, 19) @[lib.scala 88:86] + node _T_721 = eq(_T_719, _T_720) @[lib.scala 88:78] + node _T_722 = mux(_T_718, UInt<1>("h01"), _T_721) @[lib.scala 88:23] + _T_582[19] <= _T_722 @[lib.scala 88:17] + node _T_723 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 88:28] + node _T_724 = andr(_T_723) @[lib.scala 88:36] + node _T_725 = and(_T_724, _T_585) @[lib.scala 88:41] + node _T_726 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 88:74] + node _T_727 = bits(lsu_match_data_2, 20, 20) @[lib.scala 88:86] + node _T_728 = eq(_T_726, _T_727) @[lib.scala 88:78] + node _T_729 = mux(_T_725, UInt<1>("h01"), _T_728) @[lib.scala 88:23] + _T_582[20] <= _T_729 @[lib.scala 88:17] + node _T_730 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 88:28] + node _T_731 = andr(_T_730) @[lib.scala 88:36] + node _T_732 = and(_T_731, _T_585) @[lib.scala 88:41] + node _T_733 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 88:74] + node _T_734 = bits(lsu_match_data_2, 21, 21) @[lib.scala 88:86] + node _T_735 = eq(_T_733, _T_734) @[lib.scala 88:78] + node _T_736 = mux(_T_732, UInt<1>("h01"), _T_735) @[lib.scala 88:23] + _T_582[21] <= _T_736 @[lib.scala 88:17] + node _T_737 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 88:28] + node _T_738 = andr(_T_737) @[lib.scala 88:36] + node _T_739 = and(_T_738, _T_585) @[lib.scala 88:41] + node _T_740 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 88:74] + node _T_741 = bits(lsu_match_data_2, 22, 22) @[lib.scala 88:86] + node _T_742 = eq(_T_740, _T_741) @[lib.scala 88:78] + node _T_743 = mux(_T_739, UInt<1>("h01"), _T_742) @[lib.scala 88:23] + _T_582[22] <= _T_743 @[lib.scala 88:17] + node _T_744 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 88:28] + node _T_745 = andr(_T_744) @[lib.scala 88:36] + node _T_746 = and(_T_745, _T_585) @[lib.scala 88:41] + node _T_747 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 88:74] + node _T_748 = bits(lsu_match_data_2, 23, 23) @[lib.scala 88:86] + node _T_749 = eq(_T_747, _T_748) @[lib.scala 88:78] + node _T_750 = mux(_T_746, UInt<1>("h01"), _T_749) @[lib.scala 88:23] + _T_582[23] <= _T_750 @[lib.scala 88:17] + node _T_751 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 88:28] + node _T_752 = andr(_T_751) @[lib.scala 88:36] + node _T_753 = and(_T_752, _T_585) @[lib.scala 88:41] + node _T_754 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 88:74] + node _T_755 = bits(lsu_match_data_2, 24, 24) @[lib.scala 88:86] + node _T_756 = eq(_T_754, _T_755) @[lib.scala 88:78] + node _T_757 = mux(_T_753, UInt<1>("h01"), _T_756) @[lib.scala 88:23] + _T_582[24] <= _T_757 @[lib.scala 88:17] + node _T_758 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 88:28] + node _T_759 = andr(_T_758) @[lib.scala 88:36] + node _T_760 = and(_T_759, _T_585) @[lib.scala 88:41] + node _T_761 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 88:74] + node _T_762 = bits(lsu_match_data_2, 25, 25) @[lib.scala 88:86] + node _T_763 = eq(_T_761, _T_762) @[lib.scala 88:78] + node _T_764 = mux(_T_760, UInt<1>("h01"), _T_763) @[lib.scala 88:23] + _T_582[25] <= _T_764 @[lib.scala 88:17] + node _T_765 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 88:28] + node _T_766 = andr(_T_765) @[lib.scala 88:36] + node _T_767 = and(_T_766, _T_585) @[lib.scala 88:41] + node _T_768 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 88:74] + node _T_769 = bits(lsu_match_data_2, 26, 26) @[lib.scala 88:86] + node _T_770 = eq(_T_768, _T_769) @[lib.scala 88:78] + node _T_771 = mux(_T_767, UInt<1>("h01"), _T_770) @[lib.scala 88:23] + _T_582[26] <= _T_771 @[lib.scala 88:17] + node _T_772 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 88:28] + node _T_773 = andr(_T_772) @[lib.scala 88:36] + node _T_774 = and(_T_773, _T_585) @[lib.scala 88:41] + node _T_775 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 88:74] + node _T_776 = bits(lsu_match_data_2, 27, 27) @[lib.scala 88:86] + node _T_777 = eq(_T_775, _T_776) @[lib.scala 88:78] + node _T_778 = mux(_T_774, UInt<1>("h01"), _T_777) @[lib.scala 88:23] + _T_582[27] <= _T_778 @[lib.scala 88:17] + node _T_779 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 88:28] + node _T_780 = andr(_T_779) @[lib.scala 88:36] + node _T_781 = and(_T_780, _T_585) @[lib.scala 88:41] + node _T_782 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 88:74] + node _T_783 = bits(lsu_match_data_2, 28, 28) @[lib.scala 88:86] + node _T_784 = eq(_T_782, _T_783) @[lib.scala 88:78] + node _T_785 = mux(_T_781, UInt<1>("h01"), _T_784) @[lib.scala 88:23] + _T_582[28] <= _T_785 @[lib.scala 88:17] + node _T_786 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 88:28] + node _T_787 = andr(_T_786) @[lib.scala 88:36] + node _T_788 = and(_T_787, _T_585) @[lib.scala 88:41] + node _T_789 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 88:74] + node _T_790 = bits(lsu_match_data_2, 29, 29) @[lib.scala 88:86] + node _T_791 = eq(_T_789, _T_790) @[lib.scala 88:78] + node _T_792 = mux(_T_788, UInt<1>("h01"), _T_791) @[lib.scala 88:23] + _T_582[29] <= _T_792 @[lib.scala 88:17] + node _T_793 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 88:28] + node _T_794 = andr(_T_793) @[lib.scala 88:36] + node _T_795 = and(_T_794, _T_585) @[lib.scala 88:41] + node _T_796 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 88:74] + node _T_797 = bits(lsu_match_data_2, 30, 30) @[lib.scala 88:86] + node _T_798 = eq(_T_796, _T_797) @[lib.scala 88:78] + node _T_799 = mux(_T_795, UInt<1>("h01"), _T_798) @[lib.scala 88:23] + _T_582[30] <= _T_799 @[lib.scala 88:17] + node _T_800 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 88:28] + node _T_801 = andr(_T_800) @[lib.scala 88:36] + node _T_802 = and(_T_801, _T_585) @[lib.scala 88:41] + node _T_803 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 88:74] + node _T_804 = bits(lsu_match_data_2, 31, 31) @[lib.scala 88:86] + node _T_805 = eq(_T_803, _T_804) @[lib.scala 88:78] + node _T_806 = mux(_T_802, UInt<1>("h01"), _T_805) @[lib.scala 88:23] + _T_582[31] <= _T_806 @[lib.scala 88:17] + node _T_807 = cat(_T_582[1], _T_582[0]) @[lib.scala 89:14] + node _T_808 = cat(_T_582[3], _T_582[2]) @[lib.scala 89:14] + node _T_809 = cat(_T_808, _T_807) @[lib.scala 89:14] + node _T_810 = cat(_T_582[5], _T_582[4]) @[lib.scala 89:14] + node _T_811 = cat(_T_582[7], _T_582[6]) @[lib.scala 89:14] + node _T_812 = cat(_T_811, _T_810) @[lib.scala 89:14] + node _T_813 = cat(_T_812, _T_809) @[lib.scala 89:14] + node _T_814 = cat(_T_582[9], _T_582[8]) @[lib.scala 89:14] + node _T_815 = cat(_T_582[11], _T_582[10]) @[lib.scala 89:14] + node _T_816 = cat(_T_815, _T_814) @[lib.scala 89:14] + node _T_817 = cat(_T_582[13], _T_582[12]) @[lib.scala 89:14] + node _T_818 = cat(_T_582[15], _T_582[14]) @[lib.scala 89:14] + node _T_819 = cat(_T_818, _T_817) @[lib.scala 89:14] + node _T_820 = cat(_T_819, _T_816) @[lib.scala 89:14] + node _T_821 = cat(_T_820, _T_813) @[lib.scala 89:14] + node _T_822 = cat(_T_582[17], _T_582[16]) @[lib.scala 89:14] + node _T_823 = cat(_T_582[19], _T_582[18]) @[lib.scala 89:14] + node _T_824 = cat(_T_823, _T_822) @[lib.scala 89:14] + node _T_825 = cat(_T_582[21], _T_582[20]) @[lib.scala 89:14] + node _T_826 = cat(_T_582[23], _T_582[22]) @[lib.scala 89:14] + node _T_827 = cat(_T_826, _T_825) @[lib.scala 89:14] + node _T_828 = cat(_T_827, _T_824) @[lib.scala 89:14] + node _T_829 = cat(_T_582[25], _T_582[24]) @[lib.scala 89:14] + node _T_830 = cat(_T_582[27], _T_582[26]) @[lib.scala 89:14] + node _T_831 = cat(_T_830, _T_829) @[lib.scala 89:14] + node _T_832 = cat(_T_582[29], _T_582[28]) @[lib.scala 89:14] + node _T_833 = cat(_T_582[31], _T_582[30]) @[lib.scala 89:14] + node _T_834 = cat(_T_833, _T_832) @[lib.scala 89:14] + node _T_835 = cat(_T_834, _T_831) @[lib.scala 89:14] + node _T_836 = cat(_T_835, _T_828) @[lib.scala 89:14] + node _T_837 = cat(_T_836, _T_821) @[lib.scala 89:14] + node _T_838 = andr(_T_837) @[lib.scala 89:25] + node _T_839 = and(_T_580, _T_838) @[lsu_trigger.scala 19:92] + node _T_840 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_841 = and(io.lsu_pkt_m.valid, _T_840) @[lsu_trigger.scala 18:69] + node _T_842 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_843 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_844 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_845 = and(_T_843, _T_844) @[lsu_trigger.scala 19:58] + node _T_846 = or(_T_842, _T_845) @[lsu_trigger.scala 18:152] + node _T_847 = and(_T_841, _T_846) @[lsu_trigger.scala 18:94] + node _T_848 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_849 : UInt<1>[32] @[lib.scala 84:24] + node _T_850 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 85:45] + node _T_851 = not(_T_850) @[lib.scala 85:39] + node _T_852 = and(_T_848, _T_851) @[lib.scala 85:37] + node _T_853 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 86:48] + node _T_854 = bits(lsu_match_data_3, 0, 0) @[lib.scala 86:60] + node _T_855 = eq(_T_853, _T_854) @[lib.scala 86:52] + node _T_856 = or(_T_852, _T_855) @[lib.scala 86:41] + _T_849[0] <= _T_856 @[lib.scala 86:18] + node _T_857 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 88:28] + node _T_858 = andr(_T_857) @[lib.scala 88:36] + node _T_859 = and(_T_858, _T_852) @[lib.scala 88:41] + node _T_860 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 88:74] + node _T_861 = bits(lsu_match_data_3, 1, 1) @[lib.scala 88:86] + node _T_862 = eq(_T_860, _T_861) @[lib.scala 88:78] + node _T_863 = mux(_T_859, UInt<1>("h01"), _T_862) @[lib.scala 88:23] + _T_849[1] <= _T_863 @[lib.scala 88:17] + node _T_864 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 88:28] + node _T_865 = andr(_T_864) @[lib.scala 88:36] + node _T_866 = and(_T_865, _T_852) @[lib.scala 88:41] + node _T_867 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 88:74] + node _T_868 = bits(lsu_match_data_3, 2, 2) @[lib.scala 88:86] + node _T_869 = eq(_T_867, _T_868) @[lib.scala 88:78] + node _T_870 = mux(_T_866, UInt<1>("h01"), _T_869) @[lib.scala 88:23] + _T_849[2] <= _T_870 @[lib.scala 88:17] + node _T_871 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 88:28] + node _T_872 = andr(_T_871) @[lib.scala 88:36] + node _T_873 = and(_T_872, _T_852) @[lib.scala 88:41] + node _T_874 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 88:74] + node _T_875 = bits(lsu_match_data_3, 3, 3) @[lib.scala 88:86] + node _T_876 = eq(_T_874, _T_875) @[lib.scala 88:78] + node _T_877 = mux(_T_873, UInt<1>("h01"), _T_876) @[lib.scala 88:23] + _T_849[3] <= _T_877 @[lib.scala 88:17] + node _T_878 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 88:28] + node _T_879 = andr(_T_878) @[lib.scala 88:36] + node _T_880 = and(_T_879, _T_852) @[lib.scala 88:41] + node _T_881 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 88:74] + node _T_882 = bits(lsu_match_data_3, 4, 4) @[lib.scala 88:86] + node _T_883 = eq(_T_881, _T_882) @[lib.scala 88:78] + node _T_884 = mux(_T_880, UInt<1>("h01"), _T_883) @[lib.scala 88:23] + _T_849[4] <= _T_884 @[lib.scala 88:17] + node _T_885 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 88:28] + node _T_886 = andr(_T_885) @[lib.scala 88:36] + node _T_887 = and(_T_886, _T_852) @[lib.scala 88:41] + node _T_888 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 88:74] + node _T_889 = bits(lsu_match_data_3, 5, 5) @[lib.scala 88:86] + node _T_890 = eq(_T_888, _T_889) @[lib.scala 88:78] + node _T_891 = mux(_T_887, UInt<1>("h01"), _T_890) @[lib.scala 88:23] + _T_849[5] <= _T_891 @[lib.scala 88:17] + node _T_892 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 88:28] + node _T_893 = andr(_T_892) @[lib.scala 88:36] + node _T_894 = and(_T_893, _T_852) @[lib.scala 88:41] + node _T_895 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 88:74] + node _T_896 = bits(lsu_match_data_3, 6, 6) @[lib.scala 88:86] + node _T_897 = eq(_T_895, _T_896) @[lib.scala 88:78] + node _T_898 = mux(_T_894, UInt<1>("h01"), _T_897) @[lib.scala 88:23] + _T_849[6] <= _T_898 @[lib.scala 88:17] + node _T_899 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 88:28] + node _T_900 = andr(_T_899) @[lib.scala 88:36] + node _T_901 = and(_T_900, _T_852) @[lib.scala 88:41] + node _T_902 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 88:74] + node _T_903 = bits(lsu_match_data_3, 7, 7) @[lib.scala 88:86] + node _T_904 = eq(_T_902, _T_903) @[lib.scala 88:78] + node _T_905 = mux(_T_901, UInt<1>("h01"), _T_904) @[lib.scala 88:23] + _T_849[7] <= _T_905 @[lib.scala 88:17] + node _T_906 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 88:28] + node _T_907 = andr(_T_906) @[lib.scala 88:36] + node _T_908 = and(_T_907, _T_852) @[lib.scala 88:41] + node _T_909 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 88:74] + node _T_910 = bits(lsu_match_data_3, 8, 8) @[lib.scala 88:86] + node _T_911 = eq(_T_909, _T_910) @[lib.scala 88:78] + node _T_912 = mux(_T_908, UInt<1>("h01"), _T_911) @[lib.scala 88:23] + _T_849[8] <= _T_912 @[lib.scala 88:17] + node _T_913 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 88:28] + node _T_914 = andr(_T_913) @[lib.scala 88:36] + node _T_915 = and(_T_914, _T_852) @[lib.scala 88:41] + node _T_916 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 88:74] + node _T_917 = bits(lsu_match_data_3, 9, 9) @[lib.scala 88:86] + node _T_918 = eq(_T_916, _T_917) @[lib.scala 88:78] + node _T_919 = mux(_T_915, UInt<1>("h01"), _T_918) @[lib.scala 88:23] + _T_849[9] <= _T_919 @[lib.scala 88:17] + node _T_920 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 88:28] + node _T_921 = andr(_T_920) @[lib.scala 88:36] + node _T_922 = and(_T_921, _T_852) @[lib.scala 88:41] + node _T_923 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 88:74] + node _T_924 = bits(lsu_match_data_3, 10, 10) @[lib.scala 88:86] + node _T_925 = eq(_T_923, _T_924) @[lib.scala 88:78] + node _T_926 = mux(_T_922, UInt<1>("h01"), _T_925) @[lib.scala 88:23] + _T_849[10] <= _T_926 @[lib.scala 88:17] + node _T_927 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 88:28] + node _T_928 = andr(_T_927) @[lib.scala 88:36] + node _T_929 = and(_T_928, _T_852) @[lib.scala 88:41] + node _T_930 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 88:74] + node _T_931 = bits(lsu_match_data_3, 11, 11) @[lib.scala 88:86] + node _T_932 = eq(_T_930, _T_931) @[lib.scala 88:78] + node _T_933 = mux(_T_929, UInt<1>("h01"), _T_932) @[lib.scala 88:23] + _T_849[11] <= _T_933 @[lib.scala 88:17] + node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 88:28] + node _T_935 = andr(_T_934) @[lib.scala 88:36] + node _T_936 = and(_T_935, _T_852) @[lib.scala 88:41] + node _T_937 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 88:74] + node _T_938 = bits(lsu_match_data_3, 12, 12) @[lib.scala 88:86] + node _T_939 = eq(_T_937, _T_938) @[lib.scala 88:78] + node _T_940 = mux(_T_936, UInt<1>("h01"), _T_939) @[lib.scala 88:23] + _T_849[12] <= _T_940 @[lib.scala 88:17] + node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 88:28] + node _T_942 = andr(_T_941) @[lib.scala 88:36] + node _T_943 = and(_T_942, _T_852) @[lib.scala 88:41] + node _T_944 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 88:74] + node _T_945 = bits(lsu_match_data_3, 13, 13) @[lib.scala 88:86] + node _T_946 = eq(_T_944, _T_945) @[lib.scala 88:78] + node _T_947 = mux(_T_943, UInt<1>("h01"), _T_946) @[lib.scala 88:23] + _T_849[13] <= _T_947 @[lib.scala 88:17] + node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 88:28] + node _T_949 = andr(_T_948) @[lib.scala 88:36] + node _T_950 = and(_T_949, _T_852) @[lib.scala 88:41] + node _T_951 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 88:74] + node _T_952 = bits(lsu_match_data_3, 14, 14) @[lib.scala 88:86] + node _T_953 = eq(_T_951, _T_952) @[lib.scala 88:78] + node _T_954 = mux(_T_950, UInt<1>("h01"), _T_953) @[lib.scala 88:23] + _T_849[14] <= _T_954 @[lib.scala 88:17] + node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 88:28] + node _T_956 = andr(_T_955) @[lib.scala 88:36] + node _T_957 = and(_T_956, _T_852) @[lib.scala 88:41] + node _T_958 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 88:74] + node _T_959 = bits(lsu_match_data_3, 15, 15) @[lib.scala 88:86] + node _T_960 = eq(_T_958, _T_959) @[lib.scala 88:78] + node _T_961 = mux(_T_957, UInt<1>("h01"), _T_960) @[lib.scala 88:23] + _T_849[15] <= _T_961 @[lib.scala 88:17] + node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 88:28] + node _T_963 = andr(_T_962) @[lib.scala 88:36] + node _T_964 = and(_T_963, _T_852) @[lib.scala 88:41] + node _T_965 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 88:74] + node _T_966 = bits(lsu_match_data_3, 16, 16) @[lib.scala 88:86] + node _T_967 = eq(_T_965, _T_966) @[lib.scala 88:78] + node _T_968 = mux(_T_964, UInt<1>("h01"), _T_967) @[lib.scala 88:23] + _T_849[16] <= _T_968 @[lib.scala 88:17] + node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 88:28] + node _T_970 = andr(_T_969) @[lib.scala 88:36] + node _T_971 = and(_T_970, _T_852) @[lib.scala 88:41] + node _T_972 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 88:74] + node _T_973 = bits(lsu_match_data_3, 17, 17) @[lib.scala 88:86] + node _T_974 = eq(_T_972, _T_973) @[lib.scala 88:78] + node _T_975 = mux(_T_971, UInt<1>("h01"), _T_974) @[lib.scala 88:23] + _T_849[17] <= _T_975 @[lib.scala 88:17] + node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 88:28] + node _T_977 = andr(_T_976) @[lib.scala 88:36] + node _T_978 = and(_T_977, _T_852) @[lib.scala 88:41] + node _T_979 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 88:74] + node _T_980 = bits(lsu_match_data_3, 18, 18) @[lib.scala 88:86] + node _T_981 = eq(_T_979, _T_980) @[lib.scala 88:78] + node _T_982 = mux(_T_978, UInt<1>("h01"), _T_981) @[lib.scala 88:23] + _T_849[18] <= _T_982 @[lib.scala 88:17] + node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 88:28] + node _T_984 = andr(_T_983) @[lib.scala 88:36] + node _T_985 = and(_T_984, _T_852) @[lib.scala 88:41] + node _T_986 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 88:74] + node _T_987 = bits(lsu_match_data_3, 19, 19) @[lib.scala 88:86] + node _T_988 = eq(_T_986, _T_987) @[lib.scala 88:78] + node _T_989 = mux(_T_985, UInt<1>("h01"), _T_988) @[lib.scala 88:23] + _T_849[19] <= _T_989 @[lib.scala 88:17] + node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 88:28] + node _T_991 = andr(_T_990) @[lib.scala 88:36] + node _T_992 = and(_T_991, _T_852) @[lib.scala 88:41] + node _T_993 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 88:74] + node _T_994 = bits(lsu_match_data_3, 20, 20) @[lib.scala 88:86] + node _T_995 = eq(_T_993, _T_994) @[lib.scala 88:78] + node _T_996 = mux(_T_992, UInt<1>("h01"), _T_995) @[lib.scala 88:23] + _T_849[20] <= _T_996 @[lib.scala 88:17] + node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 88:28] + node _T_998 = andr(_T_997) @[lib.scala 88:36] + node _T_999 = and(_T_998, _T_852) @[lib.scala 88:41] + node _T_1000 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 88:74] + node _T_1001 = bits(lsu_match_data_3, 21, 21) @[lib.scala 88:86] + node _T_1002 = eq(_T_1000, _T_1001) @[lib.scala 88:78] + node _T_1003 = mux(_T_999, UInt<1>("h01"), _T_1002) @[lib.scala 88:23] + _T_849[21] <= _T_1003 @[lib.scala 88:17] + node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 88:28] + node _T_1005 = andr(_T_1004) @[lib.scala 88:36] + node _T_1006 = and(_T_1005, _T_852) @[lib.scala 88:41] + node _T_1007 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 88:74] + node _T_1008 = bits(lsu_match_data_3, 22, 22) @[lib.scala 88:86] + node _T_1009 = eq(_T_1007, _T_1008) @[lib.scala 88:78] + node _T_1010 = mux(_T_1006, UInt<1>("h01"), _T_1009) @[lib.scala 88:23] + _T_849[22] <= _T_1010 @[lib.scala 88:17] + node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 88:28] + node _T_1012 = andr(_T_1011) @[lib.scala 88:36] + node _T_1013 = and(_T_1012, _T_852) @[lib.scala 88:41] + node _T_1014 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 88:74] + node _T_1015 = bits(lsu_match_data_3, 23, 23) @[lib.scala 88:86] + node _T_1016 = eq(_T_1014, _T_1015) @[lib.scala 88:78] + node _T_1017 = mux(_T_1013, UInt<1>("h01"), _T_1016) @[lib.scala 88:23] + _T_849[23] <= _T_1017 @[lib.scala 88:17] + node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 88:28] + node _T_1019 = andr(_T_1018) @[lib.scala 88:36] + node _T_1020 = and(_T_1019, _T_852) @[lib.scala 88:41] + node _T_1021 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 88:74] + node _T_1022 = bits(lsu_match_data_3, 24, 24) @[lib.scala 88:86] + node _T_1023 = eq(_T_1021, _T_1022) @[lib.scala 88:78] + node _T_1024 = mux(_T_1020, UInt<1>("h01"), _T_1023) @[lib.scala 88:23] + _T_849[24] <= _T_1024 @[lib.scala 88:17] + node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 88:28] + node _T_1026 = andr(_T_1025) @[lib.scala 88:36] + node _T_1027 = and(_T_1026, _T_852) @[lib.scala 88:41] + node _T_1028 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 88:74] + node _T_1029 = bits(lsu_match_data_3, 25, 25) @[lib.scala 88:86] + node _T_1030 = eq(_T_1028, _T_1029) @[lib.scala 88:78] + node _T_1031 = mux(_T_1027, UInt<1>("h01"), _T_1030) @[lib.scala 88:23] + _T_849[25] <= _T_1031 @[lib.scala 88:17] + node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 88:28] + node _T_1033 = andr(_T_1032) @[lib.scala 88:36] + node _T_1034 = and(_T_1033, _T_852) @[lib.scala 88:41] + node _T_1035 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 88:74] + node _T_1036 = bits(lsu_match_data_3, 26, 26) @[lib.scala 88:86] + node _T_1037 = eq(_T_1035, _T_1036) @[lib.scala 88:78] + node _T_1038 = mux(_T_1034, UInt<1>("h01"), _T_1037) @[lib.scala 88:23] + _T_849[26] <= _T_1038 @[lib.scala 88:17] + node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 88:28] + node _T_1040 = andr(_T_1039) @[lib.scala 88:36] + node _T_1041 = and(_T_1040, _T_852) @[lib.scala 88:41] + node _T_1042 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 88:74] + node _T_1043 = bits(lsu_match_data_3, 27, 27) @[lib.scala 88:86] + node _T_1044 = eq(_T_1042, _T_1043) @[lib.scala 88:78] + node _T_1045 = mux(_T_1041, UInt<1>("h01"), _T_1044) @[lib.scala 88:23] + _T_849[27] <= _T_1045 @[lib.scala 88:17] + node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 88:28] + node _T_1047 = andr(_T_1046) @[lib.scala 88:36] + node _T_1048 = and(_T_1047, _T_852) @[lib.scala 88:41] + node _T_1049 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 88:74] + node _T_1050 = bits(lsu_match_data_3, 28, 28) @[lib.scala 88:86] + node _T_1051 = eq(_T_1049, _T_1050) @[lib.scala 88:78] + node _T_1052 = mux(_T_1048, UInt<1>("h01"), _T_1051) @[lib.scala 88:23] + _T_849[28] <= _T_1052 @[lib.scala 88:17] + node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 88:28] + node _T_1054 = andr(_T_1053) @[lib.scala 88:36] + node _T_1055 = and(_T_1054, _T_852) @[lib.scala 88:41] + node _T_1056 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 88:74] + node _T_1057 = bits(lsu_match_data_3, 29, 29) @[lib.scala 88:86] + node _T_1058 = eq(_T_1056, _T_1057) @[lib.scala 88:78] + node _T_1059 = mux(_T_1055, UInt<1>("h01"), _T_1058) @[lib.scala 88:23] + _T_849[29] <= _T_1059 @[lib.scala 88:17] + node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 88:28] + node _T_1061 = andr(_T_1060) @[lib.scala 88:36] + node _T_1062 = and(_T_1061, _T_852) @[lib.scala 88:41] + node _T_1063 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 88:74] + node _T_1064 = bits(lsu_match_data_3, 30, 30) @[lib.scala 88:86] + node _T_1065 = eq(_T_1063, _T_1064) @[lib.scala 88:78] + node _T_1066 = mux(_T_1062, UInt<1>("h01"), _T_1065) @[lib.scala 88:23] + _T_849[30] <= _T_1066 @[lib.scala 88:17] + node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 88:28] + node _T_1068 = andr(_T_1067) @[lib.scala 88:36] + node _T_1069 = and(_T_1068, _T_852) @[lib.scala 88:41] + node _T_1070 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 88:74] + node _T_1071 = bits(lsu_match_data_3, 31, 31) @[lib.scala 88:86] + node _T_1072 = eq(_T_1070, _T_1071) @[lib.scala 88:78] + node _T_1073 = mux(_T_1069, UInt<1>("h01"), _T_1072) @[lib.scala 88:23] + _T_849[31] <= _T_1073 @[lib.scala 88:17] + node _T_1074 = cat(_T_849[1], _T_849[0]) @[lib.scala 89:14] + node _T_1075 = cat(_T_849[3], _T_849[2]) @[lib.scala 89:14] node _T_1076 = cat(_T_1075, _T_1074) @[lib.scala 89:14] - node _T_1077 = cat(_T_1076, _T_1073) @[lib.scala 89:14] - node _T_1078 = cat(_T_846[9], _T_846[8]) @[lib.scala 89:14] - node _T_1079 = cat(_T_846[11], _T_846[10]) @[lib.scala 89:14] - node _T_1080 = cat(_T_1079, _T_1078) @[lib.scala 89:14] - node _T_1081 = cat(_T_846[13], _T_846[12]) @[lib.scala 89:14] - node _T_1082 = cat(_T_846[15], _T_846[14]) @[lib.scala 89:14] + node _T_1077 = cat(_T_849[5], _T_849[4]) @[lib.scala 89:14] + node _T_1078 = cat(_T_849[7], _T_849[6]) @[lib.scala 89:14] + node _T_1079 = cat(_T_1078, _T_1077) @[lib.scala 89:14] + node _T_1080 = cat(_T_1079, _T_1076) @[lib.scala 89:14] + node _T_1081 = cat(_T_849[9], _T_849[8]) @[lib.scala 89:14] + node _T_1082 = cat(_T_849[11], _T_849[10]) @[lib.scala 89:14] node _T_1083 = cat(_T_1082, _T_1081) @[lib.scala 89:14] - node _T_1084 = cat(_T_1083, _T_1080) @[lib.scala 89:14] - node _T_1085 = cat(_T_1084, _T_1077) @[lib.scala 89:14] - node _T_1086 = cat(_T_846[17], _T_846[16]) @[lib.scala 89:14] - node _T_1087 = cat(_T_846[19], _T_846[18]) @[lib.scala 89:14] - node _T_1088 = cat(_T_1087, _T_1086) @[lib.scala 89:14] - node _T_1089 = cat(_T_846[21], _T_846[20]) @[lib.scala 89:14] - node _T_1090 = cat(_T_846[23], _T_846[22]) @[lib.scala 89:14] + node _T_1084 = cat(_T_849[13], _T_849[12]) @[lib.scala 89:14] + node _T_1085 = cat(_T_849[15], _T_849[14]) @[lib.scala 89:14] + node _T_1086 = cat(_T_1085, _T_1084) @[lib.scala 89:14] + node _T_1087 = cat(_T_1086, _T_1083) @[lib.scala 89:14] + node _T_1088 = cat(_T_1087, _T_1080) @[lib.scala 89:14] + node _T_1089 = cat(_T_849[17], _T_849[16]) @[lib.scala 89:14] + node _T_1090 = cat(_T_849[19], _T_849[18]) @[lib.scala 89:14] node _T_1091 = cat(_T_1090, _T_1089) @[lib.scala 89:14] - node _T_1092 = cat(_T_1091, _T_1088) @[lib.scala 89:14] - node _T_1093 = cat(_T_846[25], _T_846[24]) @[lib.scala 89:14] - node _T_1094 = cat(_T_846[27], _T_846[26]) @[lib.scala 89:14] - node _T_1095 = cat(_T_1094, _T_1093) @[lib.scala 89:14] - node _T_1096 = cat(_T_846[29], _T_846[28]) @[lib.scala 89:14] - node _T_1097 = cat(_T_846[31], _T_846[30]) @[lib.scala 89:14] + node _T_1092 = cat(_T_849[21], _T_849[20]) @[lib.scala 89:14] + node _T_1093 = cat(_T_849[23], _T_849[22]) @[lib.scala 89:14] + node _T_1094 = cat(_T_1093, _T_1092) @[lib.scala 89:14] + node _T_1095 = cat(_T_1094, _T_1091) @[lib.scala 89:14] + node _T_1096 = cat(_T_849[25], _T_849[24]) @[lib.scala 89:14] + node _T_1097 = cat(_T_849[27], _T_849[26]) @[lib.scala 89:14] node _T_1098 = cat(_T_1097, _T_1096) @[lib.scala 89:14] - node _T_1099 = cat(_T_1098, _T_1095) @[lib.scala 89:14] - node _T_1100 = cat(_T_1099, _T_1092) @[lib.scala 89:14] - node _T_1101 = cat(_T_1100, _T_1085) @[lib.scala 89:14] - node _T_1102 = and(_T_844, _T_1101) @[lsu_trigger.scala 19:92] - node _T_1103 = cat(_T_1102, _T_836) @[Cat.scala 29:58] - node _T_1104 = cat(_T_1103, _T_570) @[Cat.scala 29:58] - node _T_1105 = cat(_T_1104, _T_304) @[Cat.scala 29:58] - io.lsu_trigger_match_m <= _T_1105 @[lsu_trigger.scala 18:26] + node _T_1099 = cat(_T_849[29], _T_849[28]) @[lib.scala 89:14] + node _T_1100 = cat(_T_849[31], _T_849[30]) @[lib.scala 89:14] + node _T_1101 = cat(_T_1100, _T_1099) @[lib.scala 89:14] + node _T_1102 = cat(_T_1101, _T_1098) @[lib.scala 89:14] + node _T_1103 = cat(_T_1102, _T_1095) @[lib.scala 89:14] + node _T_1104 = cat(_T_1103, _T_1088) @[lib.scala 89:14] + node _T_1105 = andr(_T_1104) @[lib.scala 89:25] + node _T_1106 = and(_T_847, _T_1105) @[lsu_trigger.scala 19:92] + node _T_1107 = cat(_T_1106, _T_839) @[Cat.scala 29:58] + node _T_1108 = cat(_T_1107, _T_572) @[Cat.scala 29:58] + node _T_1109 = cat(_T_1108, _T_305) @[Cat.scala 29:58] + io.lsu_trigger_match_m <= _T_1109 @[lsu_trigger.scala 18:26] extmodule gated_latch_12 : output Q : Clock diff --git a/lsu.v b/lsu.v index e47da3ca..bb0dfb1e 100644 --- a/lsu.v +++ b/lsu.v @@ -4375,430 +4375,430 @@ module lsu_trigger( wire [15:0] _T_287 = {_T_160,_T_153,_T_146,_T_139,_T_132,_T_125,_T_118,_T_111,_T_279}; // @[lib.scala 89:14] wire [7:0] _T_294 = {_T_216,_T_209,_T_202,_T_195,_T_188,_T_181,_T_174,_T_167}; // @[lib.scala 89:14] wire [31:0] _T_303 = {_T_272,_T_265,_T_258,_T_251,_T_244,_T_237,_T_230,_T_223,_T_294,_T_287}; // @[lib.scala 89:14] - wire [31:0] _GEN_0 = {{31'd0}, _T_46}; // @[lsu_trigger.scala 19:92] - wire [31:0] _T_304 = _GEN_0 & _T_303; // @[lsu_trigger.scala 19:92] - wire _T_307 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] - wire _T_308 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] - wire _T_310 = _T_308 & _T_19; // @[lsu_trigger.scala 19:58] - wire _T_311 = _T_307 | _T_310; // @[lsu_trigger.scala 18:152] - wire _T_312 = _T_40 & _T_311; // @[lsu_trigger.scala 18:94] - wire _T_315 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 85:45] - wire _T_316 = ~_T_315; // @[lib.scala 85:39] - wire _T_317 = io_trigger_pkt_any_1_match_pkt & _T_316; // @[lib.scala 85:37] - wire _T_320 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[lib.scala 86:52] - wire _T_321 = _T_317 | _T_320; // @[lib.scala 86:41] - wire _T_323 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 88:36] - wire _T_324 = _T_323 & _T_317; // @[lib.scala 88:41] - wire _T_327 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[lib.scala 88:78] - wire _T_328 = _T_324 | _T_327; // @[lib.scala 88:23] - wire _T_330 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 88:36] - wire _T_331 = _T_330 & _T_317; // @[lib.scala 88:41] - wire _T_334 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[lib.scala 88:78] - wire _T_335 = _T_331 | _T_334; // @[lib.scala 88:23] - wire _T_337 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 88:36] - wire _T_338 = _T_337 & _T_317; // @[lib.scala 88:41] - wire _T_341 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[lib.scala 88:78] - wire _T_342 = _T_338 | _T_341; // @[lib.scala 88:23] - wire _T_344 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 88:36] - wire _T_345 = _T_344 & _T_317; // @[lib.scala 88:41] - wire _T_348 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[lib.scala 88:78] - wire _T_349 = _T_345 | _T_348; // @[lib.scala 88:23] - wire _T_351 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 88:36] - wire _T_352 = _T_351 & _T_317; // @[lib.scala 88:41] - wire _T_355 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[lib.scala 88:78] - wire _T_356 = _T_352 | _T_355; // @[lib.scala 88:23] - wire _T_358 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 88:36] - wire _T_359 = _T_358 & _T_317; // @[lib.scala 88:41] - wire _T_362 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[lib.scala 88:78] - wire _T_363 = _T_359 | _T_362; // @[lib.scala 88:23] - wire _T_365 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 88:36] - wire _T_366 = _T_365 & _T_317; // @[lib.scala 88:41] - wire _T_369 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[lib.scala 88:78] - wire _T_370 = _T_366 | _T_369; // @[lib.scala 88:23] - wire _T_372 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 88:36] - wire _T_373 = _T_372 & _T_317; // @[lib.scala 88:41] - wire _T_376 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[lib.scala 88:78] - wire _T_377 = _T_373 | _T_376; // @[lib.scala 88:23] - wire _T_379 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 88:36] - wire _T_380 = _T_379 & _T_317; // @[lib.scala 88:41] - wire _T_383 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[lib.scala 88:78] - wire _T_384 = _T_380 | _T_383; // @[lib.scala 88:23] - wire _T_386 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 88:36] - wire _T_387 = _T_386 & _T_317; // @[lib.scala 88:41] - wire _T_390 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[lib.scala 88:78] - wire _T_391 = _T_387 | _T_390; // @[lib.scala 88:23] - wire _T_393 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 88:36] - wire _T_394 = _T_393 & _T_317; // @[lib.scala 88:41] - wire _T_397 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[lib.scala 88:78] - wire _T_398 = _T_394 | _T_397; // @[lib.scala 88:23] - wire _T_400 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 88:36] - wire _T_401 = _T_400 & _T_317; // @[lib.scala 88:41] - wire _T_404 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[lib.scala 88:78] - wire _T_405 = _T_401 | _T_404; // @[lib.scala 88:23] - wire _T_407 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 88:36] - wire _T_408 = _T_407 & _T_317; // @[lib.scala 88:41] - wire _T_411 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[lib.scala 88:78] - wire _T_412 = _T_408 | _T_411; // @[lib.scala 88:23] - wire _T_414 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 88:36] - wire _T_415 = _T_414 & _T_317; // @[lib.scala 88:41] - wire _T_418 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[lib.scala 88:78] - wire _T_419 = _T_415 | _T_418; // @[lib.scala 88:23] - wire _T_421 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 88:36] - wire _T_422 = _T_421 & _T_317; // @[lib.scala 88:41] - wire _T_425 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[lib.scala 88:78] - wire _T_426 = _T_422 | _T_425; // @[lib.scala 88:23] - wire _T_428 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 88:36] - wire _T_429 = _T_428 & _T_317; // @[lib.scala 88:41] - wire _T_432 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[lib.scala 88:78] - wire _T_433 = _T_429 | _T_432; // @[lib.scala 88:23] - wire _T_435 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 88:36] - wire _T_436 = _T_435 & _T_317; // @[lib.scala 88:41] - wire _T_439 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[lib.scala 88:78] - wire _T_440 = _T_436 | _T_439; // @[lib.scala 88:23] - wire _T_442 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 88:36] - wire _T_443 = _T_442 & _T_317; // @[lib.scala 88:41] - wire _T_446 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[lib.scala 88:78] - wire _T_447 = _T_443 | _T_446; // @[lib.scala 88:23] - wire _T_449 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 88:36] - wire _T_450 = _T_449 & _T_317; // @[lib.scala 88:41] - wire _T_453 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[lib.scala 88:78] - wire _T_454 = _T_450 | _T_453; // @[lib.scala 88:23] - wire _T_456 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 88:36] - wire _T_457 = _T_456 & _T_317; // @[lib.scala 88:41] - wire _T_460 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[lib.scala 88:78] - wire _T_461 = _T_457 | _T_460; // @[lib.scala 88:23] - wire _T_463 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 88:36] - wire _T_464 = _T_463 & _T_317; // @[lib.scala 88:41] - wire _T_467 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[lib.scala 88:78] - wire _T_468 = _T_464 | _T_467; // @[lib.scala 88:23] - wire _T_470 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 88:36] - wire _T_471 = _T_470 & _T_317; // @[lib.scala 88:41] - wire _T_474 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[lib.scala 88:78] - wire _T_475 = _T_471 | _T_474; // @[lib.scala 88:23] - wire _T_477 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 88:36] - wire _T_478 = _T_477 & _T_317; // @[lib.scala 88:41] - wire _T_481 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[lib.scala 88:78] - wire _T_482 = _T_478 | _T_481; // @[lib.scala 88:23] - wire _T_484 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 88:36] - wire _T_485 = _T_484 & _T_317; // @[lib.scala 88:41] - wire _T_488 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[lib.scala 88:78] - wire _T_489 = _T_485 | _T_488; // @[lib.scala 88:23] - wire _T_491 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 88:36] - wire _T_492 = _T_491 & _T_317; // @[lib.scala 88:41] - wire _T_495 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[lib.scala 88:78] - wire _T_496 = _T_492 | _T_495; // @[lib.scala 88:23] - wire _T_498 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 88:36] - wire _T_499 = _T_498 & _T_317; // @[lib.scala 88:41] - wire _T_502 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[lib.scala 88:78] - wire _T_503 = _T_499 | _T_502; // @[lib.scala 88:23] - wire _T_505 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 88:36] - wire _T_506 = _T_505 & _T_317; // @[lib.scala 88:41] - wire _T_509 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[lib.scala 88:78] - wire _T_510 = _T_506 | _T_509; // @[lib.scala 88:23] - wire _T_512 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 88:36] - wire _T_513 = _T_512 & _T_317; // @[lib.scala 88:41] - wire _T_516 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[lib.scala 88:78] - wire _T_517 = _T_513 | _T_516; // @[lib.scala 88:23] - wire _T_519 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 88:36] - wire _T_520 = _T_519 & _T_317; // @[lib.scala 88:41] - wire _T_523 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[lib.scala 88:78] - wire _T_524 = _T_520 | _T_523; // @[lib.scala 88:23] - wire _T_526 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 88:36] - wire _T_527 = _T_526 & _T_317; // @[lib.scala 88:41] - wire _T_530 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[lib.scala 88:78] - wire _T_531 = _T_527 | _T_530; // @[lib.scala 88:23] - wire _T_533 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 88:36] - wire _T_534 = _T_533 & _T_317; // @[lib.scala 88:41] - wire _T_537 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[lib.scala 88:78] - wire _T_538 = _T_534 | _T_537; // @[lib.scala 88:23] - wire [7:0] _T_545 = {_T_370,_T_363,_T_356,_T_349,_T_342,_T_335,_T_328,_T_321}; // @[lib.scala 89:14] - wire [15:0] _T_553 = {_T_426,_T_419,_T_412,_T_405,_T_398,_T_391,_T_384,_T_377,_T_545}; // @[lib.scala 89:14] - wire [7:0] _T_560 = {_T_482,_T_475,_T_468,_T_461,_T_454,_T_447,_T_440,_T_433}; // @[lib.scala 89:14] - wire [31:0] _T_569 = {_T_538,_T_531,_T_524,_T_517,_T_510,_T_503,_T_496,_T_489,_T_560,_T_553}; // @[lib.scala 89:14] - wire [31:0] _GEN_1 = {{31'd0}, _T_312}; // @[lsu_trigger.scala 19:92] - wire [31:0] _T_570 = _GEN_1 & _T_569; // @[lsu_trigger.scala 19:92] - wire _T_573 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] - wire _T_574 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] - wire _T_576 = _T_574 & _T_26; // @[lsu_trigger.scala 19:58] - wire _T_577 = _T_573 | _T_576; // @[lsu_trigger.scala 18:152] - wire _T_578 = _T_40 & _T_577; // @[lsu_trigger.scala 18:94] - wire _T_581 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 85:45] - wire _T_582 = ~_T_581; // @[lib.scala 85:39] - wire _T_583 = io_trigger_pkt_any_2_match_pkt & _T_582; // @[lib.scala 85:37] - wire _T_586 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[lib.scala 86:52] - wire _T_587 = _T_583 | _T_586; // @[lib.scala 86:41] - wire _T_589 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 88:36] - wire _T_590 = _T_589 & _T_583; // @[lib.scala 88:41] - wire _T_593 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[lib.scala 88:78] - wire _T_594 = _T_590 | _T_593; // @[lib.scala 88:23] - wire _T_596 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 88:36] - wire _T_597 = _T_596 & _T_583; // @[lib.scala 88:41] - wire _T_600 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[lib.scala 88:78] - wire _T_601 = _T_597 | _T_600; // @[lib.scala 88:23] - wire _T_603 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 88:36] - wire _T_604 = _T_603 & _T_583; // @[lib.scala 88:41] - wire _T_607 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[lib.scala 88:78] - wire _T_608 = _T_604 | _T_607; // @[lib.scala 88:23] - wire _T_610 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 88:36] - wire _T_611 = _T_610 & _T_583; // @[lib.scala 88:41] - wire _T_614 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[lib.scala 88:78] - wire _T_615 = _T_611 | _T_614; // @[lib.scala 88:23] - wire _T_617 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 88:36] - wire _T_618 = _T_617 & _T_583; // @[lib.scala 88:41] - wire _T_621 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[lib.scala 88:78] - wire _T_622 = _T_618 | _T_621; // @[lib.scala 88:23] - wire _T_624 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 88:36] - wire _T_625 = _T_624 & _T_583; // @[lib.scala 88:41] - wire _T_628 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[lib.scala 88:78] - wire _T_629 = _T_625 | _T_628; // @[lib.scala 88:23] - wire _T_631 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 88:36] - wire _T_632 = _T_631 & _T_583; // @[lib.scala 88:41] - wire _T_635 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[lib.scala 88:78] - wire _T_636 = _T_632 | _T_635; // @[lib.scala 88:23] - wire _T_638 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 88:36] - wire _T_639 = _T_638 & _T_583; // @[lib.scala 88:41] - wire _T_642 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[lib.scala 88:78] - wire _T_643 = _T_639 | _T_642; // @[lib.scala 88:23] - wire _T_645 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 88:36] - wire _T_646 = _T_645 & _T_583; // @[lib.scala 88:41] - wire _T_649 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[lib.scala 88:78] - wire _T_650 = _T_646 | _T_649; // @[lib.scala 88:23] - wire _T_652 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 88:36] - wire _T_653 = _T_652 & _T_583; // @[lib.scala 88:41] - wire _T_656 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[lib.scala 88:78] - wire _T_657 = _T_653 | _T_656; // @[lib.scala 88:23] - wire _T_659 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 88:36] - wire _T_660 = _T_659 & _T_583; // @[lib.scala 88:41] - wire _T_663 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[lib.scala 88:78] - wire _T_664 = _T_660 | _T_663; // @[lib.scala 88:23] - wire _T_666 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 88:36] - wire _T_667 = _T_666 & _T_583; // @[lib.scala 88:41] - wire _T_670 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[lib.scala 88:78] - wire _T_671 = _T_667 | _T_670; // @[lib.scala 88:23] - wire _T_673 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 88:36] - wire _T_674 = _T_673 & _T_583; // @[lib.scala 88:41] - wire _T_677 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[lib.scala 88:78] - wire _T_678 = _T_674 | _T_677; // @[lib.scala 88:23] - wire _T_680 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 88:36] - wire _T_681 = _T_680 & _T_583; // @[lib.scala 88:41] - wire _T_684 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[lib.scala 88:78] - wire _T_685 = _T_681 | _T_684; // @[lib.scala 88:23] - wire _T_687 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 88:36] - wire _T_688 = _T_687 & _T_583; // @[lib.scala 88:41] - wire _T_691 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[lib.scala 88:78] - wire _T_692 = _T_688 | _T_691; // @[lib.scala 88:23] - wire _T_694 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 88:36] - wire _T_695 = _T_694 & _T_583; // @[lib.scala 88:41] - wire _T_698 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[lib.scala 88:78] - wire _T_699 = _T_695 | _T_698; // @[lib.scala 88:23] - wire _T_701 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 88:36] - wire _T_702 = _T_701 & _T_583; // @[lib.scala 88:41] - wire _T_705 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[lib.scala 88:78] - wire _T_706 = _T_702 | _T_705; // @[lib.scala 88:23] - wire _T_708 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 88:36] - wire _T_709 = _T_708 & _T_583; // @[lib.scala 88:41] - wire _T_712 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[lib.scala 88:78] - wire _T_713 = _T_709 | _T_712; // @[lib.scala 88:23] - wire _T_715 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 88:36] - wire _T_716 = _T_715 & _T_583; // @[lib.scala 88:41] - wire _T_719 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[lib.scala 88:78] - wire _T_720 = _T_716 | _T_719; // @[lib.scala 88:23] - wire _T_722 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 88:36] - wire _T_723 = _T_722 & _T_583; // @[lib.scala 88:41] - wire _T_726 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[lib.scala 88:78] - wire _T_727 = _T_723 | _T_726; // @[lib.scala 88:23] - wire _T_729 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 88:36] - wire _T_730 = _T_729 & _T_583; // @[lib.scala 88:41] - wire _T_733 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[lib.scala 88:78] - wire _T_734 = _T_730 | _T_733; // @[lib.scala 88:23] - wire _T_736 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 88:36] - wire _T_737 = _T_736 & _T_583; // @[lib.scala 88:41] - wire _T_740 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[lib.scala 88:78] - wire _T_741 = _T_737 | _T_740; // @[lib.scala 88:23] - wire _T_743 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 88:36] - wire _T_744 = _T_743 & _T_583; // @[lib.scala 88:41] - wire _T_747 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[lib.scala 88:78] - wire _T_748 = _T_744 | _T_747; // @[lib.scala 88:23] - wire _T_750 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 88:36] - wire _T_751 = _T_750 & _T_583; // @[lib.scala 88:41] - wire _T_754 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[lib.scala 88:78] - wire _T_755 = _T_751 | _T_754; // @[lib.scala 88:23] - wire _T_757 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 88:36] - wire _T_758 = _T_757 & _T_583; // @[lib.scala 88:41] - wire _T_761 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[lib.scala 88:78] - wire _T_762 = _T_758 | _T_761; // @[lib.scala 88:23] - wire _T_764 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 88:36] - wire _T_765 = _T_764 & _T_583; // @[lib.scala 88:41] - wire _T_768 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[lib.scala 88:78] - wire _T_769 = _T_765 | _T_768; // @[lib.scala 88:23] - wire _T_771 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 88:36] - wire _T_772 = _T_771 & _T_583; // @[lib.scala 88:41] - wire _T_775 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[lib.scala 88:78] - wire _T_776 = _T_772 | _T_775; // @[lib.scala 88:23] - wire _T_778 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 88:36] - wire _T_779 = _T_778 & _T_583; // @[lib.scala 88:41] - wire _T_782 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[lib.scala 88:78] - wire _T_783 = _T_779 | _T_782; // @[lib.scala 88:23] - wire _T_785 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 88:36] - wire _T_786 = _T_785 & _T_583; // @[lib.scala 88:41] - wire _T_789 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[lib.scala 88:78] - wire _T_790 = _T_786 | _T_789; // @[lib.scala 88:23] - wire _T_792 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 88:36] - wire _T_793 = _T_792 & _T_583; // @[lib.scala 88:41] - wire _T_796 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[lib.scala 88:78] - wire _T_797 = _T_793 | _T_796; // @[lib.scala 88:23] - wire _T_799 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 88:36] - wire _T_800 = _T_799 & _T_583; // @[lib.scala 88:41] - wire _T_803 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[lib.scala 88:78] - wire _T_804 = _T_800 | _T_803; // @[lib.scala 88:23] - wire [7:0] _T_811 = {_T_636,_T_629,_T_622,_T_615,_T_608,_T_601,_T_594,_T_587}; // @[lib.scala 89:14] - wire [15:0] _T_819 = {_T_692,_T_685,_T_678,_T_671,_T_664,_T_657,_T_650,_T_643,_T_811}; // @[lib.scala 89:14] - wire [7:0] _T_826 = {_T_748,_T_741,_T_734,_T_727,_T_720,_T_713,_T_706,_T_699}; // @[lib.scala 89:14] - wire [31:0] _T_835 = {_T_804,_T_797,_T_790,_T_783,_T_776,_T_769,_T_762,_T_755,_T_826,_T_819}; // @[lib.scala 89:14] - wire [31:0] _GEN_2 = {{31'd0}, _T_578}; // @[lsu_trigger.scala 19:92] - wire [31:0] _T_836 = _GEN_2 & _T_835; // @[lsu_trigger.scala 19:92] - wire _T_839 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] - wire _T_840 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] - wire _T_842 = _T_840 & _T_33; // @[lsu_trigger.scala 19:58] - wire _T_843 = _T_839 | _T_842; // @[lsu_trigger.scala 18:152] - wire _T_844 = _T_40 & _T_843; // @[lsu_trigger.scala 18:94] - wire _T_847 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 85:45] - wire _T_848 = ~_T_847; // @[lib.scala 85:39] - wire _T_849 = io_trigger_pkt_any_3_match_pkt & _T_848; // @[lib.scala 85:37] - wire _T_852 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[lib.scala 86:52] - wire _T_853 = _T_849 | _T_852; // @[lib.scala 86:41] - wire _T_855 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 88:36] - wire _T_856 = _T_855 & _T_849; // @[lib.scala 88:41] - wire _T_859 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[lib.scala 88:78] - wire _T_860 = _T_856 | _T_859; // @[lib.scala 88:23] - wire _T_862 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 88:36] - wire _T_863 = _T_862 & _T_849; // @[lib.scala 88:41] - wire _T_866 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[lib.scala 88:78] - wire _T_867 = _T_863 | _T_866; // @[lib.scala 88:23] - wire _T_869 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 88:36] - wire _T_870 = _T_869 & _T_849; // @[lib.scala 88:41] - wire _T_873 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[lib.scala 88:78] - wire _T_874 = _T_870 | _T_873; // @[lib.scala 88:23] - wire _T_876 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 88:36] - wire _T_877 = _T_876 & _T_849; // @[lib.scala 88:41] - wire _T_880 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[lib.scala 88:78] - wire _T_881 = _T_877 | _T_880; // @[lib.scala 88:23] - wire _T_883 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 88:36] - wire _T_884 = _T_883 & _T_849; // @[lib.scala 88:41] - wire _T_887 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[lib.scala 88:78] - wire _T_888 = _T_884 | _T_887; // @[lib.scala 88:23] - wire _T_890 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 88:36] - wire _T_891 = _T_890 & _T_849; // @[lib.scala 88:41] - wire _T_894 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[lib.scala 88:78] - wire _T_895 = _T_891 | _T_894; // @[lib.scala 88:23] - wire _T_897 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 88:36] - wire _T_898 = _T_897 & _T_849; // @[lib.scala 88:41] - wire _T_901 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[lib.scala 88:78] - wire _T_902 = _T_898 | _T_901; // @[lib.scala 88:23] - wire _T_904 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 88:36] - wire _T_905 = _T_904 & _T_849; // @[lib.scala 88:41] - wire _T_908 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[lib.scala 88:78] - wire _T_909 = _T_905 | _T_908; // @[lib.scala 88:23] - wire _T_911 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 88:36] - wire _T_912 = _T_911 & _T_849; // @[lib.scala 88:41] - wire _T_915 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[lib.scala 88:78] - wire _T_916 = _T_912 | _T_915; // @[lib.scala 88:23] - wire _T_918 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 88:36] - wire _T_919 = _T_918 & _T_849; // @[lib.scala 88:41] - wire _T_922 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[lib.scala 88:78] - wire _T_923 = _T_919 | _T_922; // @[lib.scala 88:23] - wire _T_925 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 88:36] - wire _T_926 = _T_925 & _T_849; // @[lib.scala 88:41] - wire _T_929 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[lib.scala 88:78] - wire _T_930 = _T_926 | _T_929; // @[lib.scala 88:23] - wire _T_932 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 88:36] - wire _T_933 = _T_932 & _T_849; // @[lib.scala 88:41] - wire _T_936 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[lib.scala 88:78] - wire _T_937 = _T_933 | _T_936; // @[lib.scala 88:23] - wire _T_939 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 88:36] - wire _T_940 = _T_939 & _T_849; // @[lib.scala 88:41] - wire _T_943 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[lib.scala 88:78] - wire _T_944 = _T_940 | _T_943; // @[lib.scala 88:23] - wire _T_946 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 88:36] - wire _T_947 = _T_946 & _T_849; // @[lib.scala 88:41] - wire _T_950 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[lib.scala 88:78] - wire _T_951 = _T_947 | _T_950; // @[lib.scala 88:23] - wire _T_953 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 88:36] - wire _T_954 = _T_953 & _T_849; // @[lib.scala 88:41] - wire _T_957 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[lib.scala 88:78] - wire _T_958 = _T_954 | _T_957; // @[lib.scala 88:23] - wire _T_960 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 88:36] - wire _T_961 = _T_960 & _T_849; // @[lib.scala 88:41] - wire _T_964 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[lib.scala 88:78] - wire _T_965 = _T_961 | _T_964; // @[lib.scala 88:23] - wire _T_967 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 88:36] - wire _T_968 = _T_967 & _T_849; // @[lib.scala 88:41] - wire _T_971 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[lib.scala 88:78] - wire _T_972 = _T_968 | _T_971; // @[lib.scala 88:23] - wire _T_974 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 88:36] - wire _T_975 = _T_974 & _T_849; // @[lib.scala 88:41] - wire _T_978 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[lib.scala 88:78] - wire _T_979 = _T_975 | _T_978; // @[lib.scala 88:23] - wire _T_981 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 88:36] - wire _T_982 = _T_981 & _T_849; // @[lib.scala 88:41] - wire _T_985 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[lib.scala 88:78] - wire _T_986 = _T_982 | _T_985; // @[lib.scala 88:23] - wire _T_988 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 88:36] - wire _T_989 = _T_988 & _T_849; // @[lib.scala 88:41] - wire _T_992 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[lib.scala 88:78] - wire _T_993 = _T_989 | _T_992; // @[lib.scala 88:23] - wire _T_995 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 88:36] - wire _T_996 = _T_995 & _T_849; // @[lib.scala 88:41] - wire _T_999 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[lib.scala 88:78] - wire _T_1000 = _T_996 | _T_999; // @[lib.scala 88:23] - wire _T_1002 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 88:36] - wire _T_1003 = _T_1002 & _T_849; // @[lib.scala 88:41] - wire _T_1006 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[lib.scala 88:78] - wire _T_1007 = _T_1003 | _T_1006; // @[lib.scala 88:23] - wire _T_1009 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 88:36] - wire _T_1010 = _T_1009 & _T_849; // @[lib.scala 88:41] - wire _T_1013 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[lib.scala 88:78] - wire _T_1014 = _T_1010 | _T_1013; // @[lib.scala 88:23] - wire _T_1016 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 88:36] - wire _T_1017 = _T_1016 & _T_849; // @[lib.scala 88:41] - wire _T_1020 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[lib.scala 88:78] - wire _T_1021 = _T_1017 | _T_1020; // @[lib.scala 88:23] - wire _T_1023 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 88:36] - wire _T_1024 = _T_1023 & _T_849; // @[lib.scala 88:41] - wire _T_1027 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[lib.scala 88:78] - wire _T_1028 = _T_1024 | _T_1027; // @[lib.scala 88:23] - wire _T_1030 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 88:36] - wire _T_1031 = _T_1030 & _T_849; // @[lib.scala 88:41] - wire _T_1034 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[lib.scala 88:78] - wire _T_1035 = _T_1031 | _T_1034; // @[lib.scala 88:23] - wire _T_1037 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 88:36] - wire _T_1038 = _T_1037 & _T_849; // @[lib.scala 88:41] - wire _T_1041 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[lib.scala 88:78] - wire _T_1042 = _T_1038 | _T_1041; // @[lib.scala 88:23] - wire _T_1044 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 88:36] - wire _T_1045 = _T_1044 & _T_849; // @[lib.scala 88:41] - wire _T_1048 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[lib.scala 88:78] - wire _T_1049 = _T_1045 | _T_1048; // @[lib.scala 88:23] - wire _T_1051 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 88:36] - wire _T_1052 = _T_1051 & _T_849; // @[lib.scala 88:41] - wire _T_1055 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[lib.scala 88:78] - wire _T_1056 = _T_1052 | _T_1055; // @[lib.scala 88:23] - wire _T_1058 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 88:36] - wire _T_1059 = _T_1058 & _T_849; // @[lib.scala 88:41] - wire _T_1062 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[lib.scala 88:78] - wire _T_1063 = _T_1059 | _T_1062; // @[lib.scala 88:23] - wire _T_1065 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 88:36] - wire _T_1066 = _T_1065 & _T_849; // @[lib.scala 88:41] - wire _T_1069 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[lib.scala 88:78] - wire _T_1070 = _T_1066 | _T_1069; // @[lib.scala 88:23] - wire [7:0] _T_1077 = {_T_902,_T_895,_T_888,_T_881,_T_874,_T_867,_T_860,_T_853}; // @[lib.scala 89:14] - wire [15:0] _T_1085 = {_T_958,_T_951,_T_944,_T_937,_T_930,_T_923,_T_916,_T_909,_T_1077}; // @[lib.scala 89:14] - wire [7:0] _T_1092 = {_T_1014,_T_1007,_T_1000,_T_993,_T_986,_T_979,_T_972,_T_965}; // @[lib.scala 89:14] - wire [31:0] _T_1101 = {_T_1070,_T_1063,_T_1056,_T_1049,_T_1042,_T_1035,_T_1028,_T_1021,_T_1092,_T_1085}; // @[lib.scala 89:14] - wire [31:0] _GEN_3 = {{31'd0}, _T_844}; // @[lsu_trigger.scala 19:92] - wire [31:0] _T_1102 = _GEN_3 & _T_1101; // @[lsu_trigger.scala 19:92] - wire [127:0] _T_1105 = {_T_1102,_T_836,_T_570,_T_304}; // @[Cat.scala 29:58] - assign io_lsu_trigger_match_m = _T_1105[3:0]; // @[lsu_trigger.scala 18:26] + wire _T_304 = &_T_303; // @[lib.scala 89:25] + wire _T_305 = _T_46 & _T_304; // @[lsu_trigger.scala 19:92] + wire _T_308 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_309 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_311 = _T_309 & _T_19; // @[lsu_trigger.scala 19:58] + wire _T_312 = _T_308 | _T_311; // @[lsu_trigger.scala 18:152] + wire _T_313 = _T_40 & _T_312; // @[lsu_trigger.scala 18:94] + wire _T_316 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 85:45] + wire _T_317 = ~_T_316; // @[lib.scala 85:39] + wire _T_318 = io_trigger_pkt_any_1_match_pkt & _T_317; // @[lib.scala 85:37] + wire _T_321 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[lib.scala 86:52] + wire _T_322 = _T_318 | _T_321; // @[lib.scala 86:41] + wire _T_324 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 88:36] + wire _T_325 = _T_324 & _T_318; // @[lib.scala 88:41] + wire _T_328 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[lib.scala 88:78] + wire _T_329 = _T_325 | _T_328; // @[lib.scala 88:23] + wire _T_331 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 88:36] + wire _T_332 = _T_331 & _T_318; // @[lib.scala 88:41] + wire _T_335 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[lib.scala 88:78] + wire _T_336 = _T_332 | _T_335; // @[lib.scala 88:23] + wire _T_338 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 88:36] + wire _T_339 = _T_338 & _T_318; // @[lib.scala 88:41] + wire _T_342 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[lib.scala 88:78] + wire _T_343 = _T_339 | _T_342; // @[lib.scala 88:23] + wire _T_345 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 88:36] + wire _T_346 = _T_345 & _T_318; // @[lib.scala 88:41] + wire _T_349 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[lib.scala 88:78] + wire _T_350 = _T_346 | _T_349; // @[lib.scala 88:23] + wire _T_352 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 88:36] + wire _T_353 = _T_352 & _T_318; // @[lib.scala 88:41] + wire _T_356 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[lib.scala 88:78] + wire _T_357 = _T_353 | _T_356; // @[lib.scala 88:23] + wire _T_359 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 88:36] + wire _T_360 = _T_359 & _T_318; // @[lib.scala 88:41] + wire _T_363 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[lib.scala 88:78] + wire _T_364 = _T_360 | _T_363; // @[lib.scala 88:23] + wire _T_366 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 88:36] + wire _T_367 = _T_366 & _T_318; // @[lib.scala 88:41] + wire _T_370 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[lib.scala 88:78] + wire _T_371 = _T_367 | _T_370; // @[lib.scala 88:23] + wire _T_373 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 88:36] + wire _T_374 = _T_373 & _T_318; // @[lib.scala 88:41] + wire _T_377 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[lib.scala 88:78] + wire _T_378 = _T_374 | _T_377; // @[lib.scala 88:23] + wire _T_380 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 88:36] + wire _T_381 = _T_380 & _T_318; // @[lib.scala 88:41] + wire _T_384 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[lib.scala 88:78] + wire _T_385 = _T_381 | _T_384; // @[lib.scala 88:23] + wire _T_387 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 88:36] + wire _T_388 = _T_387 & _T_318; // @[lib.scala 88:41] + wire _T_391 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[lib.scala 88:78] + wire _T_392 = _T_388 | _T_391; // @[lib.scala 88:23] + wire _T_394 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 88:36] + wire _T_395 = _T_394 & _T_318; // @[lib.scala 88:41] + wire _T_398 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[lib.scala 88:78] + wire _T_399 = _T_395 | _T_398; // @[lib.scala 88:23] + wire _T_401 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 88:36] + wire _T_402 = _T_401 & _T_318; // @[lib.scala 88:41] + wire _T_405 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[lib.scala 88:78] + wire _T_406 = _T_402 | _T_405; // @[lib.scala 88:23] + wire _T_408 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 88:36] + wire _T_409 = _T_408 & _T_318; // @[lib.scala 88:41] + wire _T_412 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[lib.scala 88:78] + wire _T_413 = _T_409 | _T_412; // @[lib.scala 88:23] + wire _T_415 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 88:36] + wire _T_416 = _T_415 & _T_318; // @[lib.scala 88:41] + wire _T_419 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[lib.scala 88:78] + wire _T_420 = _T_416 | _T_419; // @[lib.scala 88:23] + wire _T_422 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 88:36] + wire _T_423 = _T_422 & _T_318; // @[lib.scala 88:41] + wire _T_426 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[lib.scala 88:78] + wire _T_427 = _T_423 | _T_426; // @[lib.scala 88:23] + wire _T_429 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 88:36] + wire _T_430 = _T_429 & _T_318; // @[lib.scala 88:41] + wire _T_433 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[lib.scala 88:78] + wire _T_434 = _T_430 | _T_433; // @[lib.scala 88:23] + wire _T_436 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 88:36] + wire _T_437 = _T_436 & _T_318; // @[lib.scala 88:41] + wire _T_440 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[lib.scala 88:78] + wire _T_441 = _T_437 | _T_440; // @[lib.scala 88:23] + wire _T_443 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 88:36] + wire _T_444 = _T_443 & _T_318; // @[lib.scala 88:41] + wire _T_447 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[lib.scala 88:78] + wire _T_448 = _T_444 | _T_447; // @[lib.scala 88:23] + wire _T_450 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 88:36] + wire _T_451 = _T_450 & _T_318; // @[lib.scala 88:41] + wire _T_454 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[lib.scala 88:78] + wire _T_455 = _T_451 | _T_454; // @[lib.scala 88:23] + wire _T_457 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 88:36] + wire _T_458 = _T_457 & _T_318; // @[lib.scala 88:41] + wire _T_461 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[lib.scala 88:78] + wire _T_462 = _T_458 | _T_461; // @[lib.scala 88:23] + wire _T_464 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 88:36] + wire _T_465 = _T_464 & _T_318; // @[lib.scala 88:41] + wire _T_468 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[lib.scala 88:78] + wire _T_469 = _T_465 | _T_468; // @[lib.scala 88:23] + wire _T_471 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 88:36] + wire _T_472 = _T_471 & _T_318; // @[lib.scala 88:41] + wire _T_475 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[lib.scala 88:78] + wire _T_476 = _T_472 | _T_475; // @[lib.scala 88:23] + wire _T_478 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 88:36] + wire _T_479 = _T_478 & _T_318; // @[lib.scala 88:41] + wire _T_482 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[lib.scala 88:78] + wire _T_483 = _T_479 | _T_482; // @[lib.scala 88:23] + wire _T_485 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 88:36] + wire _T_486 = _T_485 & _T_318; // @[lib.scala 88:41] + wire _T_489 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[lib.scala 88:78] + wire _T_490 = _T_486 | _T_489; // @[lib.scala 88:23] + wire _T_492 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 88:36] + wire _T_493 = _T_492 & _T_318; // @[lib.scala 88:41] + wire _T_496 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[lib.scala 88:78] + wire _T_497 = _T_493 | _T_496; // @[lib.scala 88:23] + wire _T_499 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 88:36] + wire _T_500 = _T_499 & _T_318; // @[lib.scala 88:41] + wire _T_503 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[lib.scala 88:78] + wire _T_504 = _T_500 | _T_503; // @[lib.scala 88:23] + wire _T_506 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 88:36] + wire _T_507 = _T_506 & _T_318; // @[lib.scala 88:41] + wire _T_510 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[lib.scala 88:78] + wire _T_511 = _T_507 | _T_510; // @[lib.scala 88:23] + wire _T_513 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 88:36] + wire _T_514 = _T_513 & _T_318; // @[lib.scala 88:41] + wire _T_517 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[lib.scala 88:78] + wire _T_518 = _T_514 | _T_517; // @[lib.scala 88:23] + wire _T_520 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 88:36] + wire _T_521 = _T_520 & _T_318; // @[lib.scala 88:41] + wire _T_524 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[lib.scala 88:78] + wire _T_525 = _T_521 | _T_524; // @[lib.scala 88:23] + wire _T_527 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 88:36] + wire _T_528 = _T_527 & _T_318; // @[lib.scala 88:41] + wire _T_531 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[lib.scala 88:78] + wire _T_532 = _T_528 | _T_531; // @[lib.scala 88:23] + wire _T_534 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 88:36] + wire _T_535 = _T_534 & _T_318; // @[lib.scala 88:41] + wire _T_538 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[lib.scala 88:78] + wire _T_539 = _T_535 | _T_538; // @[lib.scala 88:23] + wire [7:0] _T_546 = {_T_371,_T_364,_T_357,_T_350,_T_343,_T_336,_T_329,_T_322}; // @[lib.scala 89:14] + wire [15:0] _T_554 = {_T_427,_T_420,_T_413,_T_406,_T_399,_T_392,_T_385,_T_378,_T_546}; // @[lib.scala 89:14] + wire [7:0] _T_561 = {_T_483,_T_476,_T_469,_T_462,_T_455,_T_448,_T_441,_T_434}; // @[lib.scala 89:14] + wire [31:0] _T_570 = {_T_539,_T_532,_T_525,_T_518,_T_511,_T_504,_T_497,_T_490,_T_561,_T_554}; // @[lib.scala 89:14] + wire _T_571 = &_T_570; // @[lib.scala 89:25] + wire _T_572 = _T_313 & _T_571; // @[lsu_trigger.scala 19:92] + wire _T_575 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_576 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_578 = _T_576 & _T_26; // @[lsu_trigger.scala 19:58] + wire _T_579 = _T_575 | _T_578; // @[lsu_trigger.scala 18:152] + wire _T_580 = _T_40 & _T_579; // @[lsu_trigger.scala 18:94] + wire _T_583 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 85:45] + wire _T_584 = ~_T_583; // @[lib.scala 85:39] + wire _T_585 = io_trigger_pkt_any_2_match_pkt & _T_584; // @[lib.scala 85:37] + wire _T_588 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[lib.scala 86:52] + wire _T_589 = _T_585 | _T_588; // @[lib.scala 86:41] + wire _T_591 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 88:36] + wire _T_592 = _T_591 & _T_585; // @[lib.scala 88:41] + wire _T_595 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[lib.scala 88:78] + wire _T_596 = _T_592 | _T_595; // @[lib.scala 88:23] + wire _T_598 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 88:36] + wire _T_599 = _T_598 & _T_585; // @[lib.scala 88:41] + wire _T_602 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[lib.scala 88:78] + wire _T_603 = _T_599 | _T_602; // @[lib.scala 88:23] + wire _T_605 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 88:36] + wire _T_606 = _T_605 & _T_585; // @[lib.scala 88:41] + wire _T_609 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[lib.scala 88:78] + wire _T_610 = _T_606 | _T_609; // @[lib.scala 88:23] + wire _T_612 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 88:36] + wire _T_613 = _T_612 & _T_585; // @[lib.scala 88:41] + wire _T_616 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[lib.scala 88:78] + wire _T_617 = _T_613 | _T_616; // @[lib.scala 88:23] + wire _T_619 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 88:36] + wire _T_620 = _T_619 & _T_585; // @[lib.scala 88:41] + wire _T_623 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[lib.scala 88:78] + wire _T_624 = _T_620 | _T_623; // @[lib.scala 88:23] + wire _T_626 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 88:36] + wire _T_627 = _T_626 & _T_585; // @[lib.scala 88:41] + wire _T_630 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[lib.scala 88:78] + wire _T_631 = _T_627 | _T_630; // @[lib.scala 88:23] + wire _T_633 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 88:36] + wire _T_634 = _T_633 & _T_585; // @[lib.scala 88:41] + wire _T_637 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[lib.scala 88:78] + wire _T_638 = _T_634 | _T_637; // @[lib.scala 88:23] + wire _T_640 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 88:36] + wire _T_641 = _T_640 & _T_585; // @[lib.scala 88:41] + wire _T_644 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[lib.scala 88:78] + wire _T_645 = _T_641 | _T_644; // @[lib.scala 88:23] + wire _T_647 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 88:36] + wire _T_648 = _T_647 & _T_585; // @[lib.scala 88:41] + wire _T_651 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[lib.scala 88:78] + wire _T_652 = _T_648 | _T_651; // @[lib.scala 88:23] + wire _T_654 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 88:36] + wire _T_655 = _T_654 & _T_585; // @[lib.scala 88:41] + wire _T_658 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[lib.scala 88:78] + wire _T_659 = _T_655 | _T_658; // @[lib.scala 88:23] + wire _T_661 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 88:36] + wire _T_662 = _T_661 & _T_585; // @[lib.scala 88:41] + wire _T_665 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[lib.scala 88:78] + wire _T_666 = _T_662 | _T_665; // @[lib.scala 88:23] + wire _T_668 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 88:36] + wire _T_669 = _T_668 & _T_585; // @[lib.scala 88:41] + wire _T_672 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[lib.scala 88:78] + wire _T_673 = _T_669 | _T_672; // @[lib.scala 88:23] + wire _T_675 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 88:36] + wire _T_676 = _T_675 & _T_585; // @[lib.scala 88:41] + wire _T_679 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[lib.scala 88:78] + wire _T_680 = _T_676 | _T_679; // @[lib.scala 88:23] + wire _T_682 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 88:36] + wire _T_683 = _T_682 & _T_585; // @[lib.scala 88:41] + wire _T_686 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[lib.scala 88:78] + wire _T_687 = _T_683 | _T_686; // @[lib.scala 88:23] + wire _T_689 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 88:36] + wire _T_690 = _T_689 & _T_585; // @[lib.scala 88:41] + wire _T_693 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[lib.scala 88:78] + wire _T_694 = _T_690 | _T_693; // @[lib.scala 88:23] + wire _T_696 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 88:36] + wire _T_697 = _T_696 & _T_585; // @[lib.scala 88:41] + wire _T_700 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[lib.scala 88:78] + wire _T_701 = _T_697 | _T_700; // @[lib.scala 88:23] + wire _T_703 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 88:36] + wire _T_704 = _T_703 & _T_585; // @[lib.scala 88:41] + wire _T_707 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[lib.scala 88:78] + wire _T_708 = _T_704 | _T_707; // @[lib.scala 88:23] + wire _T_710 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 88:36] + wire _T_711 = _T_710 & _T_585; // @[lib.scala 88:41] + wire _T_714 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[lib.scala 88:78] + wire _T_715 = _T_711 | _T_714; // @[lib.scala 88:23] + wire _T_717 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 88:36] + wire _T_718 = _T_717 & _T_585; // @[lib.scala 88:41] + wire _T_721 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[lib.scala 88:78] + wire _T_722 = _T_718 | _T_721; // @[lib.scala 88:23] + wire _T_724 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 88:36] + wire _T_725 = _T_724 & _T_585; // @[lib.scala 88:41] + wire _T_728 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[lib.scala 88:78] + wire _T_729 = _T_725 | _T_728; // @[lib.scala 88:23] + wire _T_731 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 88:36] + wire _T_732 = _T_731 & _T_585; // @[lib.scala 88:41] + wire _T_735 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[lib.scala 88:78] + wire _T_736 = _T_732 | _T_735; // @[lib.scala 88:23] + wire _T_738 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 88:36] + wire _T_739 = _T_738 & _T_585; // @[lib.scala 88:41] + wire _T_742 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[lib.scala 88:78] + wire _T_743 = _T_739 | _T_742; // @[lib.scala 88:23] + wire _T_745 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 88:36] + wire _T_746 = _T_745 & _T_585; // @[lib.scala 88:41] + wire _T_749 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[lib.scala 88:78] + wire _T_750 = _T_746 | _T_749; // @[lib.scala 88:23] + wire _T_752 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 88:36] + wire _T_753 = _T_752 & _T_585; // @[lib.scala 88:41] + wire _T_756 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[lib.scala 88:78] + wire _T_757 = _T_753 | _T_756; // @[lib.scala 88:23] + wire _T_759 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 88:36] + wire _T_760 = _T_759 & _T_585; // @[lib.scala 88:41] + wire _T_763 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[lib.scala 88:78] + wire _T_764 = _T_760 | _T_763; // @[lib.scala 88:23] + wire _T_766 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 88:36] + wire _T_767 = _T_766 & _T_585; // @[lib.scala 88:41] + wire _T_770 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[lib.scala 88:78] + wire _T_771 = _T_767 | _T_770; // @[lib.scala 88:23] + wire _T_773 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 88:36] + wire _T_774 = _T_773 & _T_585; // @[lib.scala 88:41] + wire _T_777 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[lib.scala 88:78] + wire _T_778 = _T_774 | _T_777; // @[lib.scala 88:23] + wire _T_780 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 88:36] + wire _T_781 = _T_780 & _T_585; // @[lib.scala 88:41] + wire _T_784 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[lib.scala 88:78] + wire _T_785 = _T_781 | _T_784; // @[lib.scala 88:23] + wire _T_787 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 88:36] + wire _T_788 = _T_787 & _T_585; // @[lib.scala 88:41] + wire _T_791 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[lib.scala 88:78] + wire _T_792 = _T_788 | _T_791; // @[lib.scala 88:23] + wire _T_794 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 88:36] + wire _T_795 = _T_794 & _T_585; // @[lib.scala 88:41] + wire _T_798 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[lib.scala 88:78] + wire _T_799 = _T_795 | _T_798; // @[lib.scala 88:23] + wire _T_801 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 88:36] + wire _T_802 = _T_801 & _T_585; // @[lib.scala 88:41] + wire _T_805 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[lib.scala 88:78] + wire _T_806 = _T_802 | _T_805; // @[lib.scala 88:23] + wire [7:0] _T_813 = {_T_638,_T_631,_T_624,_T_617,_T_610,_T_603,_T_596,_T_589}; // @[lib.scala 89:14] + wire [15:0] _T_821 = {_T_694,_T_687,_T_680,_T_673,_T_666,_T_659,_T_652,_T_645,_T_813}; // @[lib.scala 89:14] + wire [7:0] _T_828 = {_T_750,_T_743,_T_736,_T_729,_T_722,_T_715,_T_708,_T_701}; // @[lib.scala 89:14] + wire [31:0] _T_837 = {_T_806,_T_799,_T_792,_T_785,_T_778,_T_771,_T_764,_T_757,_T_828,_T_821}; // @[lib.scala 89:14] + wire _T_838 = &_T_837; // @[lib.scala 89:25] + wire _T_839 = _T_580 & _T_838; // @[lsu_trigger.scala 19:92] + wire _T_842 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_843 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_845 = _T_843 & _T_33; // @[lsu_trigger.scala 19:58] + wire _T_846 = _T_842 | _T_845; // @[lsu_trigger.scala 18:152] + wire _T_847 = _T_40 & _T_846; // @[lsu_trigger.scala 18:94] + wire _T_850 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 85:45] + wire _T_851 = ~_T_850; // @[lib.scala 85:39] + wire _T_852 = io_trigger_pkt_any_3_match_pkt & _T_851; // @[lib.scala 85:37] + wire _T_855 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[lib.scala 86:52] + wire _T_856 = _T_852 | _T_855; // @[lib.scala 86:41] + wire _T_858 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 88:36] + wire _T_859 = _T_858 & _T_852; // @[lib.scala 88:41] + wire _T_862 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[lib.scala 88:78] + wire _T_863 = _T_859 | _T_862; // @[lib.scala 88:23] + wire _T_865 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 88:36] + wire _T_866 = _T_865 & _T_852; // @[lib.scala 88:41] + wire _T_869 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[lib.scala 88:78] + wire _T_870 = _T_866 | _T_869; // @[lib.scala 88:23] + wire _T_872 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 88:36] + wire _T_873 = _T_872 & _T_852; // @[lib.scala 88:41] + wire _T_876 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[lib.scala 88:78] + wire _T_877 = _T_873 | _T_876; // @[lib.scala 88:23] + wire _T_879 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 88:36] + wire _T_880 = _T_879 & _T_852; // @[lib.scala 88:41] + wire _T_883 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[lib.scala 88:78] + wire _T_884 = _T_880 | _T_883; // @[lib.scala 88:23] + wire _T_886 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 88:36] + wire _T_887 = _T_886 & _T_852; // @[lib.scala 88:41] + wire _T_890 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[lib.scala 88:78] + wire _T_891 = _T_887 | _T_890; // @[lib.scala 88:23] + wire _T_893 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 88:36] + wire _T_894 = _T_893 & _T_852; // @[lib.scala 88:41] + wire _T_897 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[lib.scala 88:78] + wire _T_898 = _T_894 | _T_897; // @[lib.scala 88:23] + wire _T_900 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 88:36] + wire _T_901 = _T_900 & _T_852; // @[lib.scala 88:41] + wire _T_904 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[lib.scala 88:78] + wire _T_905 = _T_901 | _T_904; // @[lib.scala 88:23] + wire _T_907 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 88:36] + wire _T_908 = _T_907 & _T_852; // @[lib.scala 88:41] + wire _T_911 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[lib.scala 88:78] + wire _T_912 = _T_908 | _T_911; // @[lib.scala 88:23] + wire _T_914 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 88:36] + wire _T_915 = _T_914 & _T_852; // @[lib.scala 88:41] + wire _T_918 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[lib.scala 88:78] + wire _T_919 = _T_915 | _T_918; // @[lib.scala 88:23] + wire _T_921 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 88:36] + wire _T_922 = _T_921 & _T_852; // @[lib.scala 88:41] + wire _T_925 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[lib.scala 88:78] + wire _T_926 = _T_922 | _T_925; // @[lib.scala 88:23] + wire _T_928 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 88:36] + wire _T_929 = _T_928 & _T_852; // @[lib.scala 88:41] + wire _T_932 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[lib.scala 88:78] + wire _T_933 = _T_929 | _T_932; // @[lib.scala 88:23] + wire _T_935 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 88:36] + wire _T_936 = _T_935 & _T_852; // @[lib.scala 88:41] + wire _T_939 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[lib.scala 88:78] + wire _T_940 = _T_936 | _T_939; // @[lib.scala 88:23] + wire _T_942 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 88:36] + wire _T_943 = _T_942 & _T_852; // @[lib.scala 88:41] + wire _T_946 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[lib.scala 88:78] + wire _T_947 = _T_943 | _T_946; // @[lib.scala 88:23] + wire _T_949 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 88:36] + wire _T_950 = _T_949 & _T_852; // @[lib.scala 88:41] + wire _T_953 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[lib.scala 88:78] + wire _T_954 = _T_950 | _T_953; // @[lib.scala 88:23] + wire _T_956 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 88:36] + wire _T_957 = _T_956 & _T_852; // @[lib.scala 88:41] + wire _T_960 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[lib.scala 88:78] + wire _T_961 = _T_957 | _T_960; // @[lib.scala 88:23] + wire _T_963 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 88:36] + wire _T_964 = _T_963 & _T_852; // @[lib.scala 88:41] + wire _T_967 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[lib.scala 88:78] + wire _T_968 = _T_964 | _T_967; // @[lib.scala 88:23] + wire _T_970 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 88:36] + wire _T_971 = _T_970 & _T_852; // @[lib.scala 88:41] + wire _T_974 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[lib.scala 88:78] + wire _T_975 = _T_971 | _T_974; // @[lib.scala 88:23] + wire _T_977 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 88:36] + wire _T_978 = _T_977 & _T_852; // @[lib.scala 88:41] + wire _T_981 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[lib.scala 88:78] + wire _T_982 = _T_978 | _T_981; // @[lib.scala 88:23] + wire _T_984 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 88:36] + wire _T_985 = _T_984 & _T_852; // @[lib.scala 88:41] + wire _T_988 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[lib.scala 88:78] + wire _T_989 = _T_985 | _T_988; // @[lib.scala 88:23] + wire _T_991 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 88:36] + wire _T_992 = _T_991 & _T_852; // @[lib.scala 88:41] + wire _T_995 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[lib.scala 88:78] + wire _T_996 = _T_992 | _T_995; // @[lib.scala 88:23] + wire _T_998 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 88:36] + wire _T_999 = _T_998 & _T_852; // @[lib.scala 88:41] + wire _T_1002 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[lib.scala 88:78] + wire _T_1003 = _T_999 | _T_1002; // @[lib.scala 88:23] + wire _T_1005 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 88:36] + wire _T_1006 = _T_1005 & _T_852; // @[lib.scala 88:41] + wire _T_1009 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[lib.scala 88:78] + wire _T_1010 = _T_1006 | _T_1009; // @[lib.scala 88:23] + wire _T_1012 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 88:36] + wire _T_1013 = _T_1012 & _T_852; // @[lib.scala 88:41] + wire _T_1016 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[lib.scala 88:78] + wire _T_1017 = _T_1013 | _T_1016; // @[lib.scala 88:23] + wire _T_1019 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 88:36] + wire _T_1020 = _T_1019 & _T_852; // @[lib.scala 88:41] + wire _T_1023 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[lib.scala 88:78] + wire _T_1024 = _T_1020 | _T_1023; // @[lib.scala 88:23] + wire _T_1026 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 88:36] + wire _T_1027 = _T_1026 & _T_852; // @[lib.scala 88:41] + wire _T_1030 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[lib.scala 88:78] + wire _T_1031 = _T_1027 | _T_1030; // @[lib.scala 88:23] + wire _T_1033 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 88:36] + wire _T_1034 = _T_1033 & _T_852; // @[lib.scala 88:41] + wire _T_1037 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[lib.scala 88:78] + wire _T_1038 = _T_1034 | _T_1037; // @[lib.scala 88:23] + wire _T_1040 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 88:36] + wire _T_1041 = _T_1040 & _T_852; // @[lib.scala 88:41] + wire _T_1044 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[lib.scala 88:78] + wire _T_1045 = _T_1041 | _T_1044; // @[lib.scala 88:23] + wire _T_1047 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 88:36] + wire _T_1048 = _T_1047 & _T_852; // @[lib.scala 88:41] + wire _T_1051 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[lib.scala 88:78] + wire _T_1052 = _T_1048 | _T_1051; // @[lib.scala 88:23] + wire _T_1054 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 88:36] + wire _T_1055 = _T_1054 & _T_852; // @[lib.scala 88:41] + wire _T_1058 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[lib.scala 88:78] + wire _T_1059 = _T_1055 | _T_1058; // @[lib.scala 88:23] + wire _T_1061 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 88:36] + wire _T_1062 = _T_1061 & _T_852; // @[lib.scala 88:41] + wire _T_1065 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[lib.scala 88:78] + wire _T_1066 = _T_1062 | _T_1065; // @[lib.scala 88:23] + wire _T_1068 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 88:36] + wire _T_1069 = _T_1068 & _T_852; // @[lib.scala 88:41] + wire _T_1072 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[lib.scala 88:78] + wire _T_1073 = _T_1069 | _T_1072; // @[lib.scala 88:23] + wire [7:0] _T_1080 = {_T_905,_T_898,_T_891,_T_884,_T_877,_T_870,_T_863,_T_856}; // @[lib.scala 89:14] + wire [15:0] _T_1088 = {_T_961,_T_954,_T_947,_T_940,_T_933,_T_926,_T_919,_T_912,_T_1080}; // @[lib.scala 89:14] + wire [7:0] _T_1095 = {_T_1017,_T_1010,_T_1003,_T_996,_T_989,_T_982,_T_975,_T_968}; // @[lib.scala 89:14] + wire [31:0] _T_1104 = {_T_1073,_T_1066,_T_1059,_T_1052,_T_1045,_T_1038,_T_1031,_T_1024,_T_1095,_T_1088}; // @[lib.scala 89:14] + wire _T_1105 = &_T_1104; // @[lib.scala 89:25] + wire _T_1106 = _T_847 & _T_1105; // @[lsu_trigger.scala 19:92] + wire [2:0] _T_1108 = {_T_1106,_T_839,_T_572}; // @[Cat.scala 29:58] + assign io_lsu_trigger_match_m = {_T_1108,_T_305}; // @[lsu_trigger.scala 18:26] endmodule module lsu_clkdomain( input clock, diff --git a/quasar_wrapper.fir b/quasar_wrapper.fir index 0ba909b1..f9da6512 100644 --- a/quasar_wrapper.fir +++ b/quasar_wrapper.fir @@ -74011,389 +74011,387 @@ circuit quasar_wrapper : rvclkhdr_21.io.scan_mode <= io.scan_mode @[lib.scala 356:24] reg dicad0h : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] dicad0h <= dicad0h_ns @[lib.scala 358:16] - wire _T_748 : UInt<4> + wire _T_748 : UInt<7> _T_748 <= UInt<1>("h00") - node _T_749 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2143:48] - node _T_750 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2143:93] - node _T_751 = eq(_T_750, UInt<12>("h07ca")) @[dec_tlu_ctl.scala 2143:100] - node _T_752 = and(_T_749, _T_751) @[dec_tlu_ctl.scala 2143:71] - node _T_753 = bits(_T_752, 0, 0) @[dec_tlu_ctl.scala 2145:34] - node _T_754 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2145:61] - node _T_755 = bits(io.ifu_ic_debug_rd_data, 67, 64) @[dec_tlu_ctl.scala 2145:91] - node _T_756 = mux(_T_753, _T_754, _T_755) @[dec_tlu_ctl.scala 2145:21] - node _T_757 = or(_T_752, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2147:77] - node _T_758 = bits(_T_757, 0, 0) @[dec_tlu_ctl.scala 2147:110] - reg _T_759 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_758 : @[Reg.scala 28:19] - _T_759 <= _T_756 @[Reg.scala 28:23] + node _T_749 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2128:48] + node _T_750 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2128:93] + node _T_751 = eq(_T_750, UInt<12>("h07ca")) @[dec_tlu_ctl.scala 2128:100] + node _T_752 = and(_T_749, _T_751) @[dec_tlu_ctl.scala 2128:71] + node _T_753 = bits(_T_752, 0, 0) @[dec_tlu_ctl.scala 2130:34] + node _T_754 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[dec_tlu_ctl.scala 2130:86] + node _T_755 = mux(_T_753, io.dec_csr_wrdata_r, _T_754) @[dec_tlu_ctl.scala 2130:21] + node _T_756 = or(_T_752, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2132:78] + node _T_757 = bits(_T_756, 0, 0) @[dec_tlu_ctl.scala 2132:111] + reg _T_758 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_757 : @[Reg.scala 28:19] + _T_758 <= _T_755 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - _T_748 <= _T_759 @[dec_tlu_ctl.scala 2147:13] - node _T_760 = cat(UInt<28>("h00"), _T_748) @[Cat.scala 29:58] - dicad1 <= _T_760 @[dec_tlu_ctl.scala 2148:9] - node _T_761 = bits(dicad1, 3, 0) @[dec_tlu_ctl.scala 2156:69] - node _T_762 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2156:83] - node _T_763 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2156:97] - node _T_764 = cat(_T_762, _T_763) @[Cat.scala 29:58] - node _T_765 = cat(UInt<2>("h00"), _T_761) @[Cat.scala 29:58] - node _T_766 = cat(_T_765, _T_764) @[Cat.scala 29:58] - io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_766 @[dec_tlu_ctl.scala 2156:47] + _T_748 <= _T_758 @[dec_tlu_ctl.scala 2132:13] + node _T_759 = cat(UInt<25>("h00"), _T_748) @[Cat.scala 29:58] + dicad1 <= _T_759 @[dec_tlu_ctl.scala 2133:9] + node _T_760 = bits(dicad1, 6, 0) @[dec_tlu_ctl.scala 2155:69] + node _T_761 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2155:83] + node _T_762 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2155:97] + node _T_763 = cat(_T_760, _T_761) @[Cat.scala 29:58] + node _T_764 = cat(_T_763, _T_762) @[Cat.scala 29:58] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_764 @[dec_tlu_ctl.scala 2155:56] io.dec_tlu_ic_diag_pkt.icache_dicawics <= dicawics @[dec_tlu_ctl.scala 2158:41] - node _T_767 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 2160:52] - node _T_768 = and(_T_767, io.dec_i0_decode_d) @[dec_tlu_ctl.scala 2160:75] - node _T_769 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 2160:98] - node _T_770 = and(_T_768, _T_769) @[dec_tlu_ctl.scala 2160:96] - node _T_771 = bits(io.dec_csr_rdaddr_d, 11, 0) @[dec_tlu_ctl.scala 2160:142] - node _T_772 = eq(_T_771, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2160:149] - node icache_rd_valid = and(_T_770, _T_772) @[dec_tlu_ctl.scala 2160:120] - node _T_773 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2161:52] - node _T_774 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2161:97] - node _T_775 = eq(_T_774, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2161:104] - node icache_wr_valid = and(_T_773, _T_775) @[dec_tlu_ctl.scala 2161:75] + node _T_765 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 2160:52] + node _T_766 = and(_T_765, io.dec_i0_decode_d) @[dec_tlu_ctl.scala 2160:75] + node _T_767 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 2160:98] + node _T_768 = and(_T_766, _T_767) @[dec_tlu_ctl.scala 2160:96] + node _T_769 = bits(io.dec_csr_rdaddr_d, 11, 0) @[dec_tlu_ctl.scala 2160:142] + node _T_770 = eq(_T_769, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2160:149] + node icache_rd_valid = and(_T_768, _T_770) @[dec_tlu_ctl.scala 2160:120] + node _T_771 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2161:52] + node _T_772 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2161:97] + node _T_773 = eq(_T_772, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2161:104] + node icache_wr_valid = and(_T_771, _T_773) @[dec_tlu_ctl.scala 2161:75] reg icache_rd_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2163:58] icache_rd_valid_f <= icache_rd_valid @[dec_tlu_ctl.scala 2163:58] reg icache_wr_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2164:58] icache_wr_valid_f <= icache_wr_valid @[dec_tlu_ctl.scala 2164:58] io.dec_tlu_ic_diag_pkt.icache_rd_valid <= icache_rd_valid_f @[dec_tlu_ctl.scala 2166:41] io.dec_tlu_ic_diag_pkt.icache_wr_valid <= icache_wr_valid_f @[dec_tlu_ctl.scala 2167:41] - node _T_776 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2175:62] - node _T_777 = eq(_T_776, UInt<12>("h07a0")) @[dec_tlu_ctl.scala 2175:69] - node wr_mtsel_r = and(io.dec_csr_wen_r_mod, _T_777) @[dec_tlu_ctl.scala 2175:40] - node _T_778 = bits(wr_mtsel_r, 0, 0) @[dec_tlu_ctl.scala 2176:32] - node _T_779 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 2176:59] - node mtsel_ns = mux(_T_778, _T_779, mtsel) @[dec_tlu_ctl.scala 2176:20] - reg _T_780 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2178:43] - _T_780 <= mtsel_ns @[dec_tlu_ctl.scala 2178:43] - mtsel <= _T_780 @[dec_tlu_ctl.scala 2178:8] - node _T_781 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2213:38] - node _T_782 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2213:64] - node _T_783 = not(_T_782) @[dec_tlu_ctl.scala 2213:44] - node tdata_load = and(_T_781, _T_783) @[dec_tlu_ctl.scala 2213:42] - node _T_784 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2215:40] - node _T_785 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2215:66] - node _T_786 = not(_T_785) @[dec_tlu_ctl.scala 2215:46] - node tdata_opcode = and(_T_784, _T_786) @[dec_tlu_ctl.scala 2215:44] - node _T_787 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2217:41] - node _T_788 = and(_T_787, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2217:46] - node _T_789 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 2217:90] - node tdata_action = and(_T_788, _T_789) @[dec_tlu_ctl.scala 2217:69] - node _T_790 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2219:47] - node _T_791 = and(_T_790, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2219:52] - node _T_792 = bits(io.dec_csr_wrdata_r, 20, 19) @[dec_tlu_ctl.scala 2219:94] - node _T_793 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 2219:136] - node _T_794 = bits(io.dec_csr_wrdata_r, 7, 6) @[dec_tlu_ctl.scala 2220:43] - node _T_795 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 2220:83] - node _T_796 = cat(_T_795, tdata_load) @[Cat.scala 29:58] - node _T_797 = cat(_T_794, tdata_opcode) @[Cat.scala 29:58] - node _T_798 = cat(_T_797, _T_796) @[Cat.scala 29:58] - node _T_799 = cat(tdata_action, _T_793) @[Cat.scala 29:58] - node _T_800 = cat(_T_791, _T_792) @[Cat.scala 29:58] - node _T_801 = cat(_T_800, _T_799) @[Cat.scala 29:58] - node tdata_wrdata_r = cat(_T_801, _T_798) @[Cat.scala 29:58] - node _T_802 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] - node _T_803 = eq(_T_802, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] - node _T_804 = and(io.dec_csr_wen_r_mod, _T_803) @[dec_tlu_ctl.scala 2223:70] - node _T_805 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2223:121] - node _T_806 = and(_T_804, _T_805) @[dec_tlu_ctl.scala 2223:112] - node _T_807 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2223:154] - node _T_808 = not(_T_807) @[dec_tlu_ctl.scala 2223:138] - node _T_809 = or(_T_808, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] - node _T_810 = and(_T_806, _T_809) @[dec_tlu_ctl.scala 2223:135] - node _T_811 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] - node _T_812 = eq(_T_811, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] - node _T_813 = and(io.dec_csr_wen_r_mod, _T_812) @[dec_tlu_ctl.scala 2223:70] - node _T_814 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2223:121] - node _T_815 = and(_T_813, _T_814) @[dec_tlu_ctl.scala 2223:112] - node _T_816 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2223:154] - node _T_817 = not(_T_816) @[dec_tlu_ctl.scala 2223:138] - node _T_818 = or(_T_817, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] - node _T_819 = and(_T_815, _T_818) @[dec_tlu_ctl.scala 2223:135] - node _T_820 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] - node _T_821 = eq(_T_820, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] - node _T_822 = and(io.dec_csr_wen_r_mod, _T_821) @[dec_tlu_ctl.scala 2223:70] - node _T_823 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2223:121] - node _T_824 = and(_T_822, _T_823) @[dec_tlu_ctl.scala 2223:112] - node _T_825 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2223:154] - node _T_826 = not(_T_825) @[dec_tlu_ctl.scala 2223:138] - node _T_827 = or(_T_826, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] - node _T_828 = and(_T_824, _T_827) @[dec_tlu_ctl.scala 2223:135] - node _T_829 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] - node _T_830 = eq(_T_829, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] - node _T_831 = and(io.dec_csr_wen_r_mod, _T_830) @[dec_tlu_ctl.scala 2223:70] - node _T_832 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2223:121] - node _T_833 = and(_T_831, _T_832) @[dec_tlu_ctl.scala 2223:112] - node _T_834 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2223:154] - node _T_835 = not(_T_834) @[dec_tlu_ctl.scala 2223:138] - node _T_836 = or(_T_835, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] - node _T_837 = and(_T_833, _T_836) @[dec_tlu_ctl.scala 2223:135] + node _T_774 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2175:62] + node _T_775 = eq(_T_774, UInt<12>("h07a0")) @[dec_tlu_ctl.scala 2175:69] + node wr_mtsel_r = and(io.dec_csr_wen_r_mod, _T_775) @[dec_tlu_ctl.scala 2175:40] + node _T_776 = bits(wr_mtsel_r, 0, 0) @[dec_tlu_ctl.scala 2176:32] + node _T_777 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 2176:59] + node mtsel_ns = mux(_T_776, _T_777, mtsel) @[dec_tlu_ctl.scala 2176:20] + reg _T_778 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2178:43] + _T_778 <= mtsel_ns @[dec_tlu_ctl.scala 2178:43] + mtsel <= _T_778 @[dec_tlu_ctl.scala 2178:8] + node _T_779 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2213:38] + node _T_780 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2213:64] + node _T_781 = not(_T_780) @[dec_tlu_ctl.scala 2213:44] + node tdata_load = and(_T_779, _T_781) @[dec_tlu_ctl.scala 2213:42] + node _T_782 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2215:40] + node _T_783 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2215:66] + node _T_784 = not(_T_783) @[dec_tlu_ctl.scala 2215:46] + node tdata_opcode = and(_T_782, _T_784) @[dec_tlu_ctl.scala 2215:44] + node _T_785 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2217:41] + node _T_786 = and(_T_785, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2217:46] + node _T_787 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 2217:90] + node tdata_action = and(_T_786, _T_787) @[dec_tlu_ctl.scala 2217:69] + node _T_788 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2219:47] + node _T_789 = and(_T_788, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2219:52] + node _T_790 = bits(io.dec_csr_wrdata_r, 20, 19) @[dec_tlu_ctl.scala 2219:94] + node _T_791 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 2219:136] + node _T_792 = bits(io.dec_csr_wrdata_r, 7, 6) @[dec_tlu_ctl.scala 2220:43] + node _T_793 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 2220:83] + node _T_794 = cat(_T_793, tdata_load) @[Cat.scala 29:58] + node _T_795 = cat(_T_792, tdata_opcode) @[Cat.scala 29:58] + node _T_796 = cat(_T_795, _T_794) @[Cat.scala 29:58] + node _T_797 = cat(tdata_action, _T_791) @[Cat.scala 29:58] + node _T_798 = cat(_T_789, _T_790) @[Cat.scala 29:58] + node _T_799 = cat(_T_798, _T_797) @[Cat.scala 29:58] + node tdata_wrdata_r = cat(_T_799, _T_796) @[Cat.scala 29:58] + node _T_800 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] + node _T_801 = eq(_T_800, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] + node _T_802 = and(io.dec_csr_wen_r_mod, _T_801) @[dec_tlu_ctl.scala 2223:70] + node _T_803 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2223:121] + node _T_804 = and(_T_802, _T_803) @[dec_tlu_ctl.scala 2223:112] + node _T_805 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2223:154] + node _T_806 = not(_T_805) @[dec_tlu_ctl.scala 2223:138] + node _T_807 = or(_T_806, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] + node _T_808 = and(_T_804, _T_807) @[dec_tlu_ctl.scala 2223:135] + node _T_809 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] + node _T_810 = eq(_T_809, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] + node _T_811 = and(io.dec_csr_wen_r_mod, _T_810) @[dec_tlu_ctl.scala 2223:70] + node _T_812 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2223:121] + node _T_813 = and(_T_811, _T_812) @[dec_tlu_ctl.scala 2223:112] + node _T_814 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2223:154] + node _T_815 = not(_T_814) @[dec_tlu_ctl.scala 2223:138] + node _T_816 = or(_T_815, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] + node _T_817 = and(_T_813, _T_816) @[dec_tlu_ctl.scala 2223:135] + node _T_818 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] + node _T_819 = eq(_T_818, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] + node _T_820 = and(io.dec_csr_wen_r_mod, _T_819) @[dec_tlu_ctl.scala 2223:70] + node _T_821 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2223:121] + node _T_822 = and(_T_820, _T_821) @[dec_tlu_ctl.scala 2223:112] + node _T_823 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2223:154] + node _T_824 = not(_T_823) @[dec_tlu_ctl.scala 2223:138] + node _T_825 = or(_T_824, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] + node _T_826 = and(_T_822, _T_825) @[dec_tlu_ctl.scala 2223:135] + node _T_827 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] + node _T_828 = eq(_T_827, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] + node _T_829 = and(io.dec_csr_wen_r_mod, _T_828) @[dec_tlu_ctl.scala 2223:70] + node _T_830 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2223:121] + node _T_831 = and(_T_829, _T_830) @[dec_tlu_ctl.scala 2223:112] + node _T_832 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2223:154] + node _T_833 = not(_T_832) @[dec_tlu_ctl.scala 2223:138] + node _T_834 = or(_T_833, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] + node _T_835 = and(_T_831, _T_834) @[dec_tlu_ctl.scala 2223:135] wire wr_mtdata1_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2223:42] - wr_mtdata1_t_r[0] <= _T_810 @[dec_tlu_ctl.scala 2223:42] - wr_mtdata1_t_r[1] <= _T_819 @[dec_tlu_ctl.scala 2223:42] - wr_mtdata1_t_r[2] <= _T_828 @[dec_tlu_ctl.scala 2223:42] - wr_mtdata1_t_r[3] <= _T_837 @[dec_tlu_ctl.scala 2223:42] - node _T_838 = bits(wr_mtdata1_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2224:68] - node _T_839 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2224:111] - node _T_840 = bits(io.update_hit_bit_r, 0, 0) @[dec_tlu_ctl.scala 2224:135] - node _T_841 = bits(io.mtdata1_t[0], 8, 8) @[dec_tlu_ctl.scala 2224:156] - node _T_842 = or(_T_840, _T_841) @[dec_tlu_ctl.scala 2224:139] - node _T_843 = bits(io.mtdata1_t[0], 7, 0) @[dec_tlu_ctl.scala 2224:176] - node _T_844 = cat(_T_839, _T_842) @[Cat.scala 29:58] - node _T_845 = cat(_T_844, _T_843) @[Cat.scala 29:58] - node _T_846 = mux(_T_838, tdata_wrdata_r, _T_845) @[dec_tlu_ctl.scala 2224:49] - node _T_847 = bits(wr_mtdata1_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2224:68] - node _T_848 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2224:111] - node _T_849 = bits(io.update_hit_bit_r, 1, 1) @[dec_tlu_ctl.scala 2224:135] - node _T_850 = bits(io.mtdata1_t[1], 8, 8) @[dec_tlu_ctl.scala 2224:156] - node _T_851 = or(_T_849, _T_850) @[dec_tlu_ctl.scala 2224:139] - node _T_852 = bits(io.mtdata1_t[1], 7, 0) @[dec_tlu_ctl.scala 2224:176] - node _T_853 = cat(_T_848, _T_851) @[Cat.scala 29:58] - node _T_854 = cat(_T_853, _T_852) @[Cat.scala 29:58] - node _T_855 = mux(_T_847, tdata_wrdata_r, _T_854) @[dec_tlu_ctl.scala 2224:49] - node _T_856 = bits(wr_mtdata1_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2224:68] - node _T_857 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2224:111] - node _T_858 = bits(io.update_hit_bit_r, 2, 2) @[dec_tlu_ctl.scala 2224:135] - node _T_859 = bits(io.mtdata1_t[2], 8, 8) @[dec_tlu_ctl.scala 2224:156] - node _T_860 = or(_T_858, _T_859) @[dec_tlu_ctl.scala 2224:139] - node _T_861 = bits(io.mtdata1_t[2], 7, 0) @[dec_tlu_ctl.scala 2224:176] - node _T_862 = cat(_T_857, _T_860) @[Cat.scala 29:58] - node _T_863 = cat(_T_862, _T_861) @[Cat.scala 29:58] - node _T_864 = mux(_T_856, tdata_wrdata_r, _T_863) @[dec_tlu_ctl.scala 2224:49] - node _T_865 = bits(wr_mtdata1_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2224:68] - node _T_866 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2224:111] - node _T_867 = bits(io.update_hit_bit_r, 3, 3) @[dec_tlu_ctl.scala 2224:135] - node _T_868 = bits(io.mtdata1_t[3], 8, 8) @[dec_tlu_ctl.scala 2224:156] - node _T_869 = or(_T_867, _T_868) @[dec_tlu_ctl.scala 2224:139] - node _T_870 = bits(io.mtdata1_t[3], 7, 0) @[dec_tlu_ctl.scala 2224:176] - node _T_871 = cat(_T_866, _T_869) @[Cat.scala 29:58] - node _T_872 = cat(_T_871, _T_870) @[Cat.scala 29:58] - node _T_873 = mux(_T_865, tdata_wrdata_r, _T_872) @[dec_tlu_ctl.scala 2224:49] + wr_mtdata1_t_r[0] <= _T_808 @[dec_tlu_ctl.scala 2223:42] + wr_mtdata1_t_r[1] <= _T_817 @[dec_tlu_ctl.scala 2223:42] + wr_mtdata1_t_r[2] <= _T_826 @[dec_tlu_ctl.scala 2223:42] + wr_mtdata1_t_r[3] <= _T_835 @[dec_tlu_ctl.scala 2223:42] + node _T_836 = bits(wr_mtdata1_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2224:68] + node _T_837 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2224:111] + node _T_838 = bits(io.update_hit_bit_r, 0, 0) @[dec_tlu_ctl.scala 2224:135] + node _T_839 = bits(io.mtdata1_t[0], 8, 8) @[dec_tlu_ctl.scala 2224:156] + node _T_840 = or(_T_838, _T_839) @[dec_tlu_ctl.scala 2224:139] + node _T_841 = bits(io.mtdata1_t[0], 7, 0) @[dec_tlu_ctl.scala 2224:176] + node _T_842 = cat(_T_837, _T_840) @[Cat.scala 29:58] + node _T_843 = cat(_T_842, _T_841) @[Cat.scala 29:58] + node _T_844 = mux(_T_836, tdata_wrdata_r, _T_843) @[dec_tlu_ctl.scala 2224:49] + node _T_845 = bits(wr_mtdata1_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2224:68] + node _T_846 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2224:111] + node _T_847 = bits(io.update_hit_bit_r, 1, 1) @[dec_tlu_ctl.scala 2224:135] + node _T_848 = bits(io.mtdata1_t[1], 8, 8) @[dec_tlu_ctl.scala 2224:156] + node _T_849 = or(_T_847, _T_848) @[dec_tlu_ctl.scala 2224:139] + node _T_850 = bits(io.mtdata1_t[1], 7, 0) @[dec_tlu_ctl.scala 2224:176] + node _T_851 = cat(_T_846, _T_849) @[Cat.scala 29:58] + node _T_852 = cat(_T_851, _T_850) @[Cat.scala 29:58] + node _T_853 = mux(_T_845, tdata_wrdata_r, _T_852) @[dec_tlu_ctl.scala 2224:49] + node _T_854 = bits(wr_mtdata1_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2224:68] + node _T_855 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2224:111] + node _T_856 = bits(io.update_hit_bit_r, 2, 2) @[dec_tlu_ctl.scala 2224:135] + node _T_857 = bits(io.mtdata1_t[2], 8, 8) @[dec_tlu_ctl.scala 2224:156] + node _T_858 = or(_T_856, _T_857) @[dec_tlu_ctl.scala 2224:139] + node _T_859 = bits(io.mtdata1_t[2], 7, 0) @[dec_tlu_ctl.scala 2224:176] + node _T_860 = cat(_T_855, _T_858) @[Cat.scala 29:58] + node _T_861 = cat(_T_860, _T_859) @[Cat.scala 29:58] + node _T_862 = mux(_T_854, tdata_wrdata_r, _T_861) @[dec_tlu_ctl.scala 2224:49] + node _T_863 = bits(wr_mtdata1_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2224:68] + node _T_864 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2224:111] + node _T_865 = bits(io.update_hit_bit_r, 3, 3) @[dec_tlu_ctl.scala 2224:135] + node _T_866 = bits(io.mtdata1_t[3], 8, 8) @[dec_tlu_ctl.scala 2224:156] + node _T_867 = or(_T_865, _T_866) @[dec_tlu_ctl.scala 2224:139] + node _T_868 = bits(io.mtdata1_t[3], 7, 0) @[dec_tlu_ctl.scala 2224:176] + node _T_869 = cat(_T_864, _T_867) @[Cat.scala 29:58] + node _T_870 = cat(_T_869, _T_868) @[Cat.scala 29:58] + node _T_871 = mux(_T_863, tdata_wrdata_r, _T_870) @[dec_tlu_ctl.scala 2224:49] wire mtdata1_t_ns : UInt<10>[4] @[dec_tlu_ctl.scala 2224:40] - mtdata1_t_ns[0] <= _T_846 @[dec_tlu_ctl.scala 2224:40] - mtdata1_t_ns[1] <= _T_855 @[dec_tlu_ctl.scala 2224:40] - mtdata1_t_ns[2] <= _T_864 @[dec_tlu_ctl.scala 2224:40] - mtdata1_t_ns[3] <= _T_873 @[dec_tlu_ctl.scala 2224:40] + mtdata1_t_ns[0] <= _T_844 @[dec_tlu_ctl.scala 2224:40] + mtdata1_t_ns[1] <= _T_853 @[dec_tlu_ctl.scala 2224:40] + mtdata1_t_ns[2] <= _T_862 @[dec_tlu_ctl.scala 2224:40] + mtdata1_t_ns[3] <= _T_871 @[dec_tlu_ctl.scala 2224:40] + reg _T_872 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] + _T_872 <= mtdata1_t_ns[0] @[dec_tlu_ctl.scala 2226:74] + io.mtdata1_t[0] <= _T_872 @[dec_tlu_ctl.scala 2226:39] + reg _T_873 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] + _T_873 <= mtdata1_t_ns[1] @[dec_tlu_ctl.scala 2226:74] + io.mtdata1_t[1] <= _T_873 @[dec_tlu_ctl.scala 2226:39] reg _T_874 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] - _T_874 <= mtdata1_t_ns[0] @[dec_tlu_ctl.scala 2226:74] - io.mtdata1_t[0] <= _T_874 @[dec_tlu_ctl.scala 2226:39] + _T_874 <= mtdata1_t_ns[2] @[dec_tlu_ctl.scala 2226:74] + io.mtdata1_t[2] <= _T_874 @[dec_tlu_ctl.scala 2226:39] reg _T_875 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] - _T_875 <= mtdata1_t_ns[1] @[dec_tlu_ctl.scala 2226:74] - io.mtdata1_t[1] <= _T_875 @[dec_tlu_ctl.scala 2226:39] - reg _T_876 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] - _T_876 <= mtdata1_t_ns[2] @[dec_tlu_ctl.scala 2226:74] - io.mtdata1_t[2] <= _T_876 @[dec_tlu_ctl.scala 2226:39] - reg _T_877 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] - _T_877 <= mtdata1_t_ns[3] @[dec_tlu_ctl.scala 2226:74] - io.mtdata1_t[3] <= _T_877 @[dec_tlu_ctl.scala 2226:39] - node _T_878 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2229:58] - node _T_879 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2229:104] - node _T_880 = bits(io.mtdata1_t[0], 8, 7) @[dec_tlu_ctl.scala 2229:142] - node _T_881 = bits(io.mtdata1_t[0], 6, 5) @[dec_tlu_ctl.scala 2229:174] - node _T_882 = bits(io.mtdata1_t[0], 4, 3) @[dec_tlu_ctl.scala 2229:206] - node _T_883 = bits(io.mtdata1_t[0], 2, 0) @[dec_tlu_ctl.scala 2229:238] - node _T_884 = cat(UInt<3>("h00"), _T_883) @[Cat.scala 29:58] - node _T_885 = cat(_T_881, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_886 = cat(_T_885, _T_882) @[Cat.scala 29:58] - node _T_887 = cat(_T_886, _T_884) @[Cat.scala 29:58] - node _T_888 = cat(_T_880, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_889 = cat(UInt<4>("h02"), _T_879) @[Cat.scala 29:58] - node _T_890 = cat(_T_889, UInt<6>("h01f")) @[Cat.scala 29:58] - node _T_891 = cat(_T_890, _T_888) @[Cat.scala 29:58] - node _T_892 = cat(_T_891, _T_887) @[Cat.scala 29:58] - node _T_893 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2229:58] - node _T_894 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2229:104] - node _T_895 = bits(io.mtdata1_t[1], 8, 7) @[dec_tlu_ctl.scala 2229:142] - node _T_896 = bits(io.mtdata1_t[1], 6, 5) @[dec_tlu_ctl.scala 2229:174] - node _T_897 = bits(io.mtdata1_t[1], 4, 3) @[dec_tlu_ctl.scala 2229:206] - node _T_898 = bits(io.mtdata1_t[1], 2, 0) @[dec_tlu_ctl.scala 2229:238] - node _T_899 = cat(UInt<3>("h00"), _T_898) @[Cat.scala 29:58] - node _T_900 = cat(_T_896, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_901 = cat(_T_900, _T_897) @[Cat.scala 29:58] - node _T_902 = cat(_T_901, _T_899) @[Cat.scala 29:58] - node _T_903 = cat(_T_895, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_904 = cat(UInt<4>("h02"), _T_894) @[Cat.scala 29:58] - node _T_905 = cat(_T_904, UInt<6>("h01f")) @[Cat.scala 29:58] - node _T_906 = cat(_T_905, _T_903) @[Cat.scala 29:58] - node _T_907 = cat(_T_906, _T_902) @[Cat.scala 29:58] - node _T_908 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2229:58] - node _T_909 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2229:104] - node _T_910 = bits(io.mtdata1_t[2], 8, 7) @[dec_tlu_ctl.scala 2229:142] - node _T_911 = bits(io.mtdata1_t[2], 6, 5) @[dec_tlu_ctl.scala 2229:174] - node _T_912 = bits(io.mtdata1_t[2], 4, 3) @[dec_tlu_ctl.scala 2229:206] - node _T_913 = bits(io.mtdata1_t[2], 2, 0) @[dec_tlu_ctl.scala 2229:238] - node _T_914 = cat(UInt<3>("h00"), _T_913) @[Cat.scala 29:58] - node _T_915 = cat(_T_911, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_916 = cat(_T_915, _T_912) @[Cat.scala 29:58] - node _T_917 = cat(_T_916, _T_914) @[Cat.scala 29:58] - node _T_918 = cat(_T_910, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_919 = cat(UInt<4>("h02"), _T_909) @[Cat.scala 29:58] - node _T_920 = cat(_T_919, UInt<6>("h01f")) @[Cat.scala 29:58] - node _T_921 = cat(_T_920, _T_918) @[Cat.scala 29:58] - node _T_922 = cat(_T_921, _T_917) @[Cat.scala 29:58] - node _T_923 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2229:58] - node _T_924 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2229:104] - node _T_925 = bits(io.mtdata1_t[3], 8, 7) @[dec_tlu_ctl.scala 2229:142] - node _T_926 = bits(io.mtdata1_t[3], 6, 5) @[dec_tlu_ctl.scala 2229:174] - node _T_927 = bits(io.mtdata1_t[3], 4, 3) @[dec_tlu_ctl.scala 2229:206] - node _T_928 = bits(io.mtdata1_t[3], 2, 0) @[dec_tlu_ctl.scala 2229:238] - node _T_929 = cat(UInt<3>("h00"), _T_928) @[Cat.scala 29:58] - node _T_930 = cat(_T_926, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_931 = cat(_T_930, _T_927) @[Cat.scala 29:58] - node _T_932 = cat(_T_931, _T_929) @[Cat.scala 29:58] - node _T_933 = cat(_T_925, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_934 = cat(UInt<4>("h02"), _T_924) @[Cat.scala 29:58] - node _T_935 = cat(_T_934, UInt<6>("h01f")) @[Cat.scala 29:58] - node _T_936 = cat(_T_935, _T_933) @[Cat.scala 29:58] - node _T_937 = cat(_T_936, _T_932) @[Cat.scala 29:58] - node _T_938 = mux(_T_878, _T_892, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_939 = mux(_T_893, _T_907, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_940 = mux(_T_908, _T_922, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_941 = mux(_T_923, _T_937, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_942 = or(_T_938, _T_939) @[Mux.scala 27:72] - node _T_943 = or(_T_942, _T_940) @[Mux.scala 27:72] - node _T_944 = or(_T_943, _T_941) @[Mux.scala 27:72] + _T_875 <= mtdata1_t_ns[3] @[dec_tlu_ctl.scala 2226:74] + io.mtdata1_t[3] <= _T_875 @[dec_tlu_ctl.scala 2226:39] + node _T_876 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2229:58] + node _T_877 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2229:104] + node _T_878 = bits(io.mtdata1_t[0], 8, 7) @[dec_tlu_ctl.scala 2229:142] + node _T_879 = bits(io.mtdata1_t[0], 6, 5) @[dec_tlu_ctl.scala 2229:174] + node _T_880 = bits(io.mtdata1_t[0], 4, 3) @[dec_tlu_ctl.scala 2229:206] + node _T_881 = bits(io.mtdata1_t[0], 2, 0) @[dec_tlu_ctl.scala 2229:238] + node _T_882 = cat(UInt<3>("h00"), _T_881) @[Cat.scala 29:58] + node _T_883 = cat(_T_879, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_884 = cat(_T_883, _T_880) @[Cat.scala 29:58] + node _T_885 = cat(_T_884, _T_882) @[Cat.scala 29:58] + node _T_886 = cat(_T_878, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_887 = cat(UInt<4>("h02"), _T_877) @[Cat.scala 29:58] + node _T_888 = cat(_T_887, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_889 = cat(_T_888, _T_886) @[Cat.scala 29:58] + node _T_890 = cat(_T_889, _T_885) @[Cat.scala 29:58] + node _T_891 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2229:58] + node _T_892 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2229:104] + node _T_893 = bits(io.mtdata1_t[1], 8, 7) @[dec_tlu_ctl.scala 2229:142] + node _T_894 = bits(io.mtdata1_t[1], 6, 5) @[dec_tlu_ctl.scala 2229:174] + node _T_895 = bits(io.mtdata1_t[1], 4, 3) @[dec_tlu_ctl.scala 2229:206] + node _T_896 = bits(io.mtdata1_t[1], 2, 0) @[dec_tlu_ctl.scala 2229:238] + node _T_897 = cat(UInt<3>("h00"), _T_896) @[Cat.scala 29:58] + node _T_898 = cat(_T_894, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_899 = cat(_T_898, _T_895) @[Cat.scala 29:58] + node _T_900 = cat(_T_899, _T_897) @[Cat.scala 29:58] + node _T_901 = cat(_T_893, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_902 = cat(UInt<4>("h02"), _T_892) @[Cat.scala 29:58] + node _T_903 = cat(_T_902, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_904 = cat(_T_903, _T_901) @[Cat.scala 29:58] + node _T_905 = cat(_T_904, _T_900) @[Cat.scala 29:58] + node _T_906 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2229:58] + node _T_907 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2229:104] + node _T_908 = bits(io.mtdata1_t[2], 8, 7) @[dec_tlu_ctl.scala 2229:142] + node _T_909 = bits(io.mtdata1_t[2], 6, 5) @[dec_tlu_ctl.scala 2229:174] + node _T_910 = bits(io.mtdata1_t[2], 4, 3) @[dec_tlu_ctl.scala 2229:206] + node _T_911 = bits(io.mtdata1_t[2], 2, 0) @[dec_tlu_ctl.scala 2229:238] + node _T_912 = cat(UInt<3>("h00"), _T_911) @[Cat.scala 29:58] + node _T_913 = cat(_T_909, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_914 = cat(_T_913, _T_910) @[Cat.scala 29:58] + node _T_915 = cat(_T_914, _T_912) @[Cat.scala 29:58] + node _T_916 = cat(_T_908, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_917 = cat(UInt<4>("h02"), _T_907) @[Cat.scala 29:58] + node _T_918 = cat(_T_917, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_919 = cat(_T_918, _T_916) @[Cat.scala 29:58] + node _T_920 = cat(_T_919, _T_915) @[Cat.scala 29:58] + node _T_921 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2229:58] + node _T_922 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2229:104] + node _T_923 = bits(io.mtdata1_t[3], 8, 7) @[dec_tlu_ctl.scala 2229:142] + node _T_924 = bits(io.mtdata1_t[3], 6, 5) @[dec_tlu_ctl.scala 2229:174] + node _T_925 = bits(io.mtdata1_t[3], 4, 3) @[dec_tlu_ctl.scala 2229:206] + node _T_926 = bits(io.mtdata1_t[3], 2, 0) @[dec_tlu_ctl.scala 2229:238] + node _T_927 = cat(UInt<3>("h00"), _T_926) @[Cat.scala 29:58] + node _T_928 = cat(_T_924, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_929 = cat(_T_928, _T_925) @[Cat.scala 29:58] + node _T_930 = cat(_T_929, _T_927) @[Cat.scala 29:58] + node _T_931 = cat(_T_923, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_932 = cat(UInt<4>("h02"), _T_922) @[Cat.scala 29:58] + node _T_933 = cat(_T_932, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, _T_931) @[Cat.scala 29:58] + node _T_935 = cat(_T_934, _T_930) @[Cat.scala 29:58] + node _T_936 = mux(_T_876, _T_890, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_937 = mux(_T_891, _T_905, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_938 = mux(_T_906, _T_920, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_939 = mux(_T_921, _T_935, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_940 = or(_T_936, _T_937) @[Mux.scala 27:72] + node _T_941 = or(_T_940, _T_938) @[Mux.scala 27:72] + node _T_942 = or(_T_941, _T_939) @[Mux.scala 27:72] wire mtdata1_tsel_out : UInt<32> @[Mux.scala 27:72] - mtdata1_tsel_out <= _T_944 @[Mux.scala 27:72] - node _T_945 = bits(io.mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 2231:58] - io.trigger_pkt_any[0].select <= _T_945 @[dec_tlu_ctl.scala 2231:40] - node _T_946 = bits(io.mtdata1_t[0], 4, 4) @[dec_tlu_ctl.scala 2232:61] - io.trigger_pkt_any[0].match_pkt <= _T_946 @[dec_tlu_ctl.scala 2232:43] - node _T_947 = bits(io.mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[0].store <= _T_947 @[dec_tlu_ctl.scala 2233:40] - node _T_948 = bits(io.mtdata1_t[0], 0, 0) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[0].load <= _T_948 @[dec_tlu_ctl.scala 2234:40] - node _T_949 = bits(io.mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[0].execute <= _T_949 @[dec_tlu_ctl.scala 2235:40] - node _T_950 = bits(io.mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 2236:58] - io.trigger_pkt_any[0].m <= _T_950 @[dec_tlu_ctl.scala 2236:40] - node _T_951 = bits(io.mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 2231:58] - io.trigger_pkt_any[1].select <= _T_951 @[dec_tlu_ctl.scala 2231:40] - node _T_952 = bits(io.mtdata1_t[1], 4, 4) @[dec_tlu_ctl.scala 2232:61] - io.trigger_pkt_any[1].match_pkt <= _T_952 @[dec_tlu_ctl.scala 2232:43] - node _T_953 = bits(io.mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[1].store <= _T_953 @[dec_tlu_ctl.scala 2233:40] - node _T_954 = bits(io.mtdata1_t[1], 0, 0) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[1].load <= _T_954 @[dec_tlu_ctl.scala 2234:40] - node _T_955 = bits(io.mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[1].execute <= _T_955 @[dec_tlu_ctl.scala 2235:40] - node _T_956 = bits(io.mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 2236:58] - io.trigger_pkt_any[1].m <= _T_956 @[dec_tlu_ctl.scala 2236:40] - node _T_957 = bits(io.mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 2231:58] - io.trigger_pkt_any[2].select <= _T_957 @[dec_tlu_ctl.scala 2231:40] - node _T_958 = bits(io.mtdata1_t[2], 4, 4) @[dec_tlu_ctl.scala 2232:61] - io.trigger_pkt_any[2].match_pkt <= _T_958 @[dec_tlu_ctl.scala 2232:43] - node _T_959 = bits(io.mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[2].store <= _T_959 @[dec_tlu_ctl.scala 2233:40] - node _T_960 = bits(io.mtdata1_t[2], 0, 0) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[2].load <= _T_960 @[dec_tlu_ctl.scala 2234:40] - node _T_961 = bits(io.mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[2].execute <= _T_961 @[dec_tlu_ctl.scala 2235:40] - node _T_962 = bits(io.mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 2236:58] - io.trigger_pkt_any[2].m <= _T_962 @[dec_tlu_ctl.scala 2236:40] - node _T_963 = bits(io.mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 2231:58] - io.trigger_pkt_any[3].select <= _T_963 @[dec_tlu_ctl.scala 2231:40] - node _T_964 = bits(io.mtdata1_t[3], 4, 4) @[dec_tlu_ctl.scala 2232:61] - io.trigger_pkt_any[3].match_pkt <= _T_964 @[dec_tlu_ctl.scala 2232:43] - node _T_965 = bits(io.mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[3].store <= _T_965 @[dec_tlu_ctl.scala 2233:40] - node _T_966 = bits(io.mtdata1_t[3], 0, 0) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[3].load <= _T_966 @[dec_tlu_ctl.scala 2234:40] - node _T_967 = bits(io.mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[3].execute <= _T_967 @[dec_tlu_ctl.scala 2235:40] - node _T_968 = bits(io.mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 2236:58] - io.trigger_pkt_any[3].m <= _T_968 @[dec_tlu_ctl.scala 2236:40] - node _T_969 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] - node _T_970 = eq(_T_969, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] - node _T_971 = and(io.dec_csr_wen_r_mod, _T_970) @[dec_tlu_ctl.scala 2243:69] - node _T_972 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2243:120] - node _T_973 = and(_T_971, _T_972) @[dec_tlu_ctl.scala 2243:111] - node _T_974 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2243:153] - node _T_975 = not(_T_974) @[dec_tlu_ctl.scala 2243:137] - node _T_976 = or(_T_975, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] - node _T_977 = and(_T_973, _T_976) @[dec_tlu_ctl.scala 2243:134] - node _T_978 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] - node _T_979 = eq(_T_978, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] - node _T_980 = and(io.dec_csr_wen_r_mod, _T_979) @[dec_tlu_ctl.scala 2243:69] - node _T_981 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2243:120] - node _T_982 = and(_T_980, _T_981) @[dec_tlu_ctl.scala 2243:111] - node _T_983 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2243:153] - node _T_984 = not(_T_983) @[dec_tlu_ctl.scala 2243:137] - node _T_985 = or(_T_984, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] - node _T_986 = and(_T_982, _T_985) @[dec_tlu_ctl.scala 2243:134] - node _T_987 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] - node _T_988 = eq(_T_987, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] - node _T_989 = and(io.dec_csr_wen_r_mod, _T_988) @[dec_tlu_ctl.scala 2243:69] - node _T_990 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2243:120] - node _T_991 = and(_T_989, _T_990) @[dec_tlu_ctl.scala 2243:111] - node _T_992 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2243:153] - node _T_993 = not(_T_992) @[dec_tlu_ctl.scala 2243:137] - node _T_994 = or(_T_993, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] - node _T_995 = and(_T_991, _T_994) @[dec_tlu_ctl.scala 2243:134] - node _T_996 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] - node _T_997 = eq(_T_996, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] - node _T_998 = and(io.dec_csr_wen_r_mod, _T_997) @[dec_tlu_ctl.scala 2243:69] - node _T_999 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2243:120] - node _T_1000 = and(_T_998, _T_999) @[dec_tlu_ctl.scala 2243:111] - node _T_1001 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2243:153] - node _T_1002 = not(_T_1001) @[dec_tlu_ctl.scala 2243:137] - node _T_1003 = or(_T_1002, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] - node _T_1004 = and(_T_1000, _T_1003) @[dec_tlu_ctl.scala 2243:134] + mtdata1_tsel_out <= _T_942 @[Mux.scala 27:72] + node _T_943 = bits(io.mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[0].select <= _T_943 @[dec_tlu_ctl.scala 2231:40] + node _T_944 = bits(io.mtdata1_t[0], 4, 4) @[dec_tlu_ctl.scala 2232:61] + io.trigger_pkt_any[0].match_pkt <= _T_944 @[dec_tlu_ctl.scala 2232:43] + node _T_945 = bits(io.mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[0].store <= _T_945 @[dec_tlu_ctl.scala 2233:40] + node _T_946 = bits(io.mtdata1_t[0], 0, 0) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[0].load <= _T_946 @[dec_tlu_ctl.scala 2234:40] + node _T_947 = bits(io.mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 2235:58] + io.trigger_pkt_any[0].execute <= _T_947 @[dec_tlu_ctl.scala 2235:40] + node _T_948 = bits(io.mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 2236:58] + io.trigger_pkt_any[0].m <= _T_948 @[dec_tlu_ctl.scala 2236:40] + node _T_949 = bits(io.mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[1].select <= _T_949 @[dec_tlu_ctl.scala 2231:40] + node _T_950 = bits(io.mtdata1_t[1], 4, 4) @[dec_tlu_ctl.scala 2232:61] + io.trigger_pkt_any[1].match_pkt <= _T_950 @[dec_tlu_ctl.scala 2232:43] + node _T_951 = bits(io.mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[1].store <= _T_951 @[dec_tlu_ctl.scala 2233:40] + node _T_952 = bits(io.mtdata1_t[1], 0, 0) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[1].load <= _T_952 @[dec_tlu_ctl.scala 2234:40] + node _T_953 = bits(io.mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 2235:58] + io.trigger_pkt_any[1].execute <= _T_953 @[dec_tlu_ctl.scala 2235:40] + node _T_954 = bits(io.mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 2236:58] + io.trigger_pkt_any[1].m <= _T_954 @[dec_tlu_ctl.scala 2236:40] + node _T_955 = bits(io.mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[2].select <= _T_955 @[dec_tlu_ctl.scala 2231:40] + node _T_956 = bits(io.mtdata1_t[2], 4, 4) @[dec_tlu_ctl.scala 2232:61] + io.trigger_pkt_any[2].match_pkt <= _T_956 @[dec_tlu_ctl.scala 2232:43] + node _T_957 = bits(io.mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[2].store <= _T_957 @[dec_tlu_ctl.scala 2233:40] + node _T_958 = bits(io.mtdata1_t[2], 0, 0) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[2].load <= _T_958 @[dec_tlu_ctl.scala 2234:40] + node _T_959 = bits(io.mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 2235:58] + io.trigger_pkt_any[2].execute <= _T_959 @[dec_tlu_ctl.scala 2235:40] + node _T_960 = bits(io.mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 2236:58] + io.trigger_pkt_any[2].m <= _T_960 @[dec_tlu_ctl.scala 2236:40] + node _T_961 = bits(io.mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[3].select <= _T_961 @[dec_tlu_ctl.scala 2231:40] + node _T_962 = bits(io.mtdata1_t[3], 4, 4) @[dec_tlu_ctl.scala 2232:61] + io.trigger_pkt_any[3].match_pkt <= _T_962 @[dec_tlu_ctl.scala 2232:43] + node _T_963 = bits(io.mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[3].store <= _T_963 @[dec_tlu_ctl.scala 2233:40] + node _T_964 = bits(io.mtdata1_t[3], 0, 0) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[3].load <= _T_964 @[dec_tlu_ctl.scala 2234:40] + node _T_965 = bits(io.mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 2235:58] + io.trigger_pkt_any[3].execute <= _T_965 @[dec_tlu_ctl.scala 2235:40] + node _T_966 = bits(io.mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 2236:58] + io.trigger_pkt_any[3].m <= _T_966 @[dec_tlu_ctl.scala 2236:40] + node _T_967 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] + node _T_968 = eq(_T_967, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] + node _T_969 = and(io.dec_csr_wen_r_mod, _T_968) @[dec_tlu_ctl.scala 2243:69] + node _T_970 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2243:120] + node _T_971 = and(_T_969, _T_970) @[dec_tlu_ctl.scala 2243:111] + node _T_972 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2243:153] + node _T_973 = not(_T_972) @[dec_tlu_ctl.scala 2243:137] + node _T_974 = or(_T_973, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] + node _T_975 = and(_T_971, _T_974) @[dec_tlu_ctl.scala 2243:134] + node _T_976 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] + node _T_977 = eq(_T_976, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] + node _T_978 = and(io.dec_csr_wen_r_mod, _T_977) @[dec_tlu_ctl.scala 2243:69] + node _T_979 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2243:120] + node _T_980 = and(_T_978, _T_979) @[dec_tlu_ctl.scala 2243:111] + node _T_981 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2243:153] + node _T_982 = not(_T_981) @[dec_tlu_ctl.scala 2243:137] + node _T_983 = or(_T_982, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] + node _T_984 = and(_T_980, _T_983) @[dec_tlu_ctl.scala 2243:134] + node _T_985 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] + node _T_986 = eq(_T_985, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] + node _T_987 = and(io.dec_csr_wen_r_mod, _T_986) @[dec_tlu_ctl.scala 2243:69] + node _T_988 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2243:120] + node _T_989 = and(_T_987, _T_988) @[dec_tlu_ctl.scala 2243:111] + node _T_990 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2243:153] + node _T_991 = not(_T_990) @[dec_tlu_ctl.scala 2243:137] + node _T_992 = or(_T_991, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] + node _T_993 = and(_T_989, _T_992) @[dec_tlu_ctl.scala 2243:134] + node _T_994 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] + node _T_995 = eq(_T_994, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] + node _T_996 = and(io.dec_csr_wen_r_mod, _T_995) @[dec_tlu_ctl.scala 2243:69] + node _T_997 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2243:120] + node _T_998 = and(_T_996, _T_997) @[dec_tlu_ctl.scala 2243:111] + node _T_999 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2243:153] + node _T_1000 = not(_T_999) @[dec_tlu_ctl.scala 2243:137] + node _T_1001 = or(_T_1000, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] + node _T_1002 = and(_T_998, _T_1001) @[dec_tlu_ctl.scala 2243:134] wire wr_mtdata2_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2243:42] - wr_mtdata2_t_r[0] <= _T_977 @[dec_tlu_ctl.scala 2243:42] - wr_mtdata2_t_r[1] <= _T_986 @[dec_tlu_ctl.scala 2243:42] - wr_mtdata2_t_r[2] <= _T_995 @[dec_tlu_ctl.scala 2243:42] - wr_mtdata2_t_r[3] <= _T_1004 @[dec_tlu_ctl.scala 2243:42] - node _T_1005 = bits(wr_mtdata2_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2244:84] + wr_mtdata2_t_r[0] <= _T_975 @[dec_tlu_ctl.scala 2243:42] + wr_mtdata2_t_r[1] <= _T_984 @[dec_tlu_ctl.scala 2243:42] + wr_mtdata2_t_r[2] <= _T_993 @[dec_tlu_ctl.scala 2243:42] + wr_mtdata2_t_r[3] <= _T_1002 @[dec_tlu_ctl.scala 2243:42] + node _T_1003 = bits(wr_mtdata2_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2244:84] inst rvclkhdr_22 of rvclkhdr_742 @[lib.scala 352:23] rvclkhdr_22.clock <= clock rvclkhdr_22.reset <= reset rvclkhdr_22.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_22.io.en <= _T_1005 @[lib.scala 355:17] + rvclkhdr_22.io.en <= _T_1003 @[lib.scala 355:17] rvclkhdr_22.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_1006 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_1006 <= io.dec_csr_wrdata_r @[lib.scala 358:16] - mtdata2_t[0] <= _T_1006 @[dec_tlu_ctl.scala 2244:36] - node _T_1007 = bits(wr_mtdata2_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2244:84] + reg _T_1004 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_1004 <= io.dec_csr_wrdata_r @[lib.scala 358:16] + mtdata2_t[0] <= _T_1004 @[dec_tlu_ctl.scala 2244:36] + node _T_1005 = bits(wr_mtdata2_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2244:84] inst rvclkhdr_23 of rvclkhdr_743 @[lib.scala 352:23] rvclkhdr_23.clock <= clock rvclkhdr_23.reset <= reset rvclkhdr_23.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_23.io.en <= _T_1007 @[lib.scala 355:17] + rvclkhdr_23.io.en <= _T_1005 @[lib.scala 355:17] rvclkhdr_23.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_1008 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_1008 <= io.dec_csr_wrdata_r @[lib.scala 358:16] - mtdata2_t[1] <= _T_1008 @[dec_tlu_ctl.scala 2244:36] - node _T_1009 = bits(wr_mtdata2_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2244:84] + reg _T_1006 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_1006 <= io.dec_csr_wrdata_r @[lib.scala 358:16] + mtdata2_t[1] <= _T_1006 @[dec_tlu_ctl.scala 2244:36] + node _T_1007 = bits(wr_mtdata2_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2244:84] inst rvclkhdr_24 of rvclkhdr_744 @[lib.scala 352:23] rvclkhdr_24.clock <= clock rvclkhdr_24.reset <= reset rvclkhdr_24.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_24.io.en <= _T_1009 @[lib.scala 355:17] + rvclkhdr_24.io.en <= _T_1007 @[lib.scala 355:17] rvclkhdr_24.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_1010 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_1010 <= io.dec_csr_wrdata_r @[lib.scala 358:16] - mtdata2_t[2] <= _T_1010 @[dec_tlu_ctl.scala 2244:36] - node _T_1011 = bits(wr_mtdata2_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2244:84] + reg _T_1008 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_1008 <= io.dec_csr_wrdata_r @[lib.scala 358:16] + mtdata2_t[2] <= _T_1008 @[dec_tlu_ctl.scala 2244:36] + node _T_1009 = bits(wr_mtdata2_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2244:84] inst rvclkhdr_25 of rvclkhdr_745 @[lib.scala 352:23] rvclkhdr_25.clock <= clock rvclkhdr_25.reset <= reset rvclkhdr_25.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_25.io.en <= _T_1011 @[lib.scala 355:17] + rvclkhdr_25.io.en <= _T_1009 @[lib.scala 355:17] rvclkhdr_25.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_1012 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_1012 <= io.dec_csr_wrdata_r @[lib.scala 358:16] - mtdata2_t[3] <= _T_1012 @[dec_tlu_ctl.scala 2244:36] - node _T_1013 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2248:57] - node _T_1014 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2248:57] - node _T_1015 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2248:57] - node _T_1016 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2248:57] - node _T_1017 = mux(_T_1013, mtdata2_t[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1018 = mux(_T_1014, mtdata2_t[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1019 = mux(_T_1015, mtdata2_t[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1020 = mux(_T_1016, mtdata2_t[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1021 = or(_T_1017, _T_1018) @[Mux.scala 27:72] - node _T_1022 = or(_T_1021, _T_1019) @[Mux.scala 27:72] - node _T_1023 = or(_T_1022, _T_1020) @[Mux.scala 27:72] + reg _T_1010 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_1010 <= io.dec_csr_wrdata_r @[lib.scala 358:16] + mtdata2_t[3] <= _T_1010 @[dec_tlu_ctl.scala 2244:36] + node _T_1011 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2248:57] + node _T_1012 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2248:57] + node _T_1013 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2248:57] + node _T_1014 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2248:57] + node _T_1015 = mux(_T_1011, mtdata2_t[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1016 = mux(_T_1012, mtdata2_t[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1017 = mux(_T_1013, mtdata2_t[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1018 = mux(_T_1014, mtdata2_t[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1019 = or(_T_1015, _T_1016) @[Mux.scala 27:72] + node _T_1020 = or(_T_1019, _T_1017) @[Mux.scala 27:72] + node _T_1021 = or(_T_1020, _T_1018) @[Mux.scala 27:72] wire mtdata2_tsel_out : UInt<32> @[Mux.scala 27:72] - mtdata2_tsel_out <= _T_1023 @[Mux.scala 27:72] + mtdata2_tsel_out <= _T_1021 @[Mux.scala 27:72] io.trigger_pkt_any[0].tdata2 <= mtdata2_t[0] @[dec_tlu_ctl.scala 2249:51] io.trigger_pkt_any[1].tdata2 <= mtdata2_t[1] @[dec_tlu_ctl.scala 2249:51] io.trigger_pkt_any[2].tdata2 <= mtdata2_t[2] @[dec_tlu_ctl.scala 2249:51] @@ -74402,238 +74400,240 @@ circuit quasar_wrapper : mhpme_vec[1] <= mhpme4 @[dec_tlu_ctl.scala 2260:15] mhpme_vec[2] <= mhpme5 @[dec_tlu_ctl.scala 2261:15] mhpme_vec[3] <= mhpme6 @[dec_tlu_ctl.scala 2262:15] - node _T_1024 = bits(io.tlu_i0_commit_cmt, 0, 0) @[Bitwise.scala 72:15] - node _T_1025 = mux(_T_1024, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node pmu_i0_itype_qual = and(io.dec_tlu_packet_r.pmu_i0_itype, _T_1025) @[dec_tlu_ctl.scala 2268:59] + node _T_1022 = bits(io.tlu_i0_commit_cmt, 0, 0) @[Bitwise.scala 72:15] + node _T_1023 = mux(_T_1022, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node pmu_i0_itype_qual = and(io.dec_tlu_packet_r.pmu_i0_itype, _T_1023) @[dec_tlu_ctl.scala 2268:59] wire mhpmc_inc_r : UInt<1>[4] @[dec_tlu_ctl.scala 2269:24] wire mhpmc_inc_r_d1 : UInt<1>[4] @[dec_tlu_ctl.scala 2270:27] - node _T_1026 = bits(mcountinhibit, 3, 3) @[dec_tlu_ctl.scala 2274:38] - node _T_1027 = not(_T_1026) @[dec_tlu_ctl.scala 2274:24] - node _T_1028 = eq(mhpme_vec[0], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] - node _T_1029 = bits(_T_1028, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1030 = eq(mhpme_vec[0], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] - node _T_1031 = bits(_T_1030, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1032 = eq(mhpme_vec[0], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] - node _T_1033 = bits(_T_1032, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1034 = eq(mhpme_vec[0], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] - node _T_1035 = bits(_T_1034, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1036 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] - node _T_1037 = and(io.tlu_i0_commit_cmt, _T_1036) @[dec_tlu_ctl.scala 2278:94] - node _T_1038 = eq(mhpme_vec[0], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] - node _T_1039 = bits(_T_1038, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1040 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] - node _T_1041 = and(io.tlu_i0_commit_cmt, _T_1040) @[dec_tlu_ctl.scala 2279:94] - node _T_1042 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1043 = and(_T_1041, _T_1042) @[dec_tlu_ctl.scala 2279:115] - node _T_1044 = eq(mhpme_vec[0], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] - node _T_1045 = bits(_T_1044, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1046 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] - node _T_1047 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] - node _T_1048 = and(_T_1046, _T_1047) @[dec_tlu_ctl.scala 2280:115] - node _T_1049 = eq(mhpme_vec[0], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] - node _T_1050 = bits(_T_1049, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1051 = eq(mhpme_vec[0], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] - node _T_1052 = bits(_T_1051, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1053 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] - node _T_1054 = bits(_T_1053, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1055 = eq(mhpme_vec[0], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] - node _T_1056 = bits(_T_1055, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1057 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] - node _T_1058 = eq(mhpme_vec[0], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] - node _T_1059 = bits(_T_1058, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1060 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] - node _T_1061 = eq(mhpme_vec[0], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] - node _T_1062 = bits(_T_1061, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1063 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] - node _T_1064 = eq(mhpme_vec[0], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] - node _T_1065 = bits(_T_1064, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1066 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] - node _T_1067 = eq(mhpme_vec[0], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] - node _T_1068 = bits(_T_1067, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1069 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] - node _T_1070 = and(_T_1069, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] - node _T_1071 = eq(mhpme_vec[0], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] - node _T_1072 = bits(_T_1071, 0, 0) @[dec_tlu_ctl.scala 2289:62] - node _T_1073 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] - node _T_1074 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] - node _T_1075 = and(_T_1073, _T_1074) @[dec_tlu_ctl.scala 2289:101] - node _T_1076 = eq(mhpme_vec[0], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] - node _T_1077 = bits(_T_1076, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1078 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] - node _T_1079 = eq(mhpme_vec[0], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] - node _T_1080 = bits(_T_1079, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1081 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] - node _T_1082 = eq(mhpme_vec[0], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] - node _T_1083 = bits(_T_1082, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1084 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] - node _T_1085 = eq(mhpme_vec[0], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] - node _T_1086 = bits(_T_1085, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1087 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] - node _T_1088 = eq(mhpme_vec[0], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] - node _T_1089 = bits(_T_1088, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1090 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] - node _T_1091 = eq(mhpme_vec[0], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] - node _T_1092 = bits(_T_1091, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1093 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] - node _T_1094 = eq(mhpme_vec[0], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] - node _T_1095 = bits(_T_1094, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1096 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] - node _T_1097 = eq(mhpme_vec[0], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] - node _T_1098 = bits(_T_1097, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1099 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] - node _T_1100 = eq(mhpme_vec[0], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] - node _T_1101 = bits(_T_1100, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1102 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] - node _T_1103 = eq(mhpme_vec[0], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] - node _T_1104 = bits(_T_1103, 0, 0) @[dec_tlu_ctl.scala 2299:59] - node _T_1105 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] - node _T_1106 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] - node _T_1107 = or(_T_1105, _T_1106) @[dec_tlu_ctl.scala 2299:101] - node _T_1108 = eq(mhpme_vec[0], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] - node _T_1109 = bits(_T_1108, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1110 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] - node _T_1111 = eq(mhpme_vec[0], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] - node _T_1112 = bits(_T_1111, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1113 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] - node _T_1114 = eq(mhpme_vec[0], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] - node _T_1115 = bits(_T_1114, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1116 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] - node _T_1117 = eq(mhpme_vec[0], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] - node _T_1118 = bits(_T_1117, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1119 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] - node _T_1120 = bits(_T_1119, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1121 = eq(mhpme_vec[0], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] - node _T_1122 = bits(_T_1121, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1123 = eq(mhpme_vec[0], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] - node _T_1124 = bits(_T_1123, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1125 = eq(mhpme_vec[0], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] - node _T_1126 = bits(_T_1125, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1127 = eq(mhpme_vec[0], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] - node _T_1128 = bits(_T_1127, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1129 = eq(mhpme_vec[0], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] - node _T_1130 = bits(_T_1129, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1131 = eq(mhpme_vec[0], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] - node _T_1132 = bits(_T_1131, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1133 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] - node _T_1134 = or(_T_1133, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] - node _T_1135 = eq(mhpme_vec[0], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] - node _T_1136 = bits(_T_1135, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1137 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] - node _T_1138 = or(_T_1137, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] - node _T_1139 = eq(mhpme_vec[0], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] - node _T_1140 = bits(_T_1139, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1141 = eq(mhpme_vec[0], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] - node _T_1142 = bits(_T_1141, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1143 = eq(mhpme_vec[0], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] - node _T_1144 = bits(_T_1143, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1145 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] - node _T_1146 = and(_T_1145, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] - node _T_1147 = eq(mhpme_vec[0], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] - node _T_1148 = bits(_T_1147, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1149 = eq(mhpme_vec[0], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] - node _T_1150 = bits(_T_1149, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1151 = eq(mhpme_vec[0], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] - node _T_1152 = bits(_T_1151, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1153 = eq(mhpme_vec[0], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] - node _T_1154 = bits(_T_1153, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1155 = eq(mhpme_vec[0], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] - node _T_1156 = bits(_T_1155, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1157 = eq(mhpme_vec[0], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] - node _T_1158 = bits(_T_1157, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_1159 = eq(mhpme_vec[0], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] - node _T_1160 = bits(_T_1159, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_1161 = eq(mhpme_vec[0], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] - node _T_1162 = bits(_T_1161, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_1163 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_1164 = bits(_T_1163, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_1165 = not(_T_1164) @[dec_tlu_ctl.scala 2322:73] - node _T_1166 = eq(mhpme_vec[0], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] - node _T_1167 = bits(_T_1166, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_1168 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] - node _T_1169 = bits(_T_1168, 0, 0) @[dec_tlu_ctl.scala 2323:84] - node _T_1170 = not(_T_1169) @[dec_tlu_ctl.scala 2323:73] - node _T_1171 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] - node _T_1172 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] - node _T_1173 = and(_T_1171, _T_1172) @[dec_tlu_ctl.scala 2323:113] - node _T_1174 = orr(_T_1173) @[dec_tlu_ctl.scala 2323:125] - node _T_1175 = and(_T_1170, _T_1174) @[dec_tlu_ctl.scala 2323:98] - node _T_1176 = eq(mhpme_vec[0], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] - node _T_1177 = bits(_T_1176, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_1178 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] - node _T_1179 = eq(mhpme_vec[0], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] - node _T_1180 = bits(_T_1179, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_1181 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_1182 = eq(mhpme_vec[0], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] - node _T_1183 = bits(_T_1182, 0, 0) @[dec_tlu_ctl.scala 2326:62] - node _T_1184 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] - node _T_1185 = eq(mhpme_vec[0], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] - node _T_1186 = bits(_T_1185, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_1187 = eq(mhpme_vec[0], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] - node _T_1188 = bits(_T_1187, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_1189 = eq(mhpme_vec[0], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] - node _T_1190 = bits(_T_1189, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_1191 = eq(mhpme_vec[0], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] - node _T_1192 = bits(_T_1191, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_1193 = eq(mhpme_vec[0], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] - node _T_1194 = bits(_T_1193, 0, 0) @[dec_tlu_ctl.scala 2332:62] - node _T_1195 = mux(_T_1029, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1196 = mux(_T_1031, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1197 = mux(_T_1033, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1198 = mux(_T_1035, _T_1037, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1199 = mux(_T_1039, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1200 = mux(_T_1045, _T_1048, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1201 = mux(_T_1050, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1202 = mux(_T_1052, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1203 = mux(_T_1054, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1204 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1205 = mux(_T_1059, _T_1060, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1206 = mux(_T_1062, _T_1063, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1207 = mux(_T_1065, _T_1066, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1208 = mux(_T_1068, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1209 = mux(_T_1072, _T_1075, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1210 = mux(_T_1077, _T_1078, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1211 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1212 = mux(_T_1083, _T_1084, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1213 = mux(_T_1086, _T_1087, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1214 = mux(_T_1089, _T_1090, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1215 = mux(_T_1092, _T_1093, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1216 = mux(_T_1095, _T_1096, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1217 = mux(_T_1098, _T_1099, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1218 = mux(_T_1101, _T_1102, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1219 = mux(_T_1104, _T_1107, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1220 = mux(_T_1109, _T_1110, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1221 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1222 = mux(_T_1115, _T_1116, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1223 = mux(_T_1118, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1224 = mux(_T_1120, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1225 = mux(_T_1122, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1226 = mux(_T_1124, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1227 = mux(_T_1126, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1228 = mux(_T_1128, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1229 = mux(_T_1130, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1230 = mux(_T_1132, _T_1134, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1231 = mux(_T_1136, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1232 = mux(_T_1140, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1233 = mux(_T_1142, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1234 = mux(_T_1144, _T_1146, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1235 = mux(_T_1148, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1236 = mux(_T_1150, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1237 = mux(_T_1152, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1238 = mux(_T_1154, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1239 = mux(_T_1156, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1240 = mux(_T_1158, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1241 = mux(_T_1160, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1242 = mux(_T_1162, _T_1165, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1243 = mux(_T_1167, _T_1175, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1244 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1245 = mux(_T_1180, _T_1181, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1246 = mux(_T_1183, _T_1184, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1247 = mux(_T_1186, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1248 = mux(_T_1188, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1249 = mux(_T_1190, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1250 = mux(_T_1192, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1251 = mux(_T_1194, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1252 = or(_T_1195, _T_1196) @[Mux.scala 27:72] + node _T_1024 = bits(mcountinhibit, 3, 3) @[dec_tlu_ctl.scala 2274:38] + node _T_1025 = not(_T_1024) @[dec_tlu_ctl.scala 2274:24] + node _T_1026 = eq(mhpme_vec[0], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] + node _T_1027 = bits(_T_1026, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1028 = eq(mhpme_vec[0], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] + node _T_1029 = bits(_T_1028, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1030 = eq(mhpme_vec[0], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] + node _T_1031 = bits(_T_1030, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1032 = eq(mhpme_vec[0], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] + node _T_1033 = bits(_T_1032, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1034 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] + node _T_1035 = and(io.tlu_i0_commit_cmt, _T_1034) @[dec_tlu_ctl.scala 2278:94] + node _T_1036 = eq(mhpme_vec[0], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] + node _T_1037 = bits(_T_1036, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1038 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] + node _T_1039 = and(io.tlu_i0_commit_cmt, _T_1038) @[dec_tlu_ctl.scala 2279:94] + node _T_1040 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] + node _T_1041 = and(_T_1039, _T_1040) @[dec_tlu_ctl.scala 2279:115] + node _T_1042 = eq(mhpme_vec[0], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] + node _T_1043 = bits(_T_1042, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1044 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] + node _T_1045 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] + node _T_1046 = and(_T_1044, _T_1045) @[dec_tlu_ctl.scala 2280:115] + node _T_1047 = eq(mhpme_vec[0], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] + node _T_1048 = bits(_T_1047, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1049 = eq(mhpme_vec[0], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] + node _T_1050 = bits(_T_1049, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1051 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] + node _T_1052 = bits(_T_1051, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1053 = eq(mhpme_vec[0], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] + node _T_1054 = bits(_T_1053, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1055 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] + node _T_1056 = eq(mhpme_vec[0], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] + node _T_1057 = bits(_T_1056, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1058 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] + node _T_1059 = eq(mhpme_vec[0], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] + node _T_1060 = bits(_T_1059, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1061 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1062 = eq(mhpme_vec[0], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] + node _T_1063 = bits(_T_1062, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1064 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1065 = eq(mhpme_vec[0], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] + node _T_1066 = bits(_T_1065, 0, 0) @[dec_tlu_ctl.scala 2288:62] + node _T_1067 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] + node _T_1068 = and(_T_1067, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] + node _T_1069 = eq(mhpme_vec[0], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] + node _T_1070 = bits(_T_1069, 0, 0) @[dec_tlu_ctl.scala 2289:62] + node _T_1071 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] + node _T_1072 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] + node _T_1073 = and(_T_1071, _T_1072) @[dec_tlu_ctl.scala 2289:101] + node _T_1074 = eq(mhpme_vec[0], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] + node _T_1075 = bits(_T_1074, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1076 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] + node _T_1077 = eq(mhpme_vec[0], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] + node _T_1078 = bits(_T_1077, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1079 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] + node _T_1080 = eq(mhpme_vec[0], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] + node _T_1081 = bits(_T_1080, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1082 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] + node _T_1083 = eq(mhpme_vec[0], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] + node _T_1084 = bits(_T_1083, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1085 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] + node _T_1086 = eq(mhpme_vec[0], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] + node _T_1087 = bits(_T_1086, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1088 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] + node _T_1089 = eq(mhpme_vec[0], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] + node _T_1090 = bits(_T_1089, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1091 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] + node _T_1092 = eq(mhpme_vec[0], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] + node _T_1093 = bits(_T_1092, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1094 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] + node _T_1095 = eq(mhpme_vec[0], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] + node _T_1096 = bits(_T_1095, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1097 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] + node _T_1098 = eq(mhpme_vec[0], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] + node _T_1099 = bits(_T_1098, 0, 0) @[dec_tlu_ctl.scala 2298:59] + node _T_1100 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] + node _T_1101 = eq(mhpme_vec[0], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] + node _T_1102 = bits(_T_1101, 0, 0) @[dec_tlu_ctl.scala 2299:59] + node _T_1103 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] + node _T_1104 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] + node _T_1105 = or(_T_1103, _T_1104) @[dec_tlu_ctl.scala 2299:101] + node _T_1106 = eq(mhpme_vec[0], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] + node _T_1107 = bits(_T_1106, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1108 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] + node _T_1109 = eq(mhpme_vec[0], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] + node _T_1110 = bits(_T_1109, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1111 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] + node _T_1112 = eq(mhpme_vec[0], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] + node _T_1113 = bits(_T_1112, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1114 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] + node _T_1115 = eq(mhpme_vec[0], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] + node _T_1116 = bits(_T_1115, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1117 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] + node _T_1118 = bits(_T_1117, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1119 = eq(mhpme_vec[0], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] + node _T_1120 = bits(_T_1119, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1121 = eq(mhpme_vec[0], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] + node _T_1122 = bits(_T_1121, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1123 = eq(mhpme_vec[0], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] + node _T_1124 = bits(_T_1123, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1125 = eq(mhpme_vec[0], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] + node _T_1126 = bits(_T_1125, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1127 = eq(mhpme_vec[0], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] + node _T_1128 = bits(_T_1127, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1129 = eq(mhpme_vec[0], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] + node _T_1130 = bits(_T_1129, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1131 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] + node _T_1132 = or(_T_1131, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] + node _T_1133 = eq(mhpme_vec[0], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] + node _T_1134 = bits(_T_1133, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1135 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] + node _T_1136 = or(_T_1135, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] + node _T_1137 = eq(mhpme_vec[0], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] + node _T_1138 = bits(_T_1137, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1139 = eq(mhpme_vec[0], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] + node _T_1140 = bits(_T_1139, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1141 = eq(mhpme_vec[0], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] + node _T_1142 = bits(_T_1141, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1143 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] + node _T_1144 = and(_T_1143, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] + node _T_1145 = eq(mhpme_vec[0], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] + node _T_1146 = bits(_T_1145, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1147 = eq(mhpme_vec[0], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] + node _T_1148 = bits(_T_1147, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_1149 = eq(mhpme_vec[0], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] + node _T_1150 = bits(_T_1149, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_1151 = eq(mhpme_vec[0], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] + node _T_1152 = bits(_T_1151, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_1153 = eq(mhpme_vec[0], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] + node _T_1154 = bits(_T_1153, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_1155 = eq(mhpme_vec[0], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] + node _T_1156 = bits(_T_1155, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_1157 = eq(mhpme_vec[0], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] + node _T_1158 = bits(_T_1157, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_1159 = eq(mhpme_vec[0], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] + node _T_1160 = bits(_T_1159, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_1161 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] + node _T_1162 = bits(_T_1161, 0, 0) @[dec_tlu_ctl.scala 2322:84] + node _T_1163 = not(_T_1162) @[dec_tlu_ctl.scala 2322:73] + node _T_1164 = eq(mhpme_vec[0], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] + node _T_1165 = bits(_T_1164, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_1166 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] + node _T_1167 = bits(_T_1166, 0, 0) @[dec_tlu_ctl.scala 2323:84] + node _T_1168 = not(_T_1167) @[dec_tlu_ctl.scala 2323:73] + node _T_1169 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] + node _T_1170 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] + node _T_1171 = and(_T_1169, _T_1170) @[dec_tlu_ctl.scala 2323:113] + node _T_1172 = orr(_T_1171) @[dec_tlu_ctl.scala 2323:125] + node _T_1173 = and(_T_1168, _T_1172) @[dec_tlu_ctl.scala 2323:98] + node _T_1174 = eq(mhpme_vec[0], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] + node _T_1175 = bits(_T_1174, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_1176 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] + node _T_1177 = eq(mhpme_vec[0], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] + node _T_1178 = bits(_T_1177, 0, 0) @[dec_tlu_ctl.scala 2325:62] + node _T_1179 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] + node _T_1180 = eq(mhpme_vec[0], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] + node _T_1181 = bits(_T_1180, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_1182 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] + node _T_1183 = eq(mhpme_vec[0], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] + node _T_1184 = bits(_T_1183, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_1185 = eq(mhpme_vec[0], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] + node _T_1186 = bits(_T_1185, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_1187 = eq(mhpme_vec[0], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] + node _T_1188 = bits(_T_1187, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_1189 = eq(mhpme_vec[0], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] + node _T_1190 = bits(_T_1189, 0, 0) @[dec_tlu_ctl.scala 2331:62] + node _T_1191 = eq(mhpme_vec[0], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] + node _T_1192 = bits(_T_1191, 0, 0) @[dec_tlu_ctl.scala 2332:62] + node _T_1193 = mux(_T_1027, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1194 = mux(_T_1029, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1031, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = mux(_T_1033, _T_1035, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1037, _T_1041, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1043, _T_1046, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1048, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = mux(_T_1050, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1201 = mux(_T_1052, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1202 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1203 = mux(_T_1057, _T_1058, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1204 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1205 = mux(_T_1063, _T_1064, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1206 = mux(_T_1066, _T_1068, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1207 = mux(_T_1070, _T_1073, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1208 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1209 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1210 = mux(_T_1081, _T_1082, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1087, _T_1088, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = mux(_T_1090, _T_1091, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1214 = mux(_T_1093, _T_1094, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1215 = mux(_T_1096, _T_1097, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1216 = mux(_T_1099, _T_1100, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1217 = mux(_T_1102, _T_1105, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1218 = mux(_T_1107, _T_1108, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1219 = mux(_T_1110, _T_1111, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1113, _T_1114, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1116, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1118, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = mux(_T_1120, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1224 = mux(_T_1122, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1225 = mux(_T_1124, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1226 = mux(_T_1126, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1227 = mux(_T_1128, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1228 = mux(_T_1130, _T_1132, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1229 = mux(_T_1134, _T_1136, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1230 = mux(_T_1138, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1231 = mux(_T_1140, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1232 = mux(_T_1142, _T_1144, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1233 = mux(_T_1146, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1234 = mux(_T_1148, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1235 = mux(_T_1150, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1236 = mux(_T_1152, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1237 = mux(_T_1154, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1238 = mux(_T_1156, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1239 = mux(_T_1158, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1240 = mux(_T_1160, _T_1163, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1241 = mux(_T_1165, _T_1173, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1242 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1243 = mux(_T_1178, _T_1179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1244 = mux(_T_1181, _T_1182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1245 = mux(_T_1184, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1246 = mux(_T_1186, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1247 = mux(_T_1188, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1248 = mux(_T_1190, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1249 = mux(_T_1192, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1250 = or(_T_1193, _T_1194) @[Mux.scala 27:72] + node _T_1251 = or(_T_1250, _T_1195) @[Mux.scala 27:72] + node _T_1252 = or(_T_1251, _T_1196) @[Mux.scala 27:72] node _T_1253 = or(_T_1252, _T_1197) @[Mux.scala 27:72] node _T_1254 = or(_T_1253, _T_1198) @[Mux.scala 27:72] node _T_1255 = or(_T_1254, _T_1199) @[Mux.scala 27:72] @@ -74687,239 +74687,239 @@ circuit quasar_wrapper : node _T_1303 = or(_T_1302, _T_1247) @[Mux.scala 27:72] node _T_1304 = or(_T_1303, _T_1248) @[Mux.scala 27:72] node _T_1305 = or(_T_1304, _T_1249) @[Mux.scala 27:72] - node _T_1306 = or(_T_1305, _T_1250) @[Mux.scala 27:72] - node _T_1307 = or(_T_1306, _T_1251) @[Mux.scala 27:72] - wire _T_1308 : UInt<1> @[Mux.scala 27:72] - _T_1308 <= _T_1307 @[Mux.scala 27:72] - node _T_1309 = and(_T_1027, _T_1308) @[dec_tlu_ctl.scala 2274:44] - mhpmc_inc_r[0] <= _T_1309 @[dec_tlu_ctl.scala 2274:19] - node _T_1310 = bits(mcountinhibit, 4, 4) @[dec_tlu_ctl.scala 2274:38] - node _T_1311 = not(_T_1310) @[dec_tlu_ctl.scala 2274:24] - node _T_1312 = eq(mhpme_vec[1], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] - node _T_1313 = bits(_T_1312, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1314 = eq(mhpme_vec[1], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] - node _T_1315 = bits(_T_1314, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1316 = eq(mhpme_vec[1], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] - node _T_1317 = bits(_T_1316, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1318 = eq(mhpme_vec[1], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] - node _T_1319 = bits(_T_1318, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1320 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] - node _T_1321 = and(io.tlu_i0_commit_cmt, _T_1320) @[dec_tlu_ctl.scala 2278:94] - node _T_1322 = eq(mhpme_vec[1], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] - node _T_1323 = bits(_T_1322, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1324 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] - node _T_1325 = and(io.tlu_i0_commit_cmt, _T_1324) @[dec_tlu_ctl.scala 2279:94] - node _T_1326 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1327 = and(_T_1325, _T_1326) @[dec_tlu_ctl.scala 2279:115] - node _T_1328 = eq(mhpme_vec[1], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] - node _T_1329 = bits(_T_1328, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1330 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] - node _T_1331 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] - node _T_1332 = and(_T_1330, _T_1331) @[dec_tlu_ctl.scala 2280:115] - node _T_1333 = eq(mhpme_vec[1], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] - node _T_1334 = bits(_T_1333, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1335 = eq(mhpme_vec[1], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] - node _T_1336 = bits(_T_1335, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1337 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] - node _T_1338 = bits(_T_1337, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1339 = eq(mhpme_vec[1], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] - node _T_1340 = bits(_T_1339, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1341 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] - node _T_1342 = eq(mhpme_vec[1], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] - node _T_1343 = bits(_T_1342, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1344 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] - node _T_1345 = eq(mhpme_vec[1], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] - node _T_1346 = bits(_T_1345, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1347 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] - node _T_1348 = eq(mhpme_vec[1], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] - node _T_1349 = bits(_T_1348, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1350 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] - node _T_1351 = eq(mhpme_vec[1], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] - node _T_1352 = bits(_T_1351, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1353 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] - node _T_1354 = and(_T_1353, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] - node _T_1355 = eq(mhpme_vec[1], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] - node _T_1356 = bits(_T_1355, 0, 0) @[dec_tlu_ctl.scala 2289:62] - node _T_1357 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] - node _T_1358 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] - node _T_1359 = and(_T_1357, _T_1358) @[dec_tlu_ctl.scala 2289:101] - node _T_1360 = eq(mhpme_vec[1], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] - node _T_1361 = bits(_T_1360, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1362 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] - node _T_1363 = eq(mhpme_vec[1], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] - node _T_1364 = bits(_T_1363, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1365 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] - node _T_1366 = eq(mhpme_vec[1], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] - node _T_1367 = bits(_T_1366, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1368 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] - node _T_1369 = eq(mhpme_vec[1], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] - node _T_1370 = bits(_T_1369, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1371 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] - node _T_1372 = eq(mhpme_vec[1], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] - node _T_1373 = bits(_T_1372, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1374 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] - node _T_1375 = eq(mhpme_vec[1], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] - node _T_1376 = bits(_T_1375, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1377 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] - node _T_1378 = eq(mhpme_vec[1], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] - node _T_1379 = bits(_T_1378, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1380 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] - node _T_1381 = eq(mhpme_vec[1], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] - node _T_1382 = bits(_T_1381, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1383 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] - node _T_1384 = eq(mhpme_vec[1], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] - node _T_1385 = bits(_T_1384, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1386 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] - node _T_1387 = eq(mhpme_vec[1], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] - node _T_1388 = bits(_T_1387, 0, 0) @[dec_tlu_ctl.scala 2299:59] - node _T_1389 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] - node _T_1390 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] - node _T_1391 = or(_T_1389, _T_1390) @[dec_tlu_ctl.scala 2299:101] - node _T_1392 = eq(mhpme_vec[1], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] - node _T_1393 = bits(_T_1392, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1394 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] - node _T_1395 = eq(mhpme_vec[1], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] - node _T_1396 = bits(_T_1395, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1397 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] - node _T_1398 = eq(mhpme_vec[1], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] - node _T_1399 = bits(_T_1398, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1400 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] - node _T_1401 = eq(mhpme_vec[1], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] - node _T_1402 = bits(_T_1401, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1403 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] - node _T_1404 = bits(_T_1403, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1405 = eq(mhpme_vec[1], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] - node _T_1406 = bits(_T_1405, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1407 = eq(mhpme_vec[1], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] - node _T_1408 = bits(_T_1407, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1409 = eq(mhpme_vec[1], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] - node _T_1410 = bits(_T_1409, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1411 = eq(mhpme_vec[1], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] - node _T_1412 = bits(_T_1411, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1413 = eq(mhpme_vec[1], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] - node _T_1414 = bits(_T_1413, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1415 = eq(mhpme_vec[1], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] - node _T_1416 = bits(_T_1415, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1417 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] - node _T_1418 = or(_T_1417, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] - node _T_1419 = eq(mhpme_vec[1], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] - node _T_1420 = bits(_T_1419, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1421 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] - node _T_1422 = or(_T_1421, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] - node _T_1423 = eq(mhpme_vec[1], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] - node _T_1424 = bits(_T_1423, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1425 = eq(mhpme_vec[1], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] - node _T_1426 = bits(_T_1425, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1427 = eq(mhpme_vec[1], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] - node _T_1428 = bits(_T_1427, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1429 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] - node _T_1430 = and(_T_1429, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] - node _T_1431 = eq(mhpme_vec[1], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] - node _T_1432 = bits(_T_1431, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1433 = eq(mhpme_vec[1], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] - node _T_1434 = bits(_T_1433, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1435 = eq(mhpme_vec[1], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] - node _T_1436 = bits(_T_1435, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1437 = eq(mhpme_vec[1], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] - node _T_1438 = bits(_T_1437, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1439 = eq(mhpme_vec[1], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] - node _T_1440 = bits(_T_1439, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1441 = eq(mhpme_vec[1], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] - node _T_1442 = bits(_T_1441, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_1443 = eq(mhpme_vec[1], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] - node _T_1444 = bits(_T_1443, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_1445 = eq(mhpme_vec[1], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] - node _T_1446 = bits(_T_1445, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_1447 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_1448 = bits(_T_1447, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_1449 = not(_T_1448) @[dec_tlu_ctl.scala 2322:73] - node _T_1450 = eq(mhpme_vec[1], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] - node _T_1451 = bits(_T_1450, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_1452 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] - node _T_1453 = bits(_T_1452, 0, 0) @[dec_tlu_ctl.scala 2323:84] - node _T_1454 = not(_T_1453) @[dec_tlu_ctl.scala 2323:73] - node _T_1455 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] - node _T_1456 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] - node _T_1457 = and(_T_1455, _T_1456) @[dec_tlu_ctl.scala 2323:113] - node _T_1458 = orr(_T_1457) @[dec_tlu_ctl.scala 2323:125] - node _T_1459 = and(_T_1454, _T_1458) @[dec_tlu_ctl.scala 2323:98] - node _T_1460 = eq(mhpme_vec[1], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] - node _T_1461 = bits(_T_1460, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_1462 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] - node _T_1463 = eq(mhpme_vec[1], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] - node _T_1464 = bits(_T_1463, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_1465 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_1466 = eq(mhpme_vec[1], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] - node _T_1467 = bits(_T_1466, 0, 0) @[dec_tlu_ctl.scala 2326:62] - node _T_1468 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] - node _T_1469 = eq(mhpme_vec[1], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] - node _T_1470 = bits(_T_1469, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_1471 = eq(mhpme_vec[1], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] - node _T_1472 = bits(_T_1471, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_1473 = eq(mhpme_vec[1], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] - node _T_1474 = bits(_T_1473, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_1475 = eq(mhpme_vec[1], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] - node _T_1476 = bits(_T_1475, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_1477 = eq(mhpme_vec[1], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] - node _T_1478 = bits(_T_1477, 0, 0) @[dec_tlu_ctl.scala 2332:62] - node _T_1479 = mux(_T_1313, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1480 = mux(_T_1315, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1481 = mux(_T_1317, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1482 = mux(_T_1319, _T_1321, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1483 = mux(_T_1323, _T_1327, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1484 = mux(_T_1329, _T_1332, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1485 = mux(_T_1334, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1486 = mux(_T_1336, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1487 = mux(_T_1338, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1488 = mux(_T_1340, _T_1341, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1489 = mux(_T_1343, _T_1344, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1490 = mux(_T_1346, _T_1347, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1491 = mux(_T_1349, _T_1350, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1492 = mux(_T_1352, _T_1354, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1493 = mux(_T_1356, _T_1359, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1494 = mux(_T_1361, _T_1362, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1495 = mux(_T_1364, _T_1365, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1496 = mux(_T_1367, _T_1368, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1497 = mux(_T_1370, _T_1371, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1498 = mux(_T_1373, _T_1374, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1499 = mux(_T_1376, _T_1377, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1500 = mux(_T_1379, _T_1380, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1501 = mux(_T_1382, _T_1383, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1502 = mux(_T_1385, _T_1386, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1503 = mux(_T_1388, _T_1391, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1504 = mux(_T_1393, _T_1394, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1505 = mux(_T_1396, _T_1397, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1506 = mux(_T_1399, _T_1400, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1507 = mux(_T_1402, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1508 = mux(_T_1404, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1509 = mux(_T_1406, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1510 = mux(_T_1408, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1511 = mux(_T_1410, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1512 = mux(_T_1412, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1513 = mux(_T_1414, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1514 = mux(_T_1416, _T_1418, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1515 = mux(_T_1420, _T_1422, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1516 = mux(_T_1424, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1517 = mux(_T_1426, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1518 = mux(_T_1428, _T_1430, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1519 = mux(_T_1432, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1520 = mux(_T_1434, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1521 = mux(_T_1436, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1522 = mux(_T_1438, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1523 = mux(_T_1440, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1524 = mux(_T_1442, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1525 = mux(_T_1444, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1526 = mux(_T_1446, _T_1449, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1527 = mux(_T_1451, _T_1459, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1528 = mux(_T_1461, _T_1462, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1529 = mux(_T_1464, _T_1465, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1530 = mux(_T_1467, _T_1468, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1531 = mux(_T_1470, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1532 = mux(_T_1472, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1533 = mux(_T_1474, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1534 = mux(_T_1476, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1535 = mux(_T_1478, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1536 = or(_T_1479, _T_1480) @[Mux.scala 27:72] + wire _T_1306 : UInt<1> @[Mux.scala 27:72] + _T_1306 <= _T_1305 @[Mux.scala 27:72] + node _T_1307 = and(_T_1025, _T_1306) @[dec_tlu_ctl.scala 2274:44] + mhpmc_inc_r[0] <= _T_1307 @[dec_tlu_ctl.scala 2274:19] + node _T_1308 = bits(mcountinhibit, 4, 4) @[dec_tlu_ctl.scala 2274:38] + node _T_1309 = not(_T_1308) @[dec_tlu_ctl.scala 2274:24] + node _T_1310 = eq(mhpme_vec[1], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] + node _T_1311 = bits(_T_1310, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1312 = eq(mhpme_vec[1], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] + node _T_1313 = bits(_T_1312, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1314 = eq(mhpme_vec[1], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] + node _T_1315 = bits(_T_1314, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1316 = eq(mhpme_vec[1], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] + node _T_1317 = bits(_T_1316, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1318 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] + node _T_1319 = and(io.tlu_i0_commit_cmt, _T_1318) @[dec_tlu_ctl.scala 2278:94] + node _T_1320 = eq(mhpme_vec[1], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] + node _T_1321 = bits(_T_1320, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1322 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] + node _T_1323 = and(io.tlu_i0_commit_cmt, _T_1322) @[dec_tlu_ctl.scala 2279:94] + node _T_1324 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] + node _T_1325 = and(_T_1323, _T_1324) @[dec_tlu_ctl.scala 2279:115] + node _T_1326 = eq(mhpme_vec[1], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] + node _T_1327 = bits(_T_1326, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1328 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] + node _T_1329 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] + node _T_1330 = and(_T_1328, _T_1329) @[dec_tlu_ctl.scala 2280:115] + node _T_1331 = eq(mhpme_vec[1], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] + node _T_1332 = bits(_T_1331, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1333 = eq(mhpme_vec[1], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] + node _T_1334 = bits(_T_1333, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1335 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] + node _T_1336 = bits(_T_1335, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1337 = eq(mhpme_vec[1], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] + node _T_1338 = bits(_T_1337, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1339 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] + node _T_1340 = eq(mhpme_vec[1], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] + node _T_1341 = bits(_T_1340, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1342 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] + node _T_1343 = eq(mhpme_vec[1], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] + node _T_1344 = bits(_T_1343, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1345 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1346 = eq(mhpme_vec[1], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] + node _T_1347 = bits(_T_1346, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1348 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1349 = eq(mhpme_vec[1], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] + node _T_1350 = bits(_T_1349, 0, 0) @[dec_tlu_ctl.scala 2288:62] + node _T_1351 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] + node _T_1352 = and(_T_1351, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] + node _T_1353 = eq(mhpme_vec[1], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] + node _T_1354 = bits(_T_1353, 0, 0) @[dec_tlu_ctl.scala 2289:62] + node _T_1355 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] + node _T_1356 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] + node _T_1357 = and(_T_1355, _T_1356) @[dec_tlu_ctl.scala 2289:101] + node _T_1358 = eq(mhpme_vec[1], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] + node _T_1359 = bits(_T_1358, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1360 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] + node _T_1361 = eq(mhpme_vec[1], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] + node _T_1362 = bits(_T_1361, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1363 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] + node _T_1364 = eq(mhpme_vec[1], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] + node _T_1365 = bits(_T_1364, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1366 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] + node _T_1367 = eq(mhpme_vec[1], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] + node _T_1368 = bits(_T_1367, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1369 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] + node _T_1370 = eq(mhpme_vec[1], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] + node _T_1371 = bits(_T_1370, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1372 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] + node _T_1373 = eq(mhpme_vec[1], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] + node _T_1374 = bits(_T_1373, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1375 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] + node _T_1376 = eq(mhpme_vec[1], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] + node _T_1377 = bits(_T_1376, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1378 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] + node _T_1379 = eq(mhpme_vec[1], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] + node _T_1380 = bits(_T_1379, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1381 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] + node _T_1382 = eq(mhpme_vec[1], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] + node _T_1383 = bits(_T_1382, 0, 0) @[dec_tlu_ctl.scala 2298:59] + node _T_1384 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] + node _T_1385 = eq(mhpme_vec[1], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] + node _T_1386 = bits(_T_1385, 0, 0) @[dec_tlu_ctl.scala 2299:59] + node _T_1387 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] + node _T_1388 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] + node _T_1389 = or(_T_1387, _T_1388) @[dec_tlu_ctl.scala 2299:101] + node _T_1390 = eq(mhpme_vec[1], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] + node _T_1391 = bits(_T_1390, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1392 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] + node _T_1393 = eq(mhpme_vec[1], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] + node _T_1394 = bits(_T_1393, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1395 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] + node _T_1396 = eq(mhpme_vec[1], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] + node _T_1397 = bits(_T_1396, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1398 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] + node _T_1399 = eq(mhpme_vec[1], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] + node _T_1400 = bits(_T_1399, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1401 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] + node _T_1402 = bits(_T_1401, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1403 = eq(mhpme_vec[1], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] + node _T_1404 = bits(_T_1403, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1405 = eq(mhpme_vec[1], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] + node _T_1406 = bits(_T_1405, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1407 = eq(mhpme_vec[1], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] + node _T_1408 = bits(_T_1407, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1409 = eq(mhpme_vec[1], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] + node _T_1410 = bits(_T_1409, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1411 = eq(mhpme_vec[1], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] + node _T_1412 = bits(_T_1411, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1413 = eq(mhpme_vec[1], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] + node _T_1414 = bits(_T_1413, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1415 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] + node _T_1416 = or(_T_1415, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] + node _T_1417 = eq(mhpme_vec[1], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] + node _T_1418 = bits(_T_1417, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1419 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] + node _T_1420 = or(_T_1419, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] + node _T_1421 = eq(mhpme_vec[1], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] + node _T_1422 = bits(_T_1421, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1423 = eq(mhpme_vec[1], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] + node _T_1424 = bits(_T_1423, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1425 = eq(mhpme_vec[1], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] + node _T_1426 = bits(_T_1425, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1427 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] + node _T_1428 = and(_T_1427, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] + node _T_1429 = eq(mhpme_vec[1], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] + node _T_1430 = bits(_T_1429, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1431 = eq(mhpme_vec[1], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] + node _T_1432 = bits(_T_1431, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_1433 = eq(mhpme_vec[1], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] + node _T_1434 = bits(_T_1433, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_1435 = eq(mhpme_vec[1], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] + node _T_1436 = bits(_T_1435, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_1437 = eq(mhpme_vec[1], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] + node _T_1438 = bits(_T_1437, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_1439 = eq(mhpme_vec[1], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] + node _T_1440 = bits(_T_1439, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_1441 = eq(mhpme_vec[1], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] + node _T_1442 = bits(_T_1441, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_1443 = eq(mhpme_vec[1], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] + node _T_1444 = bits(_T_1443, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_1445 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] + node _T_1446 = bits(_T_1445, 0, 0) @[dec_tlu_ctl.scala 2322:84] + node _T_1447 = not(_T_1446) @[dec_tlu_ctl.scala 2322:73] + node _T_1448 = eq(mhpme_vec[1], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] + node _T_1449 = bits(_T_1448, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_1450 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] + node _T_1451 = bits(_T_1450, 0, 0) @[dec_tlu_ctl.scala 2323:84] + node _T_1452 = not(_T_1451) @[dec_tlu_ctl.scala 2323:73] + node _T_1453 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] + node _T_1454 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] + node _T_1455 = and(_T_1453, _T_1454) @[dec_tlu_ctl.scala 2323:113] + node _T_1456 = orr(_T_1455) @[dec_tlu_ctl.scala 2323:125] + node _T_1457 = and(_T_1452, _T_1456) @[dec_tlu_ctl.scala 2323:98] + node _T_1458 = eq(mhpme_vec[1], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] + node _T_1459 = bits(_T_1458, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_1460 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] + node _T_1461 = eq(mhpme_vec[1], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] + node _T_1462 = bits(_T_1461, 0, 0) @[dec_tlu_ctl.scala 2325:62] + node _T_1463 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] + node _T_1464 = eq(mhpme_vec[1], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] + node _T_1465 = bits(_T_1464, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_1466 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] + node _T_1467 = eq(mhpme_vec[1], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] + node _T_1468 = bits(_T_1467, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_1469 = eq(mhpme_vec[1], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] + node _T_1470 = bits(_T_1469, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_1471 = eq(mhpme_vec[1], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] + node _T_1472 = bits(_T_1471, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_1473 = eq(mhpme_vec[1], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] + node _T_1474 = bits(_T_1473, 0, 0) @[dec_tlu_ctl.scala 2331:62] + node _T_1475 = eq(mhpme_vec[1], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] + node _T_1476 = bits(_T_1475, 0, 0) @[dec_tlu_ctl.scala 2332:62] + node _T_1477 = mux(_T_1311, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1478 = mux(_T_1313, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1479 = mux(_T_1315, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1480 = mux(_T_1317, _T_1319, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1321, _T_1325, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1327, _T_1330, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1332, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1334, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1336, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = mux(_T_1338, _T_1339, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1487 = mux(_T_1341, _T_1342, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1488 = mux(_T_1344, _T_1345, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1489 = mux(_T_1347, _T_1348, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1490 = mux(_T_1350, _T_1352, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1491 = mux(_T_1354, _T_1357, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1492 = mux(_T_1359, _T_1360, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1493 = mux(_T_1362, _T_1363, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1494 = mux(_T_1365, _T_1366, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1495 = mux(_T_1368, _T_1369, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1496 = mux(_T_1371, _T_1372, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1497 = mux(_T_1374, _T_1375, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1498 = mux(_T_1377, _T_1378, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1380, _T_1381, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1383, _T_1384, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1386, _T_1389, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1391, _T_1392, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1394, _T_1395, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = mux(_T_1397, _T_1398, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1505 = mux(_T_1400, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1506 = mux(_T_1402, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1507 = mux(_T_1404, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1508 = mux(_T_1406, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1509 = mux(_T_1408, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1510 = mux(_T_1410, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1511 = mux(_T_1412, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1512 = mux(_T_1414, _T_1416, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1418, _T_1420, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1422, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1424, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1426, _T_1428, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1430, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = mux(_T_1432, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1519 = mux(_T_1434, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1520 = mux(_T_1436, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1521 = mux(_T_1438, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1522 = mux(_T_1440, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1523 = mux(_T_1442, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1524 = mux(_T_1444, _T_1447, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1525 = mux(_T_1449, _T_1457, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1526 = mux(_T_1459, _T_1460, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1527 = mux(_T_1462, _T_1463, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1465, _T_1466, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1468, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1470, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = mux(_T_1472, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1532 = mux(_T_1474, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1533 = mux(_T_1476, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1534 = or(_T_1477, _T_1478) @[Mux.scala 27:72] + node _T_1535 = or(_T_1534, _T_1479) @[Mux.scala 27:72] + node _T_1536 = or(_T_1535, _T_1480) @[Mux.scala 27:72] node _T_1537 = or(_T_1536, _T_1481) @[Mux.scala 27:72] node _T_1538 = or(_T_1537, _T_1482) @[Mux.scala 27:72] node _T_1539 = or(_T_1538, _T_1483) @[Mux.scala 27:72] @@ -74973,239 +74973,239 @@ circuit quasar_wrapper : node _T_1587 = or(_T_1586, _T_1531) @[Mux.scala 27:72] node _T_1588 = or(_T_1587, _T_1532) @[Mux.scala 27:72] node _T_1589 = or(_T_1588, _T_1533) @[Mux.scala 27:72] - node _T_1590 = or(_T_1589, _T_1534) @[Mux.scala 27:72] - node _T_1591 = or(_T_1590, _T_1535) @[Mux.scala 27:72] - wire _T_1592 : UInt<1> @[Mux.scala 27:72] - _T_1592 <= _T_1591 @[Mux.scala 27:72] - node _T_1593 = and(_T_1311, _T_1592) @[dec_tlu_ctl.scala 2274:44] - mhpmc_inc_r[1] <= _T_1593 @[dec_tlu_ctl.scala 2274:19] - node _T_1594 = bits(mcountinhibit, 5, 5) @[dec_tlu_ctl.scala 2274:38] - node _T_1595 = not(_T_1594) @[dec_tlu_ctl.scala 2274:24] - node _T_1596 = eq(mhpme_vec[2], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] - node _T_1597 = bits(_T_1596, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1598 = eq(mhpme_vec[2], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] - node _T_1599 = bits(_T_1598, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1600 = eq(mhpme_vec[2], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] - node _T_1601 = bits(_T_1600, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1602 = eq(mhpme_vec[2], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] - node _T_1603 = bits(_T_1602, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1604 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] - node _T_1605 = and(io.tlu_i0_commit_cmt, _T_1604) @[dec_tlu_ctl.scala 2278:94] - node _T_1606 = eq(mhpme_vec[2], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] - node _T_1607 = bits(_T_1606, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1608 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] - node _T_1609 = and(io.tlu_i0_commit_cmt, _T_1608) @[dec_tlu_ctl.scala 2279:94] - node _T_1610 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1611 = and(_T_1609, _T_1610) @[dec_tlu_ctl.scala 2279:115] - node _T_1612 = eq(mhpme_vec[2], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] - node _T_1613 = bits(_T_1612, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1614 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] - node _T_1615 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] - node _T_1616 = and(_T_1614, _T_1615) @[dec_tlu_ctl.scala 2280:115] - node _T_1617 = eq(mhpme_vec[2], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] - node _T_1618 = bits(_T_1617, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1619 = eq(mhpme_vec[2], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] - node _T_1620 = bits(_T_1619, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1621 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] - node _T_1622 = bits(_T_1621, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1623 = eq(mhpme_vec[2], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] - node _T_1624 = bits(_T_1623, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1625 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] - node _T_1626 = eq(mhpme_vec[2], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] - node _T_1627 = bits(_T_1626, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1628 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] - node _T_1629 = eq(mhpme_vec[2], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] - node _T_1630 = bits(_T_1629, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1631 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] - node _T_1632 = eq(mhpme_vec[2], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] - node _T_1633 = bits(_T_1632, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1634 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] - node _T_1635 = eq(mhpme_vec[2], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] - node _T_1636 = bits(_T_1635, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1637 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] - node _T_1638 = and(_T_1637, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] - node _T_1639 = eq(mhpme_vec[2], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] - node _T_1640 = bits(_T_1639, 0, 0) @[dec_tlu_ctl.scala 2289:62] - node _T_1641 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] - node _T_1642 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] - node _T_1643 = and(_T_1641, _T_1642) @[dec_tlu_ctl.scala 2289:101] - node _T_1644 = eq(mhpme_vec[2], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] - node _T_1645 = bits(_T_1644, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1646 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] - node _T_1647 = eq(mhpme_vec[2], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] - node _T_1648 = bits(_T_1647, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1649 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] - node _T_1650 = eq(mhpme_vec[2], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] - node _T_1651 = bits(_T_1650, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1652 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] - node _T_1653 = eq(mhpme_vec[2], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] - node _T_1654 = bits(_T_1653, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1655 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] - node _T_1656 = eq(mhpme_vec[2], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] - node _T_1657 = bits(_T_1656, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1658 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] - node _T_1659 = eq(mhpme_vec[2], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] - node _T_1660 = bits(_T_1659, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1661 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] - node _T_1662 = eq(mhpme_vec[2], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] - node _T_1663 = bits(_T_1662, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1664 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] - node _T_1665 = eq(mhpme_vec[2], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] - node _T_1666 = bits(_T_1665, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1667 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] - node _T_1668 = eq(mhpme_vec[2], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] - node _T_1669 = bits(_T_1668, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1670 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] - node _T_1671 = eq(mhpme_vec[2], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] - node _T_1672 = bits(_T_1671, 0, 0) @[dec_tlu_ctl.scala 2299:59] - node _T_1673 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] - node _T_1674 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] - node _T_1675 = or(_T_1673, _T_1674) @[dec_tlu_ctl.scala 2299:101] - node _T_1676 = eq(mhpme_vec[2], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] - node _T_1677 = bits(_T_1676, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1678 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] - node _T_1679 = eq(mhpme_vec[2], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] - node _T_1680 = bits(_T_1679, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1681 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] - node _T_1682 = eq(mhpme_vec[2], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] - node _T_1683 = bits(_T_1682, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1684 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] - node _T_1685 = eq(mhpme_vec[2], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] - node _T_1686 = bits(_T_1685, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1687 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] - node _T_1688 = bits(_T_1687, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1689 = eq(mhpme_vec[2], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] - node _T_1690 = bits(_T_1689, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1691 = eq(mhpme_vec[2], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] - node _T_1692 = bits(_T_1691, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1693 = eq(mhpme_vec[2], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] - node _T_1694 = bits(_T_1693, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1695 = eq(mhpme_vec[2], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] - node _T_1696 = bits(_T_1695, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1697 = eq(mhpme_vec[2], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] - node _T_1698 = bits(_T_1697, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1699 = eq(mhpme_vec[2], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] - node _T_1700 = bits(_T_1699, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1701 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] - node _T_1702 = or(_T_1701, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] - node _T_1703 = eq(mhpme_vec[2], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] - node _T_1704 = bits(_T_1703, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1705 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] - node _T_1706 = or(_T_1705, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] - node _T_1707 = eq(mhpme_vec[2], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] - node _T_1708 = bits(_T_1707, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1709 = eq(mhpme_vec[2], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] - node _T_1710 = bits(_T_1709, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1711 = eq(mhpme_vec[2], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] - node _T_1712 = bits(_T_1711, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1713 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] - node _T_1714 = and(_T_1713, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] - node _T_1715 = eq(mhpme_vec[2], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] - node _T_1716 = bits(_T_1715, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1717 = eq(mhpme_vec[2], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] - node _T_1718 = bits(_T_1717, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1719 = eq(mhpme_vec[2], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] - node _T_1720 = bits(_T_1719, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1721 = eq(mhpme_vec[2], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] - node _T_1722 = bits(_T_1721, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1723 = eq(mhpme_vec[2], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] - node _T_1724 = bits(_T_1723, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1725 = eq(mhpme_vec[2], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] - node _T_1726 = bits(_T_1725, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_1727 = eq(mhpme_vec[2], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] - node _T_1728 = bits(_T_1727, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_1729 = eq(mhpme_vec[2], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] - node _T_1730 = bits(_T_1729, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_1731 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_1732 = bits(_T_1731, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_1733 = not(_T_1732) @[dec_tlu_ctl.scala 2322:73] - node _T_1734 = eq(mhpme_vec[2], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] - node _T_1735 = bits(_T_1734, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_1736 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] - node _T_1737 = bits(_T_1736, 0, 0) @[dec_tlu_ctl.scala 2323:84] - node _T_1738 = not(_T_1737) @[dec_tlu_ctl.scala 2323:73] - node _T_1739 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] - node _T_1740 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] - node _T_1741 = and(_T_1739, _T_1740) @[dec_tlu_ctl.scala 2323:113] - node _T_1742 = orr(_T_1741) @[dec_tlu_ctl.scala 2323:125] - node _T_1743 = and(_T_1738, _T_1742) @[dec_tlu_ctl.scala 2323:98] - node _T_1744 = eq(mhpme_vec[2], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] - node _T_1745 = bits(_T_1744, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_1746 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] - node _T_1747 = eq(mhpme_vec[2], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] - node _T_1748 = bits(_T_1747, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_1749 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_1750 = eq(mhpme_vec[2], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] - node _T_1751 = bits(_T_1750, 0, 0) @[dec_tlu_ctl.scala 2326:62] - node _T_1752 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] - node _T_1753 = eq(mhpme_vec[2], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] - node _T_1754 = bits(_T_1753, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_1755 = eq(mhpme_vec[2], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] - node _T_1756 = bits(_T_1755, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_1757 = eq(mhpme_vec[2], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] - node _T_1758 = bits(_T_1757, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_1759 = eq(mhpme_vec[2], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] - node _T_1760 = bits(_T_1759, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_1761 = eq(mhpme_vec[2], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] - node _T_1762 = bits(_T_1761, 0, 0) @[dec_tlu_ctl.scala 2332:62] - node _T_1763 = mux(_T_1597, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1764 = mux(_T_1599, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1765 = mux(_T_1601, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1766 = mux(_T_1603, _T_1605, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1767 = mux(_T_1607, _T_1611, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1768 = mux(_T_1613, _T_1616, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1769 = mux(_T_1618, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1770 = mux(_T_1620, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1771 = mux(_T_1622, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1772 = mux(_T_1624, _T_1625, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1773 = mux(_T_1627, _T_1628, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1774 = mux(_T_1630, _T_1631, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1775 = mux(_T_1633, _T_1634, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1776 = mux(_T_1636, _T_1638, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1777 = mux(_T_1640, _T_1643, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1778 = mux(_T_1645, _T_1646, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1779 = mux(_T_1648, _T_1649, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1780 = mux(_T_1651, _T_1652, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1781 = mux(_T_1654, _T_1655, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1782 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1783 = mux(_T_1660, _T_1661, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1784 = mux(_T_1663, _T_1664, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1785 = mux(_T_1666, _T_1667, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1786 = mux(_T_1669, _T_1670, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1787 = mux(_T_1672, _T_1675, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1788 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1789 = mux(_T_1680, _T_1681, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1790 = mux(_T_1683, _T_1684, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1791 = mux(_T_1686, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1792 = mux(_T_1688, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1793 = mux(_T_1690, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1794 = mux(_T_1692, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1795 = mux(_T_1694, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1796 = mux(_T_1696, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1797 = mux(_T_1698, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1798 = mux(_T_1700, _T_1702, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1799 = mux(_T_1704, _T_1706, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1800 = mux(_T_1708, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1801 = mux(_T_1710, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1802 = mux(_T_1712, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1803 = mux(_T_1716, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1804 = mux(_T_1718, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1805 = mux(_T_1720, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1806 = mux(_T_1722, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1807 = mux(_T_1724, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1808 = mux(_T_1726, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1809 = mux(_T_1728, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1810 = mux(_T_1730, _T_1733, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1811 = mux(_T_1735, _T_1743, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1812 = mux(_T_1745, _T_1746, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1813 = mux(_T_1748, _T_1749, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1814 = mux(_T_1751, _T_1752, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1815 = mux(_T_1754, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1816 = mux(_T_1756, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1817 = mux(_T_1758, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1818 = mux(_T_1760, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1819 = mux(_T_1762, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1820 = or(_T_1763, _T_1764) @[Mux.scala 27:72] + wire _T_1590 : UInt<1> @[Mux.scala 27:72] + _T_1590 <= _T_1589 @[Mux.scala 27:72] + node _T_1591 = and(_T_1309, _T_1590) @[dec_tlu_ctl.scala 2274:44] + mhpmc_inc_r[1] <= _T_1591 @[dec_tlu_ctl.scala 2274:19] + node _T_1592 = bits(mcountinhibit, 5, 5) @[dec_tlu_ctl.scala 2274:38] + node _T_1593 = not(_T_1592) @[dec_tlu_ctl.scala 2274:24] + node _T_1594 = eq(mhpme_vec[2], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] + node _T_1595 = bits(_T_1594, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1596 = eq(mhpme_vec[2], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] + node _T_1597 = bits(_T_1596, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1598 = eq(mhpme_vec[2], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] + node _T_1599 = bits(_T_1598, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1600 = eq(mhpme_vec[2], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] + node _T_1601 = bits(_T_1600, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1602 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] + node _T_1603 = and(io.tlu_i0_commit_cmt, _T_1602) @[dec_tlu_ctl.scala 2278:94] + node _T_1604 = eq(mhpme_vec[2], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] + node _T_1605 = bits(_T_1604, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1606 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] + node _T_1607 = and(io.tlu_i0_commit_cmt, _T_1606) @[dec_tlu_ctl.scala 2279:94] + node _T_1608 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] + node _T_1609 = and(_T_1607, _T_1608) @[dec_tlu_ctl.scala 2279:115] + node _T_1610 = eq(mhpme_vec[2], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] + node _T_1611 = bits(_T_1610, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1612 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] + node _T_1613 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] + node _T_1614 = and(_T_1612, _T_1613) @[dec_tlu_ctl.scala 2280:115] + node _T_1615 = eq(mhpme_vec[2], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] + node _T_1616 = bits(_T_1615, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1617 = eq(mhpme_vec[2], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] + node _T_1618 = bits(_T_1617, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1619 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] + node _T_1620 = bits(_T_1619, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1621 = eq(mhpme_vec[2], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] + node _T_1622 = bits(_T_1621, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1623 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] + node _T_1624 = eq(mhpme_vec[2], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] + node _T_1625 = bits(_T_1624, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1626 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] + node _T_1627 = eq(mhpme_vec[2], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] + node _T_1628 = bits(_T_1627, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1629 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1630 = eq(mhpme_vec[2], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] + node _T_1631 = bits(_T_1630, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1632 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1633 = eq(mhpme_vec[2], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] + node _T_1634 = bits(_T_1633, 0, 0) @[dec_tlu_ctl.scala 2288:62] + node _T_1635 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] + node _T_1636 = and(_T_1635, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] + node _T_1637 = eq(mhpme_vec[2], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] + node _T_1638 = bits(_T_1637, 0, 0) @[dec_tlu_ctl.scala 2289:62] + node _T_1639 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] + node _T_1640 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] + node _T_1641 = and(_T_1639, _T_1640) @[dec_tlu_ctl.scala 2289:101] + node _T_1642 = eq(mhpme_vec[2], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] + node _T_1643 = bits(_T_1642, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1644 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] + node _T_1645 = eq(mhpme_vec[2], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] + node _T_1646 = bits(_T_1645, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1647 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] + node _T_1648 = eq(mhpme_vec[2], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] + node _T_1649 = bits(_T_1648, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1650 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] + node _T_1651 = eq(mhpme_vec[2], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] + node _T_1652 = bits(_T_1651, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1653 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] + node _T_1654 = eq(mhpme_vec[2], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] + node _T_1655 = bits(_T_1654, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1656 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] + node _T_1657 = eq(mhpme_vec[2], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] + node _T_1658 = bits(_T_1657, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1659 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] + node _T_1660 = eq(mhpme_vec[2], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] + node _T_1661 = bits(_T_1660, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1662 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] + node _T_1663 = eq(mhpme_vec[2], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] + node _T_1664 = bits(_T_1663, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1665 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] + node _T_1666 = eq(mhpme_vec[2], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] + node _T_1667 = bits(_T_1666, 0, 0) @[dec_tlu_ctl.scala 2298:59] + node _T_1668 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] + node _T_1669 = eq(mhpme_vec[2], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] + node _T_1670 = bits(_T_1669, 0, 0) @[dec_tlu_ctl.scala 2299:59] + node _T_1671 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] + node _T_1672 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] + node _T_1673 = or(_T_1671, _T_1672) @[dec_tlu_ctl.scala 2299:101] + node _T_1674 = eq(mhpme_vec[2], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] + node _T_1675 = bits(_T_1674, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1676 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] + node _T_1677 = eq(mhpme_vec[2], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] + node _T_1678 = bits(_T_1677, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1679 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] + node _T_1680 = eq(mhpme_vec[2], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] + node _T_1681 = bits(_T_1680, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1682 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] + node _T_1683 = eq(mhpme_vec[2], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] + node _T_1684 = bits(_T_1683, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1685 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] + node _T_1686 = bits(_T_1685, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1687 = eq(mhpme_vec[2], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] + node _T_1688 = bits(_T_1687, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1689 = eq(mhpme_vec[2], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] + node _T_1690 = bits(_T_1689, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1691 = eq(mhpme_vec[2], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] + node _T_1692 = bits(_T_1691, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1693 = eq(mhpme_vec[2], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] + node _T_1694 = bits(_T_1693, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1695 = eq(mhpme_vec[2], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] + node _T_1696 = bits(_T_1695, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1697 = eq(mhpme_vec[2], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] + node _T_1698 = bits(_T_1697, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1699 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] + node _T_1700 = or(_T_1699, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] + node _T_1701 = eq(mhpme_vec[2], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] + node _T_1702 = bits(_T_1701, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1703 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] + node _T_1704 = or(_T_1703, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] + node _T_1705 = eq(mhpme_vec[2], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] + node _T_1706 = bits(_T_1705, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1707 = eq(mhpme_vec[2], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] + node _T_1708 = bits(_T_1707, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1709 = eq(mhpme_vec[2], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] + node _T_1710 = bits(_T_1709, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1711 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] + node _T_1712 = and(_T_1711, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] + node _T_1713 = eq(mhpme_vec[2], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] + node _T_1714 = bits(_T_1713, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1715 = eq(mhpme_vec[2], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] + node _T_1716 = bits(_T_1715, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_1717 = eq(mhpme_vec[2], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] + node _T_1718 = bits(_T_1717, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_1719 = eq(mhpme_vec[2], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] + node _T_1720 = bits(_T_1719, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_1721 = eq(mhpme_vec[2], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] + node _T_1722 = bits(_T_1721, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_1723 = eq(mhpme_vec[2], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] + node _T_1724 = bits(_T_1723, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_1725 = eq(mhpme_vec[2], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] + node _T_1726 = bits(_T_1725, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_1727 = eq(mhpme_vec[2], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] + node _T_1728 = bits(_T_1727, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_1729 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] + node _T_1730 = bits(_T_1729, 0, 0) @[dec_tlu_ctl.scala 2322:84] + node _T_1731 = not(_T_1730) @[dec_tlu_ctl.scala 2322:73] + node _T_1732 = eq(mhpme_vec[2], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] + node _T_1733 = bits(_T_1732, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_1734 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] + node _T_1735 = bits(_T_1734, 0, 0) @[dec_tlu_ctl.scala 2323:84] + node _T_1736 = not(_T_1735) @[dec_tlu_ctl.scala 2323:73] + node _T_1737 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] + node _T_1738 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] + node _T_1739 = and(_T_1737, _T_1738) @[dec_tlu_ctl.scala 2323:113] + node _T_1740 = orr(_T_1739) @[dec_tlu_ctl.scala 2323:125] + node _T_1741 = and(_T_1736, _T_1740) @[dec_tlu_ctl.scala 2323:98] + node _T_1742 = eq(mhpme_vec[2], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] + node _T_1743 = bits(_T_1742, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_1744 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] + node _T_1745 = eq(mhpme_vec[2], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] + node _T_1746 = bits(_T_1745, 0, 0) @[dec_tlu_ctl.scala 2325:62] + node _T_1747 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] + node _T_1748 = eq(mhpme_vec[2], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] + node _T_1749 = bits(_T_1748, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_1750 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] + node _T_1751 = eq(mhpme_vec[2], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] + node _T_1752 = bits(_T_1751, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_1753 = eq(mhpme_vec[2], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] + node _T_1754 = bits(_T_1753, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_1755 = eq(mhpme_vec[2], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] + node _T_1756 = bits(_T_1755, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_1757 = eq(mhpme_vec[2], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] + node _T_1758 = bits(_T_1757, 0, 0) @[dec_tlu_ctl.scala 2331:62] + node _T_1759 = eq(mhpme_vec[2], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] + node _T_1760 = bits(_T_1759, 0, 0) @[dec_tlu_ctl.scala 2332:62] + node _T_1761 = mux(_T_1595, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1762 = mux(_T_1597, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1763 = mux(_T_1599, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1764 = mux(_T_1601, _T_1603, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1605, _T_1609, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1611, _T_1614, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1616, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = mux(_T_1618, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1769 = mux(_T_1620, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1770 = mux(_T_1622, _T_1623, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1771 = mux(_T_1625, _T_1626, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1772 = mux(_T_1628, _T_1629, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1773 = mux(_T_1631, _T_1632, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1774 = mux(_T_1634, _T_1636, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1775 = mux(_T_1638, _T_1641, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1776 = mux(_T_1643, _T_1644, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1777 = mux(_T_1646, _T_1647, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1778 = mux(_T_1649, _T_1650, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1779 = mux(_T_1652, _T_1653, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1780 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1781 = mux(_T_1658, _T_1659, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1782 = mux(_T_1661, _T_1662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1783 = mux(_T_1664, _T_1665, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1784 = mux(_T_1667, _T_1668, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1670, _T_1673, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1678, _T_1679, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = mux(_T_1681, _T_1682, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1789 = mux(_T_1684, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1790 = mux(_T_1686, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1791 = mux(_T_1688, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1792 = mux(_T_1690, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1793 = mux(_T_1692, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1794 = mux(_T_1694, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1795 = mux(_T_1696, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1796 = mux(_T_1698, _T_1700, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1797 = mux(_T_1702, _T_1704, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1798 = mux(_T_1706, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1799 = mux(_T_1708, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1800 = mux(_T_1710, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1801 = mux(_T_1714, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1802 = mux(_T_1716, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1803 = mux(_T_1718, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1804 = mux(_T_1720, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1722, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1724, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1726, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = mux(_T_1728, _T_1731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1809 = mux(_T_1733, _T_1741, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1810 = mux(_T_1743, _T_1744, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1811 = mux(_T_1746, _T_1747, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1812 = mux(_T_1749, _T_1750, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1813 = mux(_T_1752, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1814 = mux(_T_1754, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1815 = mux(_T_1756, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1816 = mux(_T_1758, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1817 = mux(_T_1760, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1818 = or(_T_1761, _T_1762) @[Mux.scala 27:72] + node _T_1819 = or(_T_1818, _T_1763) @[Mux.scala 27:72] + node _T_1820 = or(_T_1819, _T_1764) @[Mux.scala 27:72] node _T_1821 = or(_T_1820, _T_1765) @[Mux.scala 27:72] node _T_1822 = or(_T_1821, _T_1766) @[Mux.scala 27:72] node _T_1823 = or(_T_1822, _T_1767) @[Mux.scala 27:72] @@ -75259,239 +75259,239 @@ circuit quasar_wrapper : node _T_1871 = or(_T_1870, _T_1815) @[Mux.scala 27:72] node _T_1872 = or(_T_1871, _T_1816) @[Mux.scala 27:72] node _T_1873 = or(_T_1872, _T_1817) @[Mux.scala 27:72] - node _T_1874 = or(_T_1873, _T_1818) @[Mux.scala 27:72] - node _T_1875 = or(_T_1874, _T_1819) @[Mux.scala 27:72] - wire _T_1876 : UInt<1> @[Mux.scala 27:72] - _T_1876 <= _T_1875 @[Mux.scala 27:72] - node _T_1877 = and(_T_1595, _T_1876) @[dec_tlu_ctl.scala 2274:44] - mhpmc_inc_r[2] <= _T_1877 @[dec_tlu_ctl.scala 2274:19] - node _T_1878 = bits(mcountinhibit, 6, 6) @[dec_tlu_ctl.scala 2274:38] - node _T_1879 = not(_T_1878) @[dec_tlu_ctl.scala 2274:24] - node _T_1880 = eq(mhpme_vec[3], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] - node _T_1881 = bits(_T_1880, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1882 = eq(mhpme_vec[3], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] - node _T_1883 = bits(_T_1882, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1884 = eq(mhpme_vec[3], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] - node _T_1885 = bits(_T_1884, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1886 = eq(mhpme_vec[3], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] - node _T_1887 = bits(_T_1886, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1888 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] - node _T_1889 = and(io.tlu_i0_commit_cmt, _T_1888) @[dec_tlu_ctl.scala 2278:94] - node _T_1890 = eq(mhpme_vec[3], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] - node _T_1891 = bits(_T_1890, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1892 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] - node _T_1893 = and(io.tlu_i0_commit_cmt, _T_1892) @[dec_tlu_ctl.scala 2279:94] - node _T_1894 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1895 = and(_T_1893, _T_1894) @[dec_tlu_ctl.scala 2279:115] - node _T_1896 = eq(mhpme_vec[3], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] - node _T_1897 = bits(_T_1896, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1898 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] - node _T_1899 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] - node _T_1900 = and(_T_1898, _T_1899) @[dec_tlu_ctl.scala 2280:115] - node _T_1901 = eq(mhpme_vec[3], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] - node _T_1902 = bits(_T_1901, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1903 = eq(mhpme_vec[3], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] - node _T_1904 = bits(_T_1903, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1905 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] - node _T_1906 = bits(_T_1905, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1907 = eq(mhpme_vec[3], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] - node _T_1908 = bits(_T_1907, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1909 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] - node _T_1910 = eq(mhpme_vec[3], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] - node _T_1911 = bits(_T_1910, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1912 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] - node _T_1913 = eq(mhpme_vec[3], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] - node _T_1914 = bits(_T_1913, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1915 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] - node _T_1916 = eq(mhpme_vec[3], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] - node _T_1917 = bits(_T_1916, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1918 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] - node _T_1919 = eq(mhpme_vec[3], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] - node _T_1920 = bits(_T_1919, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1921 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] - node _T_1922 = and(_T_1921, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] - node _T_1923 = eq(mhpme_vec[3], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] - node _T_1924 = bits(_T_1923, 0, 0) @[dec_tlu_ctl.scala 2289:62] - node _T_1925 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] - node _T_1926 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] - node _T_1927 = and(_T_1925, _T_1926) @[dec_tlu_ctl.scala 2289:101] - node _T_1928 = eq(mhpme_vec[3], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] - node _T_1929 = bits(_T_1928, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1930 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] - node _T_1931 = eq(mhpme_vec[3], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] - node _T_1932 = bits(_T_1931, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1933 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] - node _T_1934 = eq(mhpme_vec[3], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] - node _T_1935 = bits(_T_1934, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1936 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] - node _T_1937 = eq(mhpme_vec[3], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] - node _T_1938 = bits(_T_1937, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1939 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] - node _T_1940 = eq(mhpme_vec[3], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] - node _T_1941 = bits(_T_1940, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1942 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] - node _T_1943 = eq(mhpme_vec[3], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] - node _T_1944 = bits(_T_1943, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1945 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] - node _T_1946 = eq(mhpme_vec[3], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] - node _T_1947 = bits(_T_1946, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1948 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] - node _T_1949 = eq(mhpme_vec[3], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] - node _T_1950 = bits(_T_1949, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1951 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] - node _T_1952 = eq(mhpme_vec[3], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] - node _T_1953 = bits(_T_1952, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1954 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] - node _T_1955 = eq(mhpme_vec[3], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] - node _T_1956 = bits(_T_1955, 0, 0) @[dec_tlu_ctl.scala 2299:59] - node _T_1957 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] - node _T_1958 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] - node _T_1959 = or(_T_1957, _T_1958) @[dec_tlu_ctl.scala 2299:101] - node _T_1960 = eq(mhpme_vec[3], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] - node _T_1961 = bits(_T_1960, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1962 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] - node _T_1963 = eq(mhpme_vec[3], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] - node _T_1964 = bits(_T_1963, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1965 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] - node _T_1966 = eq(mhpme_vec[3], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] - node _T_1967 = bits(_T_1966, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1968 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] - node _T_1969 = eq(mhpme_vec[3], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] - node _T_1970 = bits(_T_1969, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1971 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] - node _T_1972 = bits(_T_1971, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1973 = eq(mhpme_vec[3], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] - node _T_1974 = bits(_T_1973, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1975 = eq(mhpme_vec[3], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] - node _T_1976 = bits(_T_1975, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1977 = eq(mhpme_vec[3], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] - node _T_1978 = bits(_T_1977, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1979 = eq(mhpme_vec[3], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] - node _T_1980 = bits(_T_1979, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1981 = eq(mhpme_vec[3], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] - node _T_1982 = bits(_T_1981, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1983 = eq(mhpme_vec[3], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] - node _T_1984 = bits(_T_1983, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1985 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] - node _T_1986 = or(_T_1985, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] - node _T_1987 = eq(mhpme_vec[3], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] - node _T_1988 = bits(_T_1987, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1989 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] - node _T_1990 = or(_T_1989, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] - node _T_1991 = eq(mhpme_vec[3], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] - node _T_1992 = bits(_T_1991, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1993 = eq(mhpme_vec[3], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] - node _T_1994 = bits(_T_1993, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1995 = eq(mhpme_vec[3], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] - node _T_1996 = bits(_T_1995, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1997 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] - node _T_1998 = and(_T_1997, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] - node _T_1999 = eq(mhpme_vec[3], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] - node _T_2000 = bits(_T_1999, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_2001 = eq(mhpme_vec[3], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] - node _T_2002 = bits(_T_2001, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_2003 = eq(mhpme_vec[3], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] - node _T_2004 = bits(_T_2003, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_2005 = eq(mhpme_vec[3], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] - node _T_2006 = bits(_T_2005, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_2007 = eq(mhpme_vec[3], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] - node _T_2008 = bits(_T_2007, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_2009 = eq(mhpme_vec[3], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] - node _T_2010 = bits(_T_2009, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_2011 = eq(mhpme_vec[3], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] - node _T_2012 = bits(_T_2011, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_2013 = eq(mhpme_vec[3], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] - node _T_2014 = bits(_T_2013, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_2015 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_2016 = bits(_T_2015, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_2017 = not(_T_2016) @[dec_tlu_ctl.scala 2322:73] - node _T_2018 = eq(mhpme_vec[3], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] - node _T_2019 = bits(_T_2018, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_2020 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] - node _T_2021 = bits(_T_2020, 0, 0) @[dec_tlu_ctl.scala 2323:84] - node _T_2022 = not(_T_2021) @[dec_tlu_ctl.scala 2323:73] - node _T_2023 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] - node _T_2024 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] - node _T_2025 = and(_T_2023, _T_2024) @[dec_tlu_ctl.scala 2323:113] - node _T_2026 = orr(_T_2025) @[dec_tlu_ctl.scala 2323:125] - node _T_2027 = and(_T_2022, _T_2026) @[dec_tlu_ctl.scala 2323:98] - node _T_2028 = eq(mhpme_vec[3], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] - node _T_2029 = bits(_T_2028, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_2030 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] - node _T_2031 = eq(mhpme_vec[3], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] - node _T_2032 = bits(_T_2031, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_2033 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_2034 = eq(mhpme_vec[3], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] - node _T_2035 = bits(_T_2034, 0, 0) @[dec_tlu_ctl.scala 2326:62] - node _T_2036 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] - node _T_2037 = eq(mhpme_vec[3], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] - node _T_2038 = bits(_T_2037, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_2039 = eq(mhpme_vec[3], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] - node _T_2040 = bits(_T_2039, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_2041 = eq(mhpme_vec[3], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] - node _T_2042 = bits(_T_2041, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_2043 = eq(mhpme_vec[3], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] - node _T_2044 = bits(_T_2043, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_2045 = eq(mhpme_vec[3], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] - node _T_2046 = bits(_T_2045, 0, 0) @[dec_tlu_ctl.scala 2332:62] - node _T_2047 = mux(_T_1881, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2048 = mux(_T_1883, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2049 = mux(_T_1885, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2050 = mux(_T_1887, _T_1889, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2051 = mux(_T_1891, _T_1895, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2052 = mux(_T_1897, _T_1900, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2053 = mux(_T_1902, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2054 = mux(_T_1904, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2055 = mux(_T_1906, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2056 = mux(_T_1908, _T_1909, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2057 = mux(_T_1911, _T_1912, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2058 = mux(_T_1914, _T_1915, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2059 = mux(_T_1917, _T_1918, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2060 = mux(_T_1920, _T_1922, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2061 = mux(_T_1924, _T_1927, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2062 = mux(_T_1929, _T_1930, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2063 = mux(_T_1932, _T_1933, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2064 = mux(_T_1935, _T_1936, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2065 = mux(_T_1938, _T_1939, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2066 = mux(_T_1941, _T_1942, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2067 = mux(_T_1944, _T_1945, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2068 = mux(_T_1947, _T_1948, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2069 = mux(_T_1950, _T_1951, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2070 = mux(_T_1953, _T_1954, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2071 = mux(_T_1956, _T_1959, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2072 = mux(_T_1961, _T_1962, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2073 = mux(_T_1964, _T_1965, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2074 = mux(_T_1967, _T_1968, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2075 = mux(_T_1970, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2076 = mux(_T_1972, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2077 = mux(_T_1974, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2078 = mux(_T_1976, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2079 = mux(_T_1978, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2080 = mux(_T_1980, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2081 = mux(_T_1982, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2082 = mux(_T_1984, _T_1986, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2083 = mux(_T_1988, _T_1990, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2084 = mux(_T_1992, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2085 = mux(_T_1994, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2086 = mux(_T_1996, _T_1998, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2087 = mux(_T_2000, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2088 = mux(_T_2002, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2089 = mux(_T_2004, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2090 = mux(_T_2006, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2091 = mux(_T_2008, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2092 = mux(_T_2010, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2093 = mux(_T_2012, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2094 = mux(_T_2014, _T_2017, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2095 = mux(_T_2019, _T_2027, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2096 = mux(_T_2029, _T_2030, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2097 = mux(_T_2032, _T_2033, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2098 = mux(_T_2035, _T_2036, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2099 = mux(_T_2038, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2100 = mux(_T_2040, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2101 = mux(_T_2042, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2102 = mux(_T_2044, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2103 = mux(_T_2046, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2104 = or(_T_2047, _T_2048) @[Mux.scala 27:72] + wire _T_1874 : UInt<1> @[Mux.scala 27:72] + _T_1874 <= _T_1873 @[Mux.scala 27:72] + node _T_1875 = and(_T_1593, _T_1874) @[dec_tlu_ctl.scala 2274:44] + mhpmc_inc_r[2] <= _T_1875 @[dec_tlu_ctl.scala 2274:19] + node _T_1876 = bits(mcountinhibit, 6, 6) @[dec_tlu_ctl.scala 2274:38] + node _T_1877 = not(_T_1876) @[dec_tlu_ctl.scala 2274:24] + node _T_1878 = eq(mhpme_vec[3], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] + node _T_1879 = bits(_T_1878, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1880 = eq(mhpme_vec[3], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] + node _T_1881 = bits(_T_1880, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1882 = eq(mhpme_vec[3], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] + node _T_1883 = bits(_T_1882, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1884 = eq(mhpme_vec[3], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] + node _T_1885 = bits(_T_1884, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1886 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] + node _T_1887 = and(io.tlu_i0_commit_cmt, _T_1886) @[dec_tlu_ctl.scala 2278:94] + node _T_1888 = eq(mhpme_vec[3], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] + node _T_1889 = bits(_T_1888, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1890 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] + node _T_1891 = and(io.tlu_i0_commit_cmt, _T_1890) @[dec_tlu_ctl.scala 2279:94] + node _T_1892 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] + node _T_1893 = and(_T_1891, _T_1892) @[dec_tlu_ctl.scala 2279:115] + node _T_1894 = eq(mhpme_vec[3], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] + node _T_1895 = bits(_T_1894, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1896 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] + node _T_1897 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] + node _T_1898 = and(_T_1896, _T_1897) @[dec_tlu_ctl.scala 2280:115] + node _T_1899 = eq(mhpme_vec[3], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] + node _T_1900 = bits(_T_1899, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1901 = eq(mhpme_vec[3], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] + node _T_1902 = bits(_T_1901, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1903 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] + node _T_1904 = bits(_T_1903, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1905 = eq(mhpme_vec[3], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] + node _T_1906 = bits(_T_1905, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1907 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] + node _T_1908 = eq(mhpme_vec[3], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] + node _T_1909 = bits(_T_1908, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1910 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] + node _T_1911 = eq(mhpme_vec[3], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] + node _T_1912 = bits(_T_1911, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1913 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1914 = eq(mhpme_vec[3], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] + node _T_1915 = bits(_T_1914, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1916 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1917 = eq(mhpme_vec[3], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] + node _T_1918 = bits(_T_1917, 0, 0) @[dec_tlu_ctl.scala 2288:62] + node _T_1919 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] + node _T_1920 = and(_T_1919, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] + node _T_1921 = eq(mhpme_vec[3], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] + node _T_1922 = bits(_T_1921, 0, 0) @[dec_tlu_ctl.scala 2289:62] + node _T_1923 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] + node _T_1924 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] + node _T_1925 = and(_T_1923, _T_1924) @[dec_tlu_ctl.scala 2289:101] + node _T_1926 = eq(mhpme_vec[3], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] + node _T_1927 = bits(_T_1926, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1928 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] + node _T_1929 = eq(mhpme_vec[3], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] + node _T_1930 = bits(_T_1929, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1931 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] + node _T_1932 = eq(mhpme_vec[3], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] + node _T_1933 = bits(_T_1932, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1934 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] + node _T_1935 = eq(mhpme_vec[3], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] + node _T_1936 = bits(_T_1935, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1937 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] + node _T_1938 = eq(mhpme_vec[3], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] + node _T_1939 = bits(_T_1938, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1940 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] + node _T_1941 = eq(mhpme_vec[3], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] + node _T_1942 = bits(_T_1941, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1943 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] + node _T_1944 = eq(mhpme_vec[3], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] + node _T_1945 = bits(_T_1944, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1946 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] + node _T_1947 = eq(mhpme_vec[3], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] + node _T_1948 = bits(_T_1947, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1949 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] + node _T_1950 = eq(mhpme_vec[3], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] + node _T_1951 = bits(_T_1950, 0, 0) @[dec_tlu_ctl.scala 2298:59] + node _T_1952 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] + node _T_1953 = eq(mhpme_vec[3], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] + node _T_1954 = bits(_T_1953, 0, 0) @[dec_tlu_ctl.scala 2299:59] + node _T_1955 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] + node _T_1956 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] + node _T_1957 = or(_T_1955, _T_1956) @[dec_tlu_ctl.scala 2299:101] + node _T_1958 = eq(mhpme_vec[3], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] + node _T_1959 = bits(_T_1958, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1960 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] + node _T_1961 = eq(mhpme_vec[3], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] + node _T_1962 = bits(_T_1961, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1963 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] + node _T_1964 = eq(mhpme_vec[3], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] + node _T_1965 = bits(_T_1964, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1966 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] + node _T_1967 = eq(mhpme_vec[3], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] + node _T_1968 = bits(_T_1967, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1969 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] + node _T_1970 = bits(_T_1969, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1971 = eq(mhpme_vec[3], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] + node _T_1972 = bits(_T_1971, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1973 = eq(mhpme_vec[3], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] + node _T_1974 = bits(_T_1973, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1975 = eq(mhpme_vec[3], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] + node _T_1976 = bits(_T_1975, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1977 = eq(mhpme_vec[3], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] + node _T_1978 = bits(_T_1977, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1979 = eq(mhpme_vec[3], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] + node _T_1980 = bits(_T_1979, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1981 = eq(mhpme_vec[3], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] + node _T_1982 = bits(_T_1981, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1983 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] + node _T_1984 = or(_T_1983, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] + node _T_1985 = eq(mhpme_vec[3], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] + node _T_1986 = bits(_T_1985, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1987 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] + node _T_1988 = or(_T_1987, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] + node _T_1989 = eq(mhpme_vec[3], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] + node _T_1990 = bits(_T_1989, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1991 = eq(mhpme_vec[3], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] + node _T_1992 = bits(_T_1991, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1993 = eq(mhpme_vec[3], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] + node _T_1994 = bits(_T_1993, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1995 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] + node _T_1996 = and(_T_1995, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] + node _T_1997 = eq(mhpme_vec[3], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] + node _T_1998 = bits(_T_1997, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1999 = eq(mhpme_vec[3], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] + node _T_2000 = bits(_T_1999, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_2001 = eq(mhpme_vec[3], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] + node _T_2002 = bits(_T_2001, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_2003 = eq(mhpme_vec[3], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] + node _T_2004 = bits(_T_2003, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_2005 = eq(mhpme_vec[3], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] + node _T_2006 = bits(_T_2005, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_2007 = eq(mhpme_vec[3], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] + node _T_2008 = bits(_T_2007, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_2009 = eq(mhpme_vec[3], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] + node _T_2010 = bits(_T_2009, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_2011 = eq(mhpme_vec[3], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] + node _T_2012 = bits(_T_2011, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_2013 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] + node _T_2014 = bits(_T_2013, 0, 0) @[dec_tlu_ctl.scala 2322:84] + node _T_2015 = not(_T_2014) @[dec_tlu_ctl.scala 2322:73] + node _T_2016 = eq(mhpme_vec[3], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] + node _T_2017 = bits(_T_2016, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_2018 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] + node _T_2019 = bits(_T_2018, 0, 0) @[dec_tlu_ctl.scala 2323:84] + node _T_2020 = not(_T_2019) @[dec_tlu_ctl.scala 2323:73] + node _T_2021 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] + node _T_2022 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] + node _T_2023 = and(_T_2021, _T_2022) @[dec_tlu_ctl.scala 2323:113] + node _T_2024 = orr(_T_2023) @[dec_tlu_ctl.scala 2323:125] + node _T_2025 = and(_T_2020, _T_2024) @[dec_tlu_ctl.scala 2323:98] + node _T_2026 = eq(mhpme_vec[3], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] + node _T_2027 = bits(_T_2026, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_2028 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] + node _T_2029 = eq(mhpme_vec[3], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] + node _T_2030 = bits(_T_2029, 0, 0) @[dec_tlu_ctl.scala 2325:62] + node _T_2031 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] + node _T_2032 = eq(mhpme_vec[3], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] + node _T_2033 = bits(_T_2032, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_2034 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] + node _T_2035 = eq(mhpme_vec[3], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] + node _T_2036 = bits(_T_2035, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_2037 = eq(mhpme_vec[3], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] + node _T_2038 = bits(_T_2037, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_2039 = eq(mhpme_vec[3], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] + node _T_2040 = bits(_T_2039, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_2041 = eq(mhpme_vec[3], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] + node _T_2042 = bits(_T_2041, 0, 0) @[dec_tlu_ctl.scala 2331:62] + node _T_2043 = eq(mhpme_vec[3], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] + node _T_2044 = bits(_T_2043, 0, 0) @[dec_tlu_ctl.scala 2332:62] + node _T_2045 = mux(_T_1879, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2046 = mux(_T_1881, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2047 = mux(_T_1883, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2048 = mux(_T_1885, _T_1887, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2049 = mux(_T_1889, _T_1893, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2050 = mux(_T_1895, _T_1898, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2051 = mux(_T_1900, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2052 = mux(_T_1902, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2053 = mux(_T_1904, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2054 = mux(_T_1906, _T_1907, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2055 = mux(_T_1909, _T_1910, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2056 = mux(_T_1912, _T_1913, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2057 = mux(_T_1915, _T_1916, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2058 = mux(_T_1918, _T_1920, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2059 = mux(_T_1922, _T_1925, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2060 = mux(_T_1927, _T_1928, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2061 = mux(_T_1930, _T_1931, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2062 = mux(_T_1933, _T_1934, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2063 = mux(_T_1936, _T_1937, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2064 = mux(_T_1939, _T_1940, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2065 = mux(_T_1942, _T_1943, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2066 = mux(_T_1945, _T_1946, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2067 = mux(_T_1948, _T_1949, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2068 = mux(_T_1951, _T_1952, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2069 = mux(_T_1954, _T_1957, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2070 = mux(_T_1959, _T_1960, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2071 = mux(_T_1962, _T_1963, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2072 = mux(_T_1965, _T_1966, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2073 = mux(_T_1968, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2074 = mux(_T_1970, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2075 = mux(_T_1972, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2076 = mux(_T_1974, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2077 = mux(_T_1976, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2078 = mux(_T_1978, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2079 = mux(_T_1980, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2080 = mux(_T_1982, _T_1984, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2081 = mux(_T_1986, _T_1988, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2082 = mux(_T_1990, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2083 = mux(_T_1992, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2084 = mux(_T_1994, _T_1996, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2085 = mux(_T_1998, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2086 = mux(_T_2000, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2087 = mux(_T_2002, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2088 = mux(_T_2004, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2089 = mux(_T_2006, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2090 = mux(_T_2008, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2091 = mux(_T_2010, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2092 = mux(_T_2012, _T_2015, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2093 = mux(_T_2017, _T_2025, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2094 = mux(_T_2027, _T_2028, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2095 = mux(_T_2030, _T_2031, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2096 = mux(_T_2033, _T_2034, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2097 = mux(_T_2036, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2098 = mux(_T_2038, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2099 = mux(_T_2040, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2100 = mux(_T_2042, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2101 = mux(_T_2044, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2102 = or(_T_2045, _T_2046) @[Mux.scala 27:72] + node _T_2103 = or(_T_2102, _T_2047) @[Mux.scala 27:72] + node _T_2104 = or(_T_2103, _T_2048) @[Mux.scala 27:72] node _T_2105 = or(_T_2104, _T_2049) @[Mux.scala 27:72] node _T_2106 = or(_T_2105, _T_2050) @[Mux.scala 27:72] node _T_2107 = or(_T_2106, _T_2051) @[Mux.scala 27:72] @@ -75545,577 +75545,577 @@ circuit quasar_wrapper : node _T_2155 = or(_T_2154, _T_2099) @[Mux.scala 27:72] node _T_2156 = or(_T_2155, _T_2100) @[Mux.scala 27:72] node _T_2157 = or(_T_2156, _T_2101) @[Mux.scala 27:72] - node _T_2158 = or(_T_2157, _T_2102) @[Mux.scala 27:72] - node _T_2159 = or(_T_2158, _T_2103) @[Mux.scala 27:72] - wire _T_2160 : UInt<1> @[Mux.scala 27:72] - _T_2160 <= _T_2159 @[Mux.scala 27:72] - node _T_2161 = and(_T_1879, _T_2160) @[dec_tlu_ctl.scala 2274:44] - mhpmc_inc_r[3] <= _T_2161 @[dec_tlu_ctl.scala 2274:19] - reg _T_2162 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2335:53] - _T_2162 <= mhpmc_inc_r[0] @[dec_tlu_ctl.scala 2335:53] - mhpmc_inc_r_d1[0] <= _T_2162 @[dec_tlu_ctl.scala 2335:20] - reg _T_2163 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2336:53] - _T_2163 <= mhpmc_inc_r[1] @[dec_tlu_ctl.scala 2336:53] - mhpmc_inc_r_d1[1] <= _T_2163 @[dec_tlu_ctl.scala 2336:20] - reg _T_2164 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2337:53] - _T_2164 <= mhpmc_inc_r[2] @[dec_tlu_ctl.scala 2337:53] - mhpmc_inc_r_d1[2] <= _T_2164 @[dec_tlu_ctl.scala 2337:20] - reg _T_2165 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2338:53] - _T_2165 <= mhpmc_inc_r[3] @[dec_tlu_ctl.scala 2338:53] - mhpmc_inc_r_d1[3] <= _T_2165 @[dec_tlu_ctl.scala 2338:20] + wire _T_2158 : UInt<1> @[Mux.scala 27:72] + _T_2158 <= _T_2157 @[Mux.scala 27:72] + node _T_2159 = and(_T_1877, _T_2158) @[dec_tlu_ctl.scala 2274:44] + mhpmc_inc_r[3] <= _T_2159 @[dec_tlu_ctl.scala 2274:19] + reg _T_2160 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2335:53] + _T_2160 <= mhpmc_inc_r[0] @[dec_tlu_ctl.scala 2335:53] + mhpmc_inc_r_d1[0] <= _T_2160 @[dec_tlu_ctl.scala 2335:20] + reg _T_2161 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2336:53] + _T_2161 <= mhpmc_inc_r[1] @[dec_tlu_ctl.scala 2336:53] + mhpmc_inc_r_d1[1] <= _T_2161 @[dec_tlu_ctl.scala 2336:20] + reg _T_2162 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2337:53] + _T_2162 <= mhpmc_inc_r[2] @[dec_tlu_ctl.scala 2337:53] + mhpmc_inc_r_d1[2] <= _T_2162 @[dec_tlu_ctl.scala 2337:20] + reg _T_2163 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2338:53] + _T_2163 <= mhpmc_inc_r[3] @[dec_tlu_ctl.scala 2338:53] + mhpmc_inc_r_d1[3] <= _T_2163 @[dec_tlu_ctl.scala 2338:20] reg perfcnt_halted_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2339:56] perfcnt_halted_d1 <= perfcnt_halted @[dec_tlu_ctl.scala 2339:56] - node _T_2166 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2342:53] - node _T_2167 = and(io.dec_tlu_dbg_halted, _T_2166) @[dec_tlu_ctl.scala 2342:44] - node _T_2168 = or(_T_2167, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2342:67] - perfcnt_halted <= _T_2168 @[dec_tlu_ctl.scala 2342:17] - node _T_2169 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2343:70] - node _T_2170 = and(io.dec_tlu_dbg_halted, _T_2169) @[dec_tlu_ctl.scala 2343:61] - node _T_2171 = not(_T_2170) @[dec_tlu_ctl.scala 2343:37] - node _T_2172 = bits(_T_2171, 0, 0) @[Bitwise.scala 72:15] - node _T_2173 = mux(_T_2172, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2174 = bits(mhpme_vec[3], 9, 9) @[dec_tlu_ctl.scala 2343:104] - node _T_2175 = bits(mhpme_vec[2], 9, 9) @[dec_tlu_ctl.scala 2343:120] - node _T_2176 = bits(mhpme_vec[1], 9, 9) @[dec_tlu_ctl.scala 2343:136] - node _T_2177 = bits(mhpme_vec[0], 9, 9) @[dec_tlu_ctl.scala 2343:152] - node _T_2178 = cat(_T_2176, _T_2177) @[Cat.scala 29:58] - node _T_2179 = cat(_T_2174, _T_2175) @[Cat.scala 29:58] - node _T_2180 = cat(_T_2179, _T_2178) @[Cat.scala 29:58] - node perfcnt_during_sleep = and(_T_2173, _T_2180) @[dec_tlu_ctl.scala 2343:86] - node _T_2181 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2345:88] - node _T_2182 = not(_T_2181) @[dec_tlu_ctl.scala 2345:67] - node _T_2183 = and(perfcnt_halted_d1, _T_2182) @[dec_tlu_ctl.scala 2345:65] - node _T_2184 = not(_T_2183) @[dec_tlu_ctl.scala 2345:45] - node _T_2185 = and(mhpmc_inc_r_d1[0], _T_2184) @[dec_tlu_ctl.scala 2345:43] - io.dec_tlu_perfcnt0 <= _T_2185 @[dec_tlu_ctl.scala 2345:22] - node _T_2186 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2346:88] - node _T_2187 = not(_T_2186) @[dec_tlu_ctl.scala 2346:67] - node _T_2188 = and(perfcnt_halted_d1, _T_2187) @[dec_tlu_ctl.scala 2346:65] - node _T_2189 = not(_T_2188) @[dec_tlu_ctl.scala 2346:45] - node _T_2190 = and(mhpmc_inc_r_d1[1], _T_2189) @[dec_tlu_ctl.scala 2346:43] - io.dec_tlu_perfcnt1 <= _T_2190 @[dec_tlu_ctl.scala 2346:22] - node _T_2191 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2347:88] - node _T_2192 = not(_T_2191) @[dec_tlu_ctl.scala 2347:67] - node _T_2193 = and(perfcnt_halted_d1, _T_2192) @[dec_tlu_ctl.scala 2347:65] - node _T_2194 = not(_T_2193) @[dec_tlu_ctl.scala 2347:45] - node _T_2195 = and(mhpmc_inc_r_d1[2], _T_2194) @[dec_tlu_ctl.scala 2347:43] - io.dec_tlu_perfcnt2 <= _T_2195 @[dec_tlu_ctl.scala 2347:22] - node _T_2196 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2348:88] - node _T_2197 = not(_T_2196) @[dec_tlu_ctl.scala 2348:67] - node _T_2198 = and(perfcnt_halted_d1, _T_2197) @[dec_tlu_ctl.scala 2348:65] - node _T_2199 = not(_T_2198) @[dec_tlu_ctl.scala 2348:45] - node _T_2200 = and(mhpmc_inc_r_d1[3], _T_2199) @[dec_tlu_ctl.scala 2348:43] - io.dec_tlu_perfcnt3 <= _T_2200 @[dec_tlu_ctl.scala 2348:22] - node _T_2201 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2354:65] - node _T_2202 = eq(_T_2201, UInt<12>("h0b03")) @[dec_tlu_ctl.scala 2354:72] - node mhpmc3_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2202) @[dec_tlu_ctl.scala 2354:43] - node _T_2203 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2355:23] - node _T_2204 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2355:61] - node _T_2205 = or(_T_2203, _T_2204) @[dec_tlu_ctl.scala 2355:39] - node _T_2206 = orr(mhpmc_inc_r[0]) @[dec_tlu_ctl.scala 2355:86] - node mhpmc3_wr_en1 = and(_T_2205, _T_2206) @[dec_tlu_ctl.scala 2355:66] + node _T_2164 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2342:53] + node _T_2165 = and(io.dec_tlu_dbg_halted, _T_2164) @[dec_tlu_ctl.scala 2342:44] + node _T_2166 = or(_T_2165, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2342:67] + perfcnt_halted <= _T_2166 @[dec_tlu_ctl.scala 2342:17] + node _T_2167 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2343:70] + node _T_2168 = and(io.dec_tlu_dbg_halted, _T_2167) @[dec_tlu_ctl.scala 2343:61] + node _T_2169 = not(_T_2168) @[dec_tlu_ctl.scala 2343:37] + node _T_2170 = bits(_T_2169, 0, 0) @[Bitwise.scala 72:15] + node _T_2171 = mux(_T_2170, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2172 = bits(mhpme_vec[3], 9, 9) @[dec_tlu_ctl.scala 2343:104] + node _T_2173 = bits(mhpme_vec[2], 9, 9) @[dec_tlu_ctl.scala 2343:120] + node _T_2174 = bits(mhpme_vec[1], 9, 9) @[dec_tlu_ctl.scala 2343:136] + node _T_2175 = bits(mhpme_vec[0], 9, 9) @[dec_tlu_ctl.scala 2343:152] + node _T_2176 = cat(_T_2174, _T_2175) @[Cat.scala 29:58] + node _T_2177 = cat(_T_2172, _T_2173) @[Cat.scala 29:58] + node _T_2178 = cat(_T_2177, _T_2176) @[Cat.scala 29:58] + node perfcnt_during_sleep = and(_T_2171, _T_2178) @[dec_tlu_ctl.scala 2343:86] + node _T_2179 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2345:88] + node _T_2180 = not(_T_2179) @[dec_tlu_ctl.scala 2345:67] + node _T_2181 = and(perfcnt_halted_d1, _T_2180) @[dec_tlu_ctl.scala 2345:65] + node _T_2182 = not(_T_2181) @[dec_tlu_ctl.scala 2345:45] + node _T_2183 = and(mhpmc_inc_r_d1[0], _T_2182) @[dec_tlu_ctl.scala 2345:43] + io.dec_tlu_perfcnt0 <= _T_2183 @[dec_tlu_ctl.scala 2345:22] + node _T_2184 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2346:88] + node _T_2185 = not(_T_2184) @[dec_tlu_ctl.scala 2346:67] + node _T_2186 = and(perfcnt_halted_d1, _T_2185) @[dec_tlu_ctl.scala 2346:65] + node _T_2187 = not(_T_2186) @[dec_tlu_ctl.scala 2346:45] + node _T_2188 = and(mhpmc_inc_r_d1[1], _T_2187) @[dec_tlu_ctl.scala 2346:43] + io.dec_tlu_perfcnt1 <= _T_2188 @[dec_tlu_ctl.scala 2346:22] + node _T_2189 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2347:88] + node _T_2190 = not(_T_2189) @[dec_tlu_ctl.scala 2347:67] + node _T_2191 = and(perfcnt_halted_d1, _T_2190) @[dec_tlu_ctl.scala 2347:65] + node _T_2192 = not(_T_2191) @[dec_tlu_ctl.scala 2347:45] + node _T_2193 = and(mhpmc_inc_r_d1[2], _T_2192) @[dec_tlu_ctl.scala 2347:43] + io.dec_tlu_perfcnt2 <= _T_2193 @[dec_tlu_ctl.scala 2347:22] + node _T_2194 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2348:88] + node _T_2195 = not(_T_2194) @[dec_tlu_ctl.scala 2348:67] + node _T_2196 = and(perfcnt_halted_d1, _T_2195) @[dec_tlu_ctl.scala 2348:65] + node _T_2197 = not(_T_2196) @[dec_tlu_ctl.scala 2348:45] + node _T_2198 = and(mhpmc_inc_r_d1[3], _T_2197) @[dec_tlu_ctl.scala 2348:43] + io.dec_tlu_perfcnt3 <= _T_2198 @[dec_tlu_ctl.scala 2348:22] + node _T_2199 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2354:65] + node _T_2200 = eq(_T_2199, UInt<12>("h0b03")) @[dec_tlu_ctl.scala 2354:72] + node mhpmc3_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2200) @[dec_tlu_ctl.scala 2354:43] + node _T_2201 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2355:23] + node _T_2202 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2355:61] + node _T_2203 = or(_T_2201, _T_2202) @[dec_tlu_ctl.scala 2355:39] + node _T_2204 = orr(mhpmc_inc_r[0]) @[dec_tlu_ctl.scala 2355:86] + node mhpmc3_wr_en1 = and(_T_2203, _T_2204) @[dec_tlu_ctl.scala 2355:66] node mhpmc3_wr_en = or(mhpmc3_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2356:36] - node _T_2207 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2359:28] - node _T_2208 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2359:41] - node _T_2209 = cat(_T_2207, _T_2208) @[Cat.scala 29:58] - node _T_2210 = cat(UInt<63>("h00"), mhpmc_inc_r[0]) @[Cat.scala 29:58] - node _T_2211 = add(_T_2209, _T_2210) @[dec_tlu_ctl.scala 2359:49] - node _T_2212 = tail(_T_2211, 1) @[dec_tlu_ctl.scala 2359:49] - mhpmc3_incr <= _T_2212 @[dec_tlu_ctl.scala 2359:14] - node _T_2213 = bits(mhpmc3_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2360:36] - node _T_2214 = bits(mhpmc3_incr, 31, 0) @[dec_tlu_ctl.scala 2360:76] - node mhpmc3_ns = mux(_T_2213, io.dec_csr_wrdata_r, _T_2214) @[dec_tlu_ctl.scala 2360:21] - node _T_2215 = bits(mhpmc3_wr_en, 0, 0) @[dec_tlu_ctl.scala 2362:42] + node _T_2205 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2359:28] + node _T_2206 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2359:41] + node _T_2207 = cat(_T_2205, _T_2206) @[Cat.scala 29:58] + node _T_2208 = cat(UInt<63>("h00"), mhpmc_inc_r[0]) @[Cat.scala 29:58] + node _T_2209 = add(_T_2207, _T_2208) @[dec_tlu_ctl.scala 2359:49] + node _T_2210 = tail(_T_2209, 1) @[dec_tlu_ctl.scala 2359:49] + mhpmc3_incr <= _T_2210 @[dec_tlu_ctl.scala 2359:14] + node _T_2211 = bits(mhpmc3_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2360:36] + node _T_2212 = bits(mhpmc3_incr, 31, 0) @[dec_tlu_ctl.scala 2360:76] + node mhpmc3_ns = mux(_T_2211, io.dec_csr_wrdata_r, _T_2212) @[dec_tlu_ctl.scala 2360:21] + node _T_2213 = bits(mhpmc3_wr_en, 0, 0) @[dec_tlu_ctl.scala 2362:42] inst rvclkhdr_26 of rvclkhdr_746 @[lib.scala 352:23] rvclkhdr_26.clock <= clock rvclkhdr_26.reset <= reset rvclkhdr_26.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_26.io.en <= _T_2215 @[lib.scala 355:17] + rvclkhdr_26.io.en <= _T_2213 @[lib.scala 355:17] rvclkhdr_26.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_2216 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_2216 <= mhpmc3_ns @[lib.scala 358:16] - mhpmc3 <= _T_2216 @[dec_tlu_ctl.scala 2362:9] - node _T_2217 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2364:66] - node _T_2218 = eq(_T_2217, UInt<12>("h0b83")) @[dec_tlu_ctl.scala 2364:73] - node mhpmc3h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2218) @[dec_tlu_ctl.scala 2364:44] + reg _T_2214 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_2214 <= mhpmc3_ns @[lib.scala 358:16] + mhpmc3 <= _T_2214 @[dec_tlu_ctl.scala 2362:9] + node _T_2215 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2364:66] + node _T_2216 = eq(_T_2215, UInt<12>("h0b83")) @[dec_tlu_ctl.scala 2364:73] + node mhpmc3h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2216) @[dec_tlu_ctl.scala 2364:44] node mhpmc3h_wr_en = or(mhpmc3h_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2365:38] - node _T_2219 = bits(mhpmc3h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2366:38] - node _T_2220 = bits(mhpmc3_incr, 63, 32) @[dec_tlu_ctl.scala 2366:78] - node mhpmc3h_ns = mux(_T_2219, io.dec_csr_wrdata_r, _T_2220) @[dec_tlu_ctl.scala 2366:22] - node _T_2221 = bits(mhpmc3h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2368:46] + node _T_2217 = bits(mhpmc3h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2366:38] + node _T_2218 = bits(mhpmc3_incr, 63, 32) @[dec_tlu_ctl.scala 2366:78] + node mhpmc3h_ns = mux(_T_2217, io.dec_csr_wrdata_r, _T_2218) @[dec_tlu_ctl.scala 2366:22] + node _T_2219 = bits(mhpmc3h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2368:46] inst rvclkhdr_27 of rvclkhdr_747 @[lib.scala 352:23] rvclkhdr_27.clock <= clock rvclkhdr_27.reset <= reset rvclkhdr_27.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_27.io.en <= _T_2221 @[lib.scala 355:17] + rvclkhdr_27.io.en <= _T_2219 @[lib.scala 355:17] rvclkhdr_27.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_2222 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_2222 <= mhpmc3h_ns @[lib.scala 358:16] - mhpmc3h <= _T_2222 @[dec_tlu_ctl.scala 2368:10] - node _T_2223 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2373:65] - node _T_2224 = eq(_T_2223, UInt<12>("h0b04")) @[dec_tlu_ctl.scala 2373:72] - node mhpmc4_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2224) @[dec_tlu_ctl.scala 2373:43] - node _T_2225 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2374:23] - node _T_2226 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2374:61] - node _T_2227 = or(_T_2225, _T_2226) @[dec_tlu_ctl.scala 2374:39] - node _T_2228 = orr(mhpmc_inc_r[1]) @[dec_tlu_ctl.scala 2374:86] - node mhpmc4_wr_en1 = and(_T_2227, _T_2228) @[dec_tlu_ctl.scala 2374:66] + reg _T_2220 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_2220 <= mhpmc3h_ns @[lib.scala 358:16] + mhpmc3h <= _T_2220 @[dec_tlu_ctl.scala 2368:10] + node _T_2221 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2373:65] + node _T_2222 = eq(_T_2221, UInt<12>("h0b04")) @[dec_tlu_ctl.scala 2373:72] + node mhpmc4_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2222) @[dec_tlu_ctl.scala 2373:43] + node _T_2223 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2374:23] + node _T_2224 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2374:61] + node _T_2225 = or(_T_2223, _T_2224) @[dec_tlu_ctl.scala 2374:39] + node _T_2226 = orr(mhpmc_inc_r[1]) @[dec_tlu_ctl.scala 2374:86] + node mhpmc4_wr_en1 = and(_T_2225, _T_2226) @[dec_tlu_ctl.scala 2374:66] node mhpmc4_wr_en = or(mhpmc4_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2375:36] - node _T_2229 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2379:28] - node _T_2230 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2379:41] - node _T_2231 = cat(_T_2229, _T_2230) @[Cat.scala 29:58] - node _T_2232 = cat(UInt<63>("h00"), mhpmc_inc_r[1]) @[Cat.scala 29:58] - node _T_2233 = add(_T_2231, _T_2232) @[dec_tlu_ctl.scala 2379:49] - node _T_2234 = tail(_T_2233, 1) @[dec_tlu_ctl.scala 2379:49] - mhpmc4_incr <= _T_2234 @[dec_tlu_ctl.scala 2379:14] - node _T_2235 = bits(mhpmc4_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2380:36] - node _T_2236 = bits(io.dec_csr_wrdata_r, 31, 0) @[dec_tlu_ctl.scala 2380:63] - node _T_2237 = bits(mhpmc4_incr, 31, 0) @[dec_tlu_ctl.scala 2380:82] - node mhpmc4_ns = mux(_T_2235, _T_2236, _T_2237) @[dec_tlu_ctl.scala 2380:21] - node _T_2238 = bits(mhpmc4_wr_en, 0, 0) @[dec_tlu_ctl.scala 2381:43] + node _T_2227 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2379:28] + node _T_2228 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2379:41] + node _T_2229 = cat(_T_2227, _T_2228) @[Cat.scala 29:58] + node _T_2230 = cat(UInt<63>("h00"), mhpmc_inc_r[1]) @[Cat.scala 29:58] + node _T_2231 = add(_T_2229, _T_2230) @[dec_tlu_ctl.scala 2379:49] + node _T_2232 = tail(_T_2231, 1) @[dec_tlu_ctl.scala 2379:49] + mhpmc4_incr <= _T_2232 @[dec_tlu_ctl.scala 2379:14] + node _T_2233 = bits(mhpmc4_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2380:36] + node _T_2234 = bits(io.dec_csr_wrdata_r, 31, 0) @[dec_tlu_ctl.scala 2380:63] + node _T_2235 = bits(mhpmc4_incr, 31, 0) @[dec_tlu_ctl.scala 2380:82] + node mhpmc4_ns = mux(_T_2233, _T_2234, _T_2235) @[dec_tlu_ctl.scala 2380:21] + node _T_2236 = bits(mhpmc4_wr_en, 0, 0) @[dec_tlu_ctl.scala 2381:43] inst rvclkhdr_28 of rvclkhdr_748 @[lib.scala 352:23] rvclkhdr_28.clock <= clock rvclkhdr_28.reset <= reset rvclkhdr_28.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_28.io.en <= _T_2238 @[lib.scala 355:17] + rvclkhdr_28.io.en <= _T_2236 @[lib.scala 355:17] rvclkhdr_28.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_2239 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_2239 <= mhpmc4_ns @[lib.scala 358:16] - mhpmc4 <= _T_2239 @[dec_tlu_ctl.scala 2381:9] - node _T_2240 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2383:66] - node _T_2241 = eq(_T_2240, UInt<12>("h0b84")) @[dec_tlu_ctl.scala 2383:73] - node mhpmc4h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2241) @[dec_tlu_ctl.scala 2383:44] + reg _T_2237 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_2237 <= mhpmc4_ns @[lib.scala 358:16] + mhpmc4 <= _T_2237 @[dec_tlu_ctl.scala 2381:9] + node _T_2238 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2383:66] + node _T_2239 = eq(_T_2238, UInt<12>("h0b84")) @[dec_tlu_ctl.scala 2383:73] + node mhpmc4h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2239) @[dec_tlu_ctl.scala 2383:44] node mhpmc4h_wr_en = or(mhpmc4h_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2384:38] - node _T_2242 = bits(mhpmc4h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2385:38] - node _T_2243 = bits(mhpmc4_incr, 63, 32) @[dec_tlu_ctl.scala 2385:78] - node mhpmc4h_ns = mux(_T_2242, io.dec_csr_wrdata_r, _T_2243) @[dec_tlu_ctl.scala 2385:22] - node _T_2244 = bits(mhpmc4h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2386:46] + node _T_2240 = bits(mhpmc4h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2385:38] + node _T_2241 = bits(mhpmc4_incr, 63, 32) @[dec_tlu_ctl.scala 2385:78] + node mhpmc4h_ns = mux(_T_2240, io.dec_csr_wrdata_r, _T_2241) @[dec_tlu_ctl.scala 2385:22] + node _T_2242 = bits(mhpmc4h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2386:46] inst rvclkhdr_29 of rvclkhdr_749 @[lib.scala 352:23] rvclkhdr_29.clock <= clock rvclkhdr_29.reset <= reset rvclkhdr_29.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_29.io.en <= _T_2244 @[lib.scala 355:17] + rvclkhdr_29.io.en <= _T_2242 @[lib.scala 355:17] rvclkhdr_29.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_2245 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_2245 <= mhpmc4h_ns @[lib.scala 358:16] - mhpmc4h <= _T_2245 @[dec_tlu_ctl.scala 2386:10] - node _T_2246 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2392:65] - node _T_2247 = eq(_T_2246, UInt<12>("h0b05")) @[dec_tlu_ctl.scala 2392:72] - node mhpmc5_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2247) @[dec_tlu_ctl.scala 2392:43] - node _T_2248 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2393:23] - node _T_2249 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2393:61] - node _T_2250 = or(_T_2248, _T_2249) @[dec_tlu_ctl.scala 2393:39] - node _T_2251 = orr(mhpmc_inc_r[2]) @[dec_tlu_ctl.scala 2393:86] - node mhpmc5_wr_en1 = and(_T_2250, _T_2251) @[dec_tlu_ctl.scala 2393:66] + reg _T_2243 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_2243 <= mhpmc4h_ns @[lib.scala 358:16] + mhpmc4h <= _T_2243 @[dec_tlu_ctl.scala 2386:10] + node _T_2244 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2392:65] + node _T_2245 = eq(_T_2244, UInt<12>("h0b05")) @[dec_tlu_ctl.scala 2392:72] + node mhpmc5_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2245) @[dec_tlu_ctl.scala 2392:43] + node _T_2246 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2393:23] + node _T_2247 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2393:61] + node _T_2248 = or(_T_2246, _T_2247) @[dec_tlu_ctl.scala 2393:39] + node _T_2249 = orr(mhpmc_inc_r[2]) @[dec_tlu_ctl.scala 2393:86] + node mhpmc5_wr_en1 = and(_T_2248, _T_2249) @[dec_tlu_ctl.scala 2393:66] node mhpmc5_wr_en = or(mhpmc5_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2394:36] - node _T_2252 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2396:28] - node _T_2253 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2396:41] - node _T_2254 = cat(_T_2252, _T_2253) @[Cat.scala 29:58] - node _T_2255 = cat(UInt<63>("h00"), mhpmc_inc_r[2]) @[Cat.scala 29:58] - node _T_2256 = add(_T_2254, _T_2255) @[dec_tlu_ctl.scala 2396:49] - node _T_2257 = tail(_T_2256, 1) @[dec_tlu_ctl.scala 2396:49] - mhpmc5_incr <= _T_2257 @[dec_tlu_ctl.scala 2396:14] - node _T_2258 = bits(mhpmc5_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2397:36] - node _T_2259 = bits(mhpmc5_incr, 31, 0) @[dec_tlu_ctl.scala 2397:76] - node mhpmc5_ns = mux(_T_2258, io.dec_csr_wrdata_r, _T_2259) @[dec_tlu_ctl.scala 2397:21] - node _T_2260 = bits(mhpmc5_wr_en, 0, 0) @[dec_tlu_ctl.scala 2399:43] + node _T_2250 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2396:28] + node _T_2251 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2396:41] + node _T_2252 = cat(_T_2250, _T_2251) @[Cat.scala 29:58] + node _T_2253 = cat(UInt<63>("h00"), mhpmc_inc_r[2]) @[Cat.scala 29:58] + node _T_2254 = add(_T_2252, _T_2253) @[dec_tlu_ctl.scala 2396:49] + node _T_2255 = tail(_T_2254, 1) @[dec_tlu_ctl.scala 2396:49] + mhpmc5_incr <= _T_2255 @[dec_tlu_ctl.scala 2396:14] + node _T_2256 = bits(mhpmc5_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2397:36] + node _T_2257 = bits(mhpmc5_incr, 31, 0) @[dec_tlu_ctl.scala 2397:76] + node mhpmc5_ns = mux(_T_2256, io.dec_csr_wrdata_r, _T_2257) @[dec_tlu_ctl.scala 2397:21] + node _T_2258 = bits(mhpmc5_wr_en, 0, 0) @[dec_tlu_ctl.scala 2399:43] inst rvclkhdr_30 of rvclkhdr_750 @[lib.scala 352:23] rvclkhdr_30.clock <= clock rvclkhdr_30.reset <= reset rvclkhdr_30.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_30.io.en <= _T_2260 @[lib.scala 355:17] + rvclkhdr_30.io.en <= _T_2258 @[lib.scala 355:17] rvclkhdr_30.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_2261 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_2261 <= mhpmc5_ns @[lib.scala 358:16] - mhpmc5 <= _T_2261 @[dec_tlu_ctl.scala 2399:9] - node _T_2262 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2401:66] - node _T_2263 = eq(_T_2262, UInt<12>("h0b85")) @[dec_tlu_ctl.scala 2401:73] - node mhpmc5h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2263) @[dec_tlu_ctl.scala 2401:44] + reg _T_2259 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_2259 <= mhpmc5_ns @[lib.scala 358:16] + mhpmc5 <= _T_2259 @[dec_tlu_ctl.scala 2399:9] + node _T_2260 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2401:66] + node _T_2261 = eq(_T_2260, UInt<12>("h0b85")) @[dec_tlu_ctl.scala 2401:73] + node mhpmc5h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2261) @[dec_tlu_ctl.scala 2401:44] node mhpmc5h_wr_en = or(mhpmc5h_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2402:38] - node _T_2264 = bits(mhpmc5h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2403:38] - node _T_2265 = bits(mhpmc5_incr, 63, 32) @[dec_tlu_ctl.scala 2403:78] - node mhpmc5h_ns = mux(_T_2264, io.dec_csr_wrdata_r, _T_2265) @[dec_tlu_ctl.scala 2403:22] - node _T_2266 = bits(mhpmc5h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2405:46] + node _T_2262 = bits(mhpmc5h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2403:38] + node _T_2263 = bits(mhpmc5_incr, 63, 32) @[dec_tlu_ctl.scala 2403:78] + node mhpmc5h_ns = mux(_T_2262, io.dec_csr_wrdata_r, _T_2263) @[dec_tlu_ctl.scala 2403:22] + node _T_2264 = bits(mhpmc5h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2405:46] inst rvclkhdr_31 of rvclkhdr_751 @[lib.scala 352:23] rvclkhdr_31.clock <= clock rvclkhdr_31.reset <= reset rvclkhdr_31.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_31.io.en <= _T_2266 @[lib.scala 355:17] + rvclkhdr_31.io.en <= _T_2264 @[lib.scala 355:17] rvclkhdr_31.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_2267 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_2267 <= mhpmc5h_ns @[lib.scala 358:16] - mhpmc5h <= _T_2267 @[dec_tlu_ctl.scala 2405:10] - node _T_2268 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2410:65] - node _T_2269 = eq(_T_2268, UInt<12>("h0b06")) @[dec_tlu_ctl.scala 2410:72] - node mhpmc6_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2269) @[dec_tlu_ctl.scala 2410:43] - node _T_2270 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2411:23] - node _T_2271 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2411:61] - node _T_2272 = or(_T_2270, _T_2271) @[dec_tlu_ctl.scala 2411:39] - node _T_2273 = orr(mhpmc_inc_r[3]) @[dec_tlu_ctl.scala 2411:86] - node mhpmc6_wr_en1 = and(_T_2272, _T_2273) @[dec_tlu_ctl.scala 2411:66] + reg _T_2265 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_2265 <= mhpmc5h_ns @[lib.scala 358:16] + mhpmc5h <= _T_2265 @[dec_tlu_ctl.scala 2405:10] + node _T_2266 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2410:65] + node _T_2267 = eq(_T_2266, UInt<12>("h0b06")) @[dec_tlu_ctl.scala 2410:72] + node mhpmc6_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2267) @[dec_tlu_ctl.scala 2410:43] + node _T_2268 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2411:23] + node _T_2269 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2411:61] + node _T_2270 = or(_T_2268, _T_2269) @[dec_tlu_ctl.scala 2411:39] + node _T_2271 = orr(mhpmc_inc_r[3]) @[dec_tlu_ctl.scala 2411:86] + node mhpmc6_wr_en1 = and(_T_2270, _T_2271) @[dec_tlu_ctl.scala 2411:66] node mhpmc6_wr_en = or(mhpmc6_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2412:36] - node _T_2274 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2414:28] - node _T_2275 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2414:41] - node _T_2276 = cat(_T_2274, _T_2275) @[Cat.scala 29:58] - node _T_2277 = cat(UInt<63>("h00"), mhpmc_inc_r[3]) @[Cat.scala 29:58] - node _T_2278 = add(_T_2276, _T_2277) @[dec_tlu_ctl.scala 2414:49] - node _T_2279 = tail(_T_2278, 1) @[dec_tlu_ctl.scala 2414:49] - mhpmc6_incr <= _T_2279 @[dec_tlu_ctl.scala 2414:14] - node _T_2280 = bits(mhpmc6_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2415:36] - node _T_2281 = bits(mhpmc6_incr, 31, 0) @[dec_tlu_ctl.scala 2415:76] - node mhpmc6_ns = mux(_T_2280, io.dec_csr_wrdata_r, _T_2281) @[dec_tlu_ctl.scala 2415:21] - node _T_2282 = bits(mhpmc6_wr_en, 0, 0) @[dec_tlu_ctl.scala 2417:43] + node _T_2272 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2414:28] + node _T_2273 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2414:41] + node _T_2274 = cat(_T_2272, _T_2273) @[Cat.scala 29:58] + node _T_2275 = cat(UInt<63>("h00"), mhpmc_inc_r[3]) @[Cat.scala 29:58] + node _T_2276 = add(_T_2274, _T_2275) @[dec_tlu_ctl.scala 2414:49] + node _T_2277 = tail(_T_2276, 1) @[dec_tlu_ctl.scala 2414:49] + mhpmc6_incr <= _T_2277 @[dec_tlu_ctl.scala 2414:14] + node _T_2278 = bits(mhpmc6_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2415:36] + node _T_2279 = bits(mhpmc6_incr, 31, 0) @[dec_tlu_ctl.scala 2415:76] + node mhpmc6_ns = mux(_T_2278, io.dec_csr_wrdata_r, _T_2279) @[dec_tlu_ctl.scala 2415:21] + node _T_2280 = bits(mhpmc6_wr_en, 0, 0) @[dec_tlu_ctl.scala 2417:43] inst rvclkhdr_32 of rvclkhdr_752 @[lib.scala 352:23] rvclkhdr_32.clock <= clock rvclkhdr_32.reset <= reset rvclkhdr_32.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_32.io.en <= _T_2282 @[lib.scala 355:17] + rvclkhdr_32.io.en <= _T_2280 @[lib.scala 355:17] rvclkhdr_32.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_2283 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_2283 <= mhpmc6_ns @[lib.scala 358:16] - mhpmc6 <= _T_2283 @[dec_tlu_ctl.scala 2417:9] - node _T_2284 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2419:66] - node _T_2285 = eq(_T_2284, UInt<12>("h0b86")) @[dec_tlu_ctl.scala 2419:73] - node mhpmc6h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2285) @[dec_tlu_ctl.scala 2419:44] + reg _T_2281 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_2281 <= mhpmc6_ns @[lib.scala 358:16] + mhpmc6 <= _T_2281 @[dec_tlu_ctl.scala 2417:9] + node _T_2282 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2419:66] + node _T_2283 = eq(_T_2282, UInt<12>("h0b86")) @[dec_tlu_ctl.scala 2419:73] + node mhpmc6h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2283) @[dec_tlu_ctl.scala 2419:44] node mhpmc6h_wr_en = or(mhpmc6h_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2420:38] - node _T_2286 = bits(mhpmc6h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2421:38] - node _T_2287 = bits(mhpmc6_incr, 63, 32) @[dec_tlu_ctl.scala 2421:78] - node mhpmc6h_ns = mux(_T_2286, io.dec_csr_wrdata_r, _T_2287) @[dec_tlu_ctl.scala 2421:22] - node _T_2288 = bits(mhpmc6h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2423:46] + node _T_2284 = bits(mhpmc6h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2421:38] + node _T_2285 = bits(mhpmc6_incr, 63, 32) @[dec_tlu_ctl.scala 2421:78] + node mhpmc6h_ns = mux(_T_2284, io.dec_csr_wrdata_r, _T_2285) @[dec_tlu_ctl.scala 2421:22] + node _T_2286 = bits(mhpmc6h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2423:46] inst rvclkhdr_33 of rvclkhdr_753 @[lib.scala 352:23] rvclkhdr_33.clock <= clock rvclkhdr_33.reset <= reset rvclkhdr_33.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_33.io.en <= _T_2288 @[lib.scala 355:17] + rvclkhdr_33.io.en <= _T_2286 @[lib.scala 355:17] rvclkhdr_33.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_2289 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] - _T_2289 <= mhpmc6h_ns @[lib.scala 358:16] - mhpmc6h <= _T_2289 @[dec_tlu_ctl.scala 2423:10] - node _T_2290 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2430:50] - node _T_2291 = gt(_T_2290, UInt<10>("h0204")) @[dec_tlu_ctl.scala 2430:56] - node _T_2292 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 2430:93] - node _T_2293 = orr(_T_2292) @[dec_tlu_ctl.scala 2430:102] - node _T_2294 = or(_T_2291, _T_2293) @[dec_tlu_ctl.scala 2430:71] - node _T_2295 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2430:141] - node event_saturate_r = mux(_T_2294, UInt<10>("h0204"), _T_2295) @[dec_tlu_ctl.scala 2430:28] - node _T_2296 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2432:63] - node _T_2297 = eq(_T_2296, UInt<12>("h0323")) @[dec_tlu_ctl.scala 2432:70] - node wr_mhpme3_r = and(io.dec_csr_wen_r_mod, _T_2297) @[dec_tlu_ctl.scala 2432:41] - node _T_2298 = bits(wr_mhpme3_r, 0, 0) @[dec_tlu_ctl.scala 2434:80] - reg _T_2299 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2298 : @[Reg.scala 28:19] - _T_2299 <= event_saturate_r @[Reg.scala 28:23] + reg _T_2287 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] + _T_2287 <= mhpmc6h_ns @[lib.scala 358:16] + mhpmc6h <= _T_2287 @[dec_tlu_ctl.scala 2423:10] + node _T_2288 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2430:50] + node _T_2289 = gt(_T_2288, UInt<10>("h0204")) @[dec_tlu_ctl.scala 2430:56] + node _T_2290 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 2430:93] + node _T_2291 = orr(_T_2290) @[dec_tlu_ctl.scala 2430:102] + node _T_2292 = or(_T_2289, _T_2291) @[dec_tlu_ctl.scala 2430:71] + node _T_2293 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2430:141] + node event_saturate_r = mux(_T_2292, UInt<10>("h0204"), _T_2293) @[dec_tlu_ctl.scala 2430:28] + node _T_2294 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2432:63] + node _T_2295 = eq(_T_2294, UInt<12>("h0323")) @[dec_tlu_ctl.scala 2432:70] + node wr_mhpme3_r = and(io.dec_csr_wen_r_mod, _T_2295) @[dec_tlu_ctl.scala 2432:41] + node _T_2296 = bits(wr_mhpme3_r, 0, 0) @[dec_tlu_ctl.scala 2434:80] + reg _T_2297 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2296 : @[Reg.scala 28:19] + _T_2297 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme3 <= _T_2299 @[dec_tlu_ctl.scala 2434:9] - node _T_2300 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2439:63] - node _T_2301 = eq(_T_2300, UInt<12>("h0324")) @[dec_tlu_ctl.scala 2439:70] - node wr_mhpme4_r = and(io.dec_csr_wen_r_mod, _T_2301) @[dec_tlu_ctl.scala 2439:41] - node _T_2302 = bits(wr_mhpme4_r, 0, 0) @[dec_tlu_ctl.scala 2440:80] - reg _T_2303 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2302 : @[Reg.scala 28:19] - _T_2303 <= event_saturate_r @[Reg.scala 28:23] + mhpme3 <= _T_2297 @[dec_tlu_ctl.scala 2434:9] + node _T_2298 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2439:63] + node _T_2299 = eq(_T_2298, UInt<12>("h0324")) @[dec_tlu_ctl.scala 2439:70] + node wr_mhpme4_r = and(io.dec_csr_wen_r_mod, _T_2299) @[dec_tlu_ctl.scala 2439:41] + node _T_2300 = bits(wr_mhpme4_r, 0, 0) @[dec_tlu_ctl.scala 2440:80] + reg _T_2301 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2300 : @[Reg.scala 28:19] + _T_2301 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme4 <= _T_2303 @[dec_tlu_ctl.scala 2440:9] - node _T_2304 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2446:63] - node _T_2305 = eq(_T_2304, UInt<12>("h0325")) @[dec_tlu_ctl.scala 2446:70] - node wr_mhpme5_r = and(io.dec_csr_wen_r_mod, _T_2305) @[dec_tlu_ctl.scala 2446:41] - node _T_2306 = bits(wr_mhpme5_r, 0, 0) @[dec_tlu_ctl.scala 2447:80] - reg _T_2307 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2306 : @[Reg.scala 28:19] - _T_2307 <= event_saturate_r @[Reg.scala 28:23] + mhpme4 <= _T_2301 @[dec_tlu_ctl.scala 2440:9] + node _T_2302 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2446:63] + node _T_2303 = eq(_T_2302, UInt<12>("h0325")) @[dec_tlu_ctl.scala 2446:70] + node wr_mhpme5_r = and(io.dec_csr_wen_r_mod, _T_2303) @[dec_tlu_ctl.scala 2446:41] + node _T_2304 = bits(wr_mhpme5_r, 0, 0) @[dec_tlu_ctl.scala 2447:80] + reg _T_2305 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2304 : @[Reg.scala 28:19] + _T_2305 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme5 <= _T_2307 @[dec_tlu_ctl.scala 2447:9] - node _T_2308 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2453:63] - node _T_2309 = eq(_T_2308, UInt<12>("h0326")) @[dec_tlu_ctl.scala 2453:70] - node wr_mhpme6_r = and(io.dec_csr_wen_r_mod, _T_2309) @[dec_tlu_ctl.scala 2453:41] - node _T_2310 = bits(wr_mhpme6_r, 0, 0) @[dec_tlu_ctl.scala 2454:80] - reg _T_2311 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2310 : @[Reg.scala 28:19] - _T_2311 <= event_saturate_r @[Reg.scala 28:23] + mhpme5 <= _T_2305 @[dec_tlu_ctl.scala 2447:9] + node _T_2306 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2453:63] + node _T_2307 = eq(_T_2306, UInt<12>("h0326")) @[dec_tlu_ctl.scala 2453:70] + node wr_mhpme6_r = and(io.dec_csr_wen_r_mod, _T_2307) @[dec_tlu_ctl.scala 2453:41] + node _T_2308 = bits(wr_mhpme6_r, 0, 0) @[dec_tlu_ctl.scala 2454:80] + reg _T_2309 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2308 : @[Reg.scala 28:19] + _T_2309 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme6 <= _T_2311 @[dec_tlu_ctl.scala 2454:9] - node _T_2312 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2470:70] - node _T_2313 = eq(_T_2312, UInt<12>("h0320")) @[dec_tlu_ctl.scala 2470:77] - node wr_mcountinhibit_r = and(io.dec_csr_wen_r_mod, _T_2313) @[dec_tlu_ctl.scala 2470:48] - node _T_2314 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2472:54] + mhpme6 <= _T_2309 @[dec_tlu_ctl.scala 2454:9] + node _T_2310 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2470:70] + node _T_2311 = eq(_T_2310, UInt<12>("h0320")) @[dec_tlu_ctl.scala 2470:77] + node wr_mcountinhibit_r = and(io.dec_csr_wen_r_mod, _T_2311) @[dec_tlu_ctl.scala 2470:48] + node _T_2312 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2472:54] wire temp_ncount0 : UInt<1> - temp_ncount0 <= _T_2314 - node _T_2315 = bits(mcountinhibit, 1, 1) @[dec_tlu_ctl.scala 2473:54] + temp_ncount0 <= _T_2312 + node _T_2313 = bits(mcountinhibit, 1, 1) @[dec_tlu_ctl.scala 2473:54] wire temp_ncount1 : UInt<1> - temp_ncount1 <= _T_2315 - node _T_2316 = bits(mcountinhibit, 6, 2) @[dec_tlu_ctl.scala 2474:55] + temp_ncount1 <= _T_2313 + node _T_2314 = bits(mcountinhibit, 6, 2) @[dec_tlu_ctl.scala 2474:55] wire temp_ncount6_2 : UInt<5> - temp_ncount6_2 <= _T_2316 - node _T_2317 = bits(io.dec_csr_wrdata_r, 6, 2) @[dec_tlu_ctl.scala 2475:74] - node _T_2318 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2475:103] - reg _T_2319 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2318 : @[Reg.scala 28:19] - _T_2319 <= _T_2317 @[Reg.scala 28:23] + temp_ncount6_2 <= _T_2314 + node _T_2315 = bits(io.dec_csr_wrdata_r, 6, 2) @[dec_tlu_ctl.scala 2475:74] + node _T_2316 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2475:103] + reg _T_2317 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2316 : @[Reg.scala 28:19] + _T_2317 <= _T_2315 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - temp_ncount6_2 <= _T_2319 @[dec_tlu_ctl.scala 2475:17] - node _T_2320 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2477:72] - node _T_2321 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2477:99] - reg _T_2322 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2321 : @[Reg.scala 28:19] - _T_2322 <= _T_2320 @[Reg.scala 28:23] + temp_ncount6_2 <= _T_2317 @[dec_tlu_ctl.scala 2475:17] + node _T_2318 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2477:72] + node _T_2319 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2477:99] + reg _T_2320 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2319 : @[Reg.scala 28:19] + _T_2320 <= _T_2318 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - temp_ncount0 <= _T_2322 @[dec_tlu_ctl.scala 2477:15] - node _T_2323 = cat(temp_ncount6_2, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2324 = cat(_T_2323, temp_ncount0) @[Cat.scala 29:58] - mcountinhibit <= _T_2324 @[dec_tlu_ctl.scala 2478:16] - node _T_2325 = or(io.i0_valid_wb, io.exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 2485:51] - node _T_2326 = or(_T_2325, io.interrupt_valid_r_d1) @[dec_tlu_ctl.scala 2485:78] - node _T_2327 = or(_T_2326, io.dec_tlu_i0_valid_wb1) @[dec_tlu_ctl.scala 2485:104] - node _T_2328 = or(_T_2327, io.dec_tlu_i0_exc_valid_wb1) @[dec_tlu_ctl.scala 2485:130] - node _T_2329 = or(_T_2328, io.dec_tlu_int_valid_wb1) @[dec_tlu_ctl.scala 2486:32] - node _T_2330 = or(_T_2329, io.clk_override) @[dec_tlu_ctl.scala 2486:59] - node _T_2331 = bits(_T_2330, 0, 0) @[dec_tlu_ctl.scala 2486:78] + temp_ncount0 <= _T_2320 @[dec_tlu_ctl.scala 2477:15] + node _T_2321 = cat(temp_ncount6_2, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2322 = cat(_T_2321, temp_ncount0) @[Cat.scala 29:58] + mcountinhibit <= _T_2322 @[dec_tlu_ctl.scala 2478:16] + node _T_2323 = or(io.i0_valid_wb, io.exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 2485:51] + node _T_2324 = or(_T_2323, io.interrupt_valid_r_d1) @[dec_tlu_ctl.scala 2485:78] + node _T_2325 = or(_T_2324, io.dec_tlu_i0_valid_wb1) @[dec_tlu_ctl.scala 2485:104] + node _T_2326 = or(_T_2325, io.dec_tlu_i0_exc_valid_wb1) @[dec_tlu_ctl.scala 2485:130] + node _T_2327 = or(_T_2326, io.dec_tlu_int_valid_wb1) @[dec_tlu_ctl.scala 2486:32] + node _T_2328 = or(_T_2327, io.clk_override) @[dec_tlu_ctl.scala 2486:59] + node _T_2329 = bits(_T_2328, 0, 0) @[dec_tlu_ctl.scala 2486:78] inst rvclkhdr_34 of rvclkhdr_754 @[lib.scala 327:22] rvclkhdr_34.clock <= clock rvclkhdr_34.reset <= reset rvclkhdr_34.io.clk <= clock @[lib.scala 328:17] - rvclkhdr_34.io.en <= _T_2331 @[lib.scala 329:16] + rvclkhdr_34.io.en <= _T_2329 @[lib.scala 329:16] rvclkhdr_34.io.scan_mode <= io.scan_mode @[lib.scala 330:23] - reg _T_2332 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2488:62] - _T_2332 <= io.i0_valid_wb @[dec_tlu_ctl.scala 2488:62] - io.dec_tlu_i0_valid_wb1 <= _T_2332 @[dec_tlu_ctl.scala 2488:30] - node _T_2333 = or(io.i0_exception_valid_r_d1, io.lsu_i0_exc_r_d1) @[dec_tlu_ctl.scala 2489:91] - node _T_2334 = not(io.trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 2489:137] - node _T_2335 = and(io.trigger_hit_r_d1, _T_2334) @[dec_tlu_ctl.scala 2489:135] - node _T_2336 = or(_T_2333, _T_2335) @[dec_tlu_ctl.scala 2489:112] - reg _T_2337 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2489:62] - _T_2337 <= _T_2336 @[dec_tlu_ctl.scala 2489:62] - io.dec_tlu_i0_exc_valid_wb1 <= _T_2337 @[dec_tlu_ctl.scala 2489:30] - reg _T_2338 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2490:62] - _T_2338 <= io.exc_cause_wb @[dec_tlu_ctl.scala 2490:62] - io.dec_tlu_exc_cause_wb1 <= _T_2338 @[dec_tlu_ctl.scala 2490:30] - reg _T_2339 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2491:62] - _T_2339 <= io.interrupt_valid_r_d1 @[dec_tlu_ctl.scala 2491:62] - io.dec_tlu_int_valid_wb1 <= _T_2339 @[dec_tlu_ctl.scala 2491:30] + reg _T_2330 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2488:62] + _T_2330 <= io.i0_valid_wb @[dec_tlu_ctl.scala 2488:62] + io.dec_tlu_i0_valid_wb1 <= _T_2330 @[dec_tlu_ctl.scala 2488:30] + node _T_2331 = or(io.i0_exception_valid_r_d1, io.lsu_i0_exc_r_d1) @[dec_tlu_ctl.scala 2489:91] + node _T_2332 = not(io.trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 2489:137] + node _T_2333 = and(io.trigger_hit_r_d1, _T_2332) @[dec_tlu_ctl.scala 2489:135] + node _T_2334 = or(_T_2331, _T_2333) @[dec_tlu_ctl.scala 2489:112] + reg _T_2335 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2489:62] + _T_2335 <= _T_2334 @[dec_tlu_ctl.scala 2489:62] + io.dec_tlu_i0_exc_valid_wb1 <= _T_2335 @[dec_tlu_ctl.scala 2489:30] + reg _T_2336 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2490:62] + _T_2336 <= io.exc_cause_wb @[dec_tlu_ctl.scala 2490:62] + io.dec_tlu_exc_cause_wb1 <= _T_2336 @[dec_tlu_ctl.scala 2490:30] + reg _T_2337 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2491:62] + _T_2337 <= io.interrupt_valid_r_d1 @[dec_tlu_ctl.scala 2491:62] + io.dec_tlu_int_valid_wb1 <= _T_2337 @[dec_tlu_ctl.scala 2491:30] io.dec_tlu_mtval_wb1 <= mtval @[dec_tlu_ctl.scala 2493:24] - node _T_2340 = bits(io.csr_pkt.csr_misa, 0, 0) @[dec_tlu_ctl.scala 2499:61] - node _T_2341 = bits(io.csr_pkt.csr_mvendorid, 0, 0) @[dec_tlu_ctl.scala 2500:42] - node _T_2342 = bits(io.csr_pkt.csr_marchid, 0, 0) @[dec_tlu_ctl.scala 2501:40] - node _T_2343 = bits(io.csr_pkt.csr_mimpid, 0, 0) @[dec_tlu_ctl.scala 2502:39] - node _T_2344 = bits(io.csr_pkt.csr_mhartid, 0, 0) @[dec_tlu_ctl.scala 2503:40] - node _T_2345 = cat(io.core_id, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_2346 = bits(io.csr_pkt.csr_mstatus, 0, 0) @[dec_tlu_ctl.scala 2504:40] - node _T_2347 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 2504:103] - node _T_2348 = bits(io.mstatus, 0, 0) @[dec_tlu_ctl.scala 2504:128] - node _T_2349 = cat(UInt<3>("h00"), _T_2348) @[Cat.scala 29:58] - node _T_2350 = cat(_T_2349, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2351 = cat(UInt<3>("h00"), _T_2347) @[Cat.scala 29:58] - node _T_2352 = cat(UInt<19>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] - node _T_2353 = cat(_T_2352, _T_2351) @[Cat.scala 29:58] - node _T_2354 = cat(_T_2353, _T_2350) @[Cat.scala 29:58] - node _T_2355 = bits(io.csr_pkt.csr_mtvec, 0, 0) @[dec_tlu_ctl.scala 2505:38] - node _T_2356 = bits(io.mtvec, 30, 1) @[dec_tlu_ctl.scala 2505:70] - node _T_2357 = bits(io.mtvec, 0, 0) @[dec_tlu_ctl.scala 2505:96] - node _T_2358 = cat(_T_2356, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2359 = cat(_T_2358, _T_2357) @[Cat.scala 29:58] - node _T_2360 = bits(io.csr_pkt.csr_mip, 0, 0) @[dec_tlu_ctl.scala 2506:36] - node _T_2361 = bits(io.mip, 5, 3) @[dec_tlu_ctl.scala 2506:78] - node _T_2362 = bits(io.mip, 2, 2) @[dec_tlu_ctl.scala 2506:102] - node _T_2363 = bits(io.mip, 1, 1) @[dec_tlu_ctl.scala 2506:123] - node _T_2364 = bits(io.mip, 0, 0) @[dec_tlu_ctl.scala 2506:144] - node _T_2365 = cat(_T_2364, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2366 = cat(_T_2363, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2367 = cat(_T_2366, _T_2365) @[Cat.scala 29:58] - node _T_2368 = cat(_T_2362, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2369 = cat(UInt<1>("h00"), _T_2361) @[Cat.scala 29:58] - node _T_2370 = cat(_T_2369, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_2371 = cat(_T_2370, _T_2368) @[Cat.scala 29:58] - node _T_2372 = cat(_T_2371, _T_2367) @[Cat.scala 29:58] - node _T_2373 = bits(io.csr_pkt.csr_mie, 0, 0) @[dec_tlu_ctl.scala 2507:36] - node _T_2374 = bits(mie, 5, 3) @[dec_tlu_ctl.scala 2507:75] - node _T_2375 = bits(mie, 2, 2) @[dec_tlu_ctl.scala 2507:96] - node _T_2376 = bits(mie, 1, 1) @[dec_tlu_ctl.scala 2507:114] - node _T_2377 = bits(mie, 0, 0) @[dec_tlu_ctl.scala 2507:132] - node _T_2378 = cat(_T_2377, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2379 = cat(_T_2376, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2380 = cat(_T_2379, _T_2378) @[Cat.scala 29:58] - node _T_2381 = cat(_T_2375, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2382 = cat(UInt<1>("h00"), _T_2374) @[Cat.scala 29:58] - node _T_2383 = cat(_T_2382, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_2384 = cat(_T_2383, _T_2381) @[Cat.scala 29:58] - node _T_2385 = cat(_T_2384, _T_2380) @[Cat.scala 29:58] - node _T_2386 = bits(io.csr_pkt.csr_mcyclel, 0, 0) @[dec_tlu_ctl.scala 2508:40] - node _T_2387 = bits(mcyclel, 31, 0) @[dec_tlu_ctl.scala 2508:65] - node _T_2388 = bits(io.csr_pkt.csr_mcycleh, 0, 0) @[dec_tlu_ctl.scala 2509:40] - node _T_2389 = bits(mcycleh_inc, 31, 0) @[dec_tlu_ctl.scala 2509:69] - node _T_2390 = bits(io.csr_pkt.csr_minstretl, 0, 0) @[dec_tlu_ctl.scala 2510:42] - node _T_2391 = bits(minstretl, 31, 0) @[dec_tlu_ctl.scala 2510:72] - node _T_2392 = bits(io.csr_pkt.csr_minstreth, 0, 0) @[dec_tlu_ctl.scala 2511:42] - node _T_2393 = bits(minstreth_inc, 31, 0) @[dec_tlu_ctl.scala 2511:72] - node _T_2394 = bits(io.csr_pkt.csr_mscratch, 0, 0) @[dec_tlu_ctl.scala 2512:41] - node _T_2395 = bits(mscratch, 31, 0) @[dec_tlu_ctl.scala 2512:66] - node _T_2396 = bits(io.csr_pkt.csr_mepc, 0, 0) @[dec_tlu_ctl.scala 2513:37] - node _T_2397 = cat(io.mepc, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2398 = bits(io.csr_pkt.csr_mcause, 0, 0) @[dec_tlu_ctl.scala 2514:39] - node _T_2399 = bits(mcause, 31, 0) @[dec_tlu_ctl.scala 2514:64] - node _T_2400 = bits(io.csr_pkt.csr_mscause, 0, 0) @[dec_tlu_ctl.scala 2515:40] - node _T_2401 = bits(mscause, 3, 0) @[dec_tlu_ctl.scala 2515:80] - node _T_2402 = cat(UInt<28>("h00"), _T_2401) @[Cat.scala 29:58] - node _T_2403 = bits(io.csr_pkt.csr_mtval, 0, 0) @[dec_tlu_ctl.scala 2516:38] - node _T_2404 = bits(mtval, 31, 0) @[dec_tlu_ctl.scala 2516:63] - node _T_2405 = bits(io.csr_pkt.csr_mrac, 0, 0) @[dec_tlu_ctl.scala 2517:37] - node _T_2406 = bits(mrac, 31, 0) @[dec_tlu_ctl.scala 2517:62] - node _T_2407 = bits(io.csr_pkt.csr_mdseac, 0, 0) @[dec_tlu_ctl.scala 2518:39] - node _T_2408 = bits(mdseac, 31, 0) @[dec_tlu_ctl.scala 2518:64] - node _T_2409 = bits(io.csr_pkt.csr_meivt, 0, 0) @[dec_tlu_ctl.scala 2519:38] - node _T_2410 = cat(meivt, UInt<10>("h00")) @[Cat.scala 29:58] - node _T_2411 = bits(io.csr_pkt.csr_meihap, 0, 0) @[dec_tlu_ctl.scala 2520:39] - node _T_2412 = cat(meivt, meihap) @[Cat.scala 29:58] - node _T_2413 = cat(_T_2412, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_2414 = bits(io.csr_pkt.csr_meicurpl, 0, 0) @[dec_tlu_ctl.scala 2521:41] - node _T_2415 = bits(meicurpl, 3, 0) @[dec_tlu_ctl.scala 2521:81] - node _T_2416 = cat(UInt<28>("h00"), _T_2415) @[Cat.scala 29:58] - node _T_2417 = bits(io.csr_pkt.csr_meicidpl, 0, 0) @[dec_tlu_ctl.scala 2522:41] - node _T_2418 = bits(meicidpl, 3, 0) @[dec_tlu_ctl.scala 2522:81] - node _T_2419 = cat(UInt<28>("h00"), _T_2418) @[Cat.scala 29:58] - node _T_2420 = bits(io.csr_pkt.csr_meipt, 0, 0) @[dec_tlu_ctl.scala 2523:38] - node _T_2421 = bits(meipt, 3, 0) @[dec_tlu_ctl.scala 2523:78] - node _T_2422 = cat(UInt<28>("h00"), _T_2421) @[Cat.scala 29:58] - node _T_2423 = bits(io.csr_pkt.csr_mcgc, 0, 0) @[dec_tlu_ctl.scala 2524:37] - node _T_2424 = bits(mcgc, 8, 0) @[dec_tlu_ctl.scala 2524:77] - node _T_2425 = cat(UInt<23>("h00"), _T_2424) @[Cat.scala 29:58] - node _T_2426 = bits(io.csr_pkt.csr_mfdc, 0, 0) @[dec_tlu_ctl.scala 2525:37] - node _T_2427 = bits(mfdc, 18, 0) @[dec_tlu_ctl.scala 2525:77] - node _T_2428 = cat(UInt<13>("h00"), _T_2427) @[Cat.scala 29:58] - node _T_2429 = bits(io.csr_pkt.csr_dcsr, 0, 0) @[dec_tlu_ctl.scala 2526:37] - node _T_2430 = bits(io.dcsr, 15, 2) @[dec_tlu_ctl.scala 2526:85] - node _T_2431 = cat(UInt<16>("h04000"), _T_2430) @[Cat.scala 29:58] - node _T_2432 = cat(_T_2431, UInt<2>("h03")) @[Cat.scala 29:58] - node _T_2433 = bits(io.csr_pkt.csr_dpc, 0, 0) @[dec_tlu_ctl.scala 2527:36] - node _T_2434 = cat(io.dpc, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2435 = bits(io.csr_pkt.csr_dicad0, 0, 0) @[dec_tlu_ctl.scala 2528:39] - node _T_2436 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2528:64] - node _T_2437 = bits(io.csr_pkt.csr_dicad0h, 0, 0) @[dec_tlu_ctl.scala 2529:40] - node _T_2438 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2529:65] - node _T_2439 = bits(io.csr_pkt.csr_dicad1, 0, 0) @[dec_tlu_ctl.scala 2530:39] - node _T_2440 = bits(dicad1, 31, 0) @[dec_tlu_ctl.scala 2530:64] - node _T_2441 = bits(io.csr_pkt.csr_dicawics, 0, 0) @[dec_tlu_ctl.scala 2531:41] - node _T_2442 = bits(dicawics, 16, 16) @[dec_tlu_ctl.scala 2531:80] - node _T_2443 = bits(dicawics, 15, 14) @[dec_tlu_ctl.scala 2531:104] - node _T_2444 = bits(dicawics, 13, 0) @[dec_tlu_ctl.scala 2531:131] - node _T_2445 = cat(UInt<3>("h00"), _T_2444) @[Cat.scala 29:58] - node _T_2446 = cat(_T_2445, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2447 = cat(UInt<2>("h00"), _T_2443) @[Cat.scala 29:58] - node _T_2448 = cat(UInt<7>("h00"), _T_2442) @[Cat.scala 29:58] - node _T_2449 = cat(_T_2448, _T_2447) @[Cat.scala 29:58] - node _T_2450 = cat(_T_2449, _T_2446) @[Cat.scala 29:58] - node _T_2451 = bits(io.csr_pkt.csr_mtsel, 0, 0) @[dec_tlu_ctl.scala 2532:38] - node _T_2452 = bits(mtsel, 1, 0) @[dec_tlu_ctl.scala 2532:78] - node _T_2453 = cat(UInt<30>("h00"), _T_2452) @[Cat.scala 29:58] - node _T_2454 = bits(io.csr_pkt.csr_mtdata1, 0, 0) @[dec_tlu_ctl.scala 2533:40] - node _T_2455 = bits(mtdata1_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2533:74] - node _T_2456 = bits(io.csr_pkt.csr_mtdata2, 0, 0) @[dec_tlu_ctl.scala 2534:40] - node _T_2457 = bits(mtdata2_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2534:74] - node _T_2458 = bits(io.csr_pkt.csr_micect, 0, 0) @[dec_tlu_ctl.scala 2535:39] - node _T_2459 = bits(micect, 31, 0) @[dec_tlu_ctl.scala 2535:64] - node _T_2460 = bits(io.csr_pkt.csr_miccmect, 0, 0) @[dec_tlu_ctl.scala 2536:41] - node _T_2461 = bits(miccmect, 31, 0) @[dec_tlu_ctl.scala 2536:66] - node _T_2462 = bits(io.csr_pkt.csr_mdccmect, 0, 0) @[dec_tlu_ctl.scala 2537:41] - node _T_2463 = bits(mdccmect, 31, 0) @[dec_tlu_ctl.scala 2537:66] - node _T_2464 = bits(io.csr_pkt.csr_mhpmc3, 0, 0) @[dec_tlu_ctl.scala 2538:39] - node _T_2465 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2538:64] - node _T_2466 = bits(io.csr_pkt.csr_mhpmc4, 0, 0) @[dec_tlu_ctl.scala 2539:39] - node _T_2467 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2539:64] - node _T_2468 = bits(io.csr_pkt.csr_mhpmc5, 0, 0) @[dec_tlu_ctl.scala 2540:39] - node _T_2469 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2540:64] - node _T_2470 = bits(io.csr_pkt.csr_mhpmc6, 0, 0) @[dec_tlu_ctl.scala 2541:39] - node _T_2471 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2541:64] - node _T_2472 = bits(io.csr_pkt.csr_mhpmc3h, 0, 0) @[dec_tlu_ctl.scala 2542:40] - node _T_2473 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2542:65] - node _T_2474 = bits(io.csr_pkt.csr_mhpmc4h, 0, 0) @[dec_tlu_ctl.scala 2543:40] - node _T_2475 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2543:65] - node _T_2476 = bits(io.csr_pkt.csr_mhpmc5h, 0, 0) @[dec_tlu_ctl.scala 2544:40] - node _T_2477 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2544:65] - node _T_2478 = bits(io.csr_pkt.csr_mhpmc6h, 0, 0) @[dec_tlu_ctl.scala 2545:40] - node _T_2479 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2545:65] - node _T_2480 = bits(io.csr_pkt.csr_mfdht, 0, 0) @[dec_tlu_ctl.scala 2546:38] - node _T_2481 = bits(mfdht, 5, 0) @[dec_tlu_ctl.scala 2546:78] - node _T_2482 = cat(UInt<26>("h00"), _T_2481) @[Cat.scala 29:58] - node _T_2483 = bits(io.csr_pkt.csr_mfdhs, 0, 0) @[dec_tlu_ctl.scala 2547:38] - node _T_2484 = bits(mfdhs, 1, 0) @[dec_tlu_ctl.scala 2547:78] - node _T_2485 = cat(UInt<30>("h00"), _T_2484) @[Cat.scala 29:58] - node _T_2486 = bits(io.csr_pkt.csr_mhpme3, 0, 0) @[dec_tlu_ctl.scala 2548:39] - node _T_2487 = bits(mhpme3, 9, 0) @[dec_tlu_ctl.scala 2548:79] - node _T_2488 = cat(UInt<22>("h00"), _T_2487) @[Cat.scala 29:58] - node _T_2489 = bits(io.csr_pkt.csr_mhpme4, 0, 0) @[dec_tlu_ctl.scala 2549:39] - node _T_2490 = bits(mhpme4, 9, 0) @[dec_tlu_ctl.scala 2549:79] - node _T_2491 = cat(UInt<22>("h00"), _T_2490) @[Cat.scala 29:58] - node _T_2492 = bits(io.csr_pkt.csr_mhpme5, 0, 0) @[dec_tlu_ctl.scala 2550:39] - node _T_2493 = bits(mhpme5, 9, 0) @[dec_tlu_ctl.scala 2550:78] - node _T_2494 = cat(UInt<22>("h00"), _T_2493) @[Cat.scala 29:58] - node _T_2495 = bits(io.csr_pkt.csr_mhpme6, 0, 0) @[dec_tlu_ctl.scala 2551:39] - node _T_2496 = bits(mhpme6, 9, 0) @[dec_tlu_ctl.scala 2551:78] - node _T_2497 = cat(UInt<22>("h00"), _T_2496) @[Cat.scala 29:58] - node _T_2498 = bits(io.csr_pkt.csr_mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2552:46] - node _T_2499 = bits(mcountinhibit, 6, 0) @[dec_tlu_ctl.scala 2552:86] - node _T_2500 = cat(UInt<25>("h00"), _T_2499) @[Cat.scala 29:58] - node _T_2501 = bits(io.csr_pkt.csr_mpmc, 0, 0) @[dec_tlu_ctl.scala 2553:37] - node _T_2502 = cat(UInt<30>("h00"), mpmc) @[Cat.scala 29:58] - node _T_2503 = cat(_T_2502, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2504 = bits(io.dec_timer_read_d, 0, 0) @[dec_tlu_ctl.scala 2554:37] - node _T_2505 = bits(io.dec_timer_rddata_d, 31, 0) @[dec_tlu_ctl.scala 2554:76] - node _T_2506 = mux(_T_2340, UInt<32>("h040001104"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2507 = mux(_T_2341, UInt<32>("h045"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2508 = mux(_T_2342, UInt<32>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2509 = mux(_T_2343, UInt<32>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2510 = mux(_T_2344, _T_2345, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2511 = mux(_T_2346, _T_2354, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2512 = mux(_T_2355, _T_2359, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2513 = mux(_T_2360, _T_2372, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2514 = mux(_T_2373, _T_2385, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2515 = mux(_T_2386, _T_2387, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2516 = mux(_T_2388, _T_2389, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2517 = mux(_T_2390, _T_2391, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2518 = mux(_T_2392, _T_2393, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2519 = mux(_T_2394, _T_2395, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2520 = mux(_T_2396, _T_2397, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2521 = mux(_T_2398, _T_2399, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2522 = mux(_T_2400, _T_2402, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2523 = mux(_T_2403, _T_2404, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2524 = mux(_T_2405, _T_2406, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2525 = mux(_T_2407, _T_2408, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2526 = mux(_T_2409, _T_2410, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2527 = mux(_T_2411, _T_2413, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2528 = mux(_T_2414, _T_2416, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2529 = mux(_T_2417, _T_2419, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2530 = mux(_T_2420, _T_2422, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2531 = mux(_T_2423, _T_2425, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2532 = mux(_T_2426, _T_2428, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2533 = mux(_T_2429, _T_2432, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2534 = mux(_T_2433, _T_2434, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2535 = mux(_T_2435, _T_2436, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2536 = mux(_T_2437, _T_2438, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2537 = mux(_T_2439, _T_2440, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2538 = mux(_T_2441, _T_2450, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2539 = mux(_T_2451, _T_2453, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2540 = mux(_T_2454, _T_2455, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2541 = mux(_T_2456, _T_2457, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2542 = mux(_T_2458, _T_2459, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2543 = mux(_T_2460, _T_2461, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2544 = mux(_T_2462, _T_2463, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2545 = mux(_T_2464, _T_2465, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2546 = mux(_T_2466, _T_2467, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2547 = mux(_T_2468, _T_2469, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2548 = mux(_T_2470, _T_2471, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2549 = mux(_T_2472, _T_2473, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2550 = mux(_T_2474, _T_2475, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2551 = mux(_T_2476, _T_2477, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2552 = mux(_T_2478, _T_2479, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2553 = mux(_T_2480, _T_2482, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2554 = mux(_T_2483, _T_2485, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2555 = mux(_T_2486, _T_2488, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2556 = mux(_T_2489, _T_2491, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2557 = mux(_T_2492, _T_2494, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2558 = mux(_T_2495, _T_2497, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2559 = mux(_T_2498, _T_2500, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2560 = mux(_T_2501, _T_2503, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2561 = mux(_T_2504, _T_2505, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2562 = or(_T_2506, _T_2507) @[Mux.scala 27:72] + node _T_2338 = bits(io.csr_pkt.csr_misa, 0, 0) @[dec_tlu_ctl.scala 2499:61] + node _T_2339 = bits(io.csr_pkt.csr_mvendorid, 0, 0) @[dec_tlu_ctl.scala 2500:42] + node _T_2340 = bits(io.csr_pkt.csr_marchid, 0, 0) @[dec_tlu_ctl.scala 2501:40] + node _T_2341 = bits(io.csr_pkt.csr_mimpid, 0, 0) @[dec_tlu_ctl.scala 2502:39] + node _T_2342 = bits(io.csr_pkt.csr_mhartid, 0, 0) @[dec_tlu_ctl.scala 2503:40] + node _T_2343 = cat(io.core_id, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_2344 = bits(io.csr_pkt.csr_mstatus, 0, 0) @[dec_tlu_ctl.scala 2504:40] + node _T_2345 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 2504:103] + node _T_2346 = bits(io.mstatus, 0, 0) @[dec_tlu_ctl.scala 2504:128] + node _T_2347 = cat(UInt<3>("h00"), _T_2346) @[Cat.scala 29:58] + node _T_2348 = cat(_T_2347, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2349 = cat(UInt<3>("h00"), _T_2345) @[Cat.scala 29:58] + node _T_2350 = cat(UInt<19>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2351 = cat(_T_2350, _T_2349) @[Cat.scala 29:58] + node _T_2352 = cat(_T_2351, _T_2348) @[Cat.scala 29:58] + node _T_2353 = bits(io.csr_pkt.csr_mtvec, 0, 0) @[dec_tlu_ctl.scala 2505:38] + node _T_2354 = bits(io.mtvec, 30, 1) @[dec_tlu_ctl.scala 2505:70] + node _T_2355 = bits(io.mtvec, 0, 0) @[dec_tlu_ctl.scala 2505:96] + node _T_2356 = cat(_T_2354, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2357 = cat(_T_2356, _T_2355) @[Cat.scala 29:58] + node _T_2358 = bits(io.csr_pkt.csr_mip, 0, 0) @[dec_tlu_ctl.scala 2506:36] + node _T_2359 = bits(io.mip, 5, 3) @[dec_tlu_ctl.scala 2506:78] + node _T_2360 = bits(io.mip, 2, 2) @[dec_tlu_ctl.scala 2506:102] + node _T_2361 = bits(io.mip, 1, 1) @[dec_tlu_ctl.scala 2506:123] + node _T_2362 = bits(io.mip, 0, 0) @[dec_tlu_ctl.scala 2506:144] + node _T_2363 = cat(_T_2362, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2364 = cat(_T_2361, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2365 = cat(_T_2364, _T_2363) @[Cat.scala 29:58] + node _T_2366 = cat(_T_2360, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2367 = cat(UInt<1>("h00"), _T_2359) @[Cat.scala 29:58] + node _T_2368 = cat(_T_2367, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2369 = cat(_T_2368, _T_2366) @[Cat.scala 29:58] + node _T_2370 = cat(_T_2369, _T_2365) @[Cat.scala 29:58] + node _T_2371 = bits(io.csr_pkt.csr_mie, 0, 0) @[dec_tlu_ctl.scala 2507:36] + node _T_2372 = bits(mie, 5, 3) @[dec_tlu_ctl.scala 2507:75] + node _T_2373 = bits(mie, 2, 2) @[dec_tlu_ctl.scala 2507:96] + node _T_2374 = bits(mie, 1, 1) @[dec_tlu_ctl.scala 2507:114] + node _T_2375 = bits(mie, 0, 0) @[dec_tlu_ctl.scala 2507:132] + node _T_2376 = cat(_T_2375, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2377 = cat(_T_2374, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2378 = cat(_T_2377, _T_2376) @[Cat.scala 29:58] + node _T_2379 = cat(_T_2373, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2380 = cat(UInt<1>("h00"), _T_2372) @[Cat.scala 29:58] + node _T_2381 = cat(_T_2380, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2382 = cat(_T_2381, _T_2379) @[Cat.scala 29:58] + node _T_2383 = cat(_T_2382, _T_2378) @[Cat.scala 29:58] + node _T_2384 = bits(io.csr_pkt.csr_mcyclel, 0, 0) @[dec_tlu_ctl.scala 2508:40] + node _T_2385 = bits(mcyclel, 31, 0) @[dec_tlu_ctl.scala 2508:65] + node _T_2386 = bits(io.csr_pkt.csr_mcycleh, 0, 0) @[dec_tlu_ctl.scala 2509:40] + node _T_2387 = bits(mcycleh_inc, 31, 0) @[dec_tlu_ctl.scala 2509:69] + node _T_2388 = bits(io.csr_pkt.csr_minstretl, 0, 0) @[dec_tlu_ctl.scala 2510:42] + node _T_2389 = bits(minstretl, 31, 0) @[dec_tlu_ctl.scala 2510:72] + node _T_2390 = bits(io.csr_pkt.csr_minstreth, 0, 0) @[dec_tlu_ctl.scala 2511:42] + node _T_2391 = bits(minstreth_inc, 31, 0) @[dec_tlu_ctl.scala 2511:72] + node _T_2392 = bits(io.csr_pkt.csr_mscratch, 0, 0) @[dec_tlu_ctl.scala 2512:41] + node _T_2393 = bits(mscratch, 31, 0) @[dec_tlu_ctl.scala 2512:66] + node _T_2394 = bits(io.csr_pkt.csr_mepc, 0, 0) @[dec_tlu_ctl.scala 2513:37] + node _T_2395 = cat(io.mepc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2396 = bits(io.csr_pkt.csr_mcause, 0, 0) @[dec_tlu_ctl.scala 2514:39] + node _T_2397 = bits(mcause, 31, 0) @[dec_tlu_ctl.scala 2514:64] + node _T_2398 = bits(io.csr_pkt.csr_mscause, 0, 0) @[dec_tlu_ctl.scala 2515:40] + node _T_2399 = bits(mscause, 3, 0) @[dec_tlu_ctl.scala 2515:80] + node _T_2400 = cat(UInt<28>("h00"), _T_2399) @[Cat.scala 29:58] + node _T_2401 = bits(io.csr_pkt.csr_mtval, 0, 0) @[dec_tlu_ctl.scala 2516:38] + node _T_2402 = bits(mtval, 31, 0) @[dec_tlu_ctl.scala 2516:63] + node _T_2403 = bits(io.csr_pkt.csr_mrac, 0, 0) @[dec_tlu_ctl.scala 2517:37] + node _T_2404 = bits(mrac, 31, 0) @[dec_tlu_ctl.scala 2517:62] + node _T_2405 = bits(io.csr_pkt.csr_mdseac, 0, 0) @[dec_tlu_ctl.scala 2518:39] + node _T_2406 = bits(mdseac, 31, 0) @[dec_tlu_ctl.scala 2518:64] + node _T_2407 = bits(io.csr_pkt.csr_meivt, 0, 0) @[dec_tlu_ctl.scala 2519:38] + node _T_2408 = cat(meivt, UInt<10>("h00")) @[Cat.scala 29:58] + node _T_2409 = bits(io.csr_pkt.csr_meihap, 0, 0) @[dec_tlu_ctl.scala 2520:39] + node _T_2410 = cat(meivt, meihap) @[Cat.scala 29:58] + node _T_2411 = cat(_T_2410, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_2412 = bits(io.csr_pkt.csr_meicurpl, 0, 0) @[dec_tlu_ctl.scala 2521:41] + node _T_2413 = bits(meicurpl, 3, 0) @[dec_tlu_ctl.scala 2521:81] + node _T_2414 = cat(UInt<28>("h00"), _T_2413) @[Cat.scala 29:58] + node _T_2415 = bits(io.csr_pkt.csr_meicidpl, 0, 0) @[dec_tlu_ctl.scala 2522:41] + node _T_2416 = bits(meicidpl, 3, 0) @[dec_tlu_ctl.scala 2522:81] + node _T_2417 = cat(UInt<28>("h00"), _T_2416) @[Cat.scala 29:58] + node _T_2418 = bits(io.csr_pkt.csr_meipt, 0, 0) @[dec_tlu_ctl.scala 2523:38] + node _T_2419 = bits(meipt, 3, 0) @[dec_tlu_ctl.scala 2523:78] + node _T_2420 = cat(UInt<28>("h00"), _T_2419) @[Cat.scala 29:58] + node _T_2421 = bits(io.csr_pkt.csr_mcgc, 0, 0) @[dec_tlu_ctl.scala 2524:37] + node _T_2422 = bits(mcgc, 8, 0) @[dec_tlu_ctl.scala 2524:77] + node _T_2423 = cat(UInt<23>("h00"), _T_2422) @[Cat.scala 29:58] + node _T_2424 = bits(io.csr_pkt.csr_mfdc, 0, 0) @[dec_tlu_ctl.scala 2525:37] + node _T_2425 = bits(mfdc, 18, 0) @[dec_tlu_ctl.scala 2525:77] + node _T_2426 = cat(UInt<13>("h00"), _T_2425) @[Cat.scala 29:58] + node _T_2427 = bits(io.csr_pkt.csr_dcsr, 0, 0) @[dec_tlu_ctl.scala 2526:37] + node _T_2428 = bits(io.dcsr, 15, 2) @[dec_tlu_ctl.scala 2526:85] + node _T_2429 = cat(UInt<16>("h04000"), _T_2428) @[Cat.scala 29:58] + node _T_2430 = cat(_T_2429, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2431 = bits(io.csr_pkt.csr_dpc, 0, 0) @[dec_tlu_ctl.scala 2527:36] + node _T_2432 = cat(io.dpc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2433 = bits(io.csr_pkt.csr_dicad0, 0, 0) @[dec_tlu_ctl.scala 2528:39] + node _T_2434 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2528:64] + node _T_2435 = bits(io.csr_pkt.csr_dicad0h, 0, 0) @[dec_tlu_ctl.scala 2529:40] + node _T_2436 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2529:65] + node _T_2437 = bits(io.csr_pkt.csr_dicad1, 0, 0) @[dec_tlu_ctl.scala 2530:39] + node _T_2438 = bits(dicad1, 31, 0) @[dec_tlu_ctl.scala 2530:64] + node _T_2439 = bits(io.csr_pkt.csr_dicawics, 0, 0) @[dec_tlu_ctl.scala 2531:41] + node _T_2440 = bits(dicawics, 16, 16) @[dec_tlu_ctl.scala 2531:80] + node _T_2441 = bits(dicawics, 15, 14) @[dec_tlu_ctl.scala 2531:104] + node _T_2442 = bits(dicawics, 13, 0) @[dec_tlu_ctl.scala 2531:131] + node _T_2443 = cat(UInt<3>("h00"), _T_2442) @[Cat.scala 29:58] + node _T_2444 = cat(_T_2443, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2445 = cat(UInt<2>("h00"), _T_2441) @[Cat.scala 29:58] + node _T_2446 = cat(UInt<7>("h00"), _T_2440) @[Cat.scala 29:58] + node _T_2447 = cat(_T_2446, _T_2445) @[Cat.scala 29:58] + node _T_2448 = cat(_T_2447, _T_2444) @[Cat.scala 29:58] + node _T_2449 = bits(io.csr_pkt.csr_mtsel, 0, 0) @[dec_tlu_ctl.scala 2532:38] + node _T_2450 = bits(mtsel, 1, 0) @[dec_tlu_ctl.scala 2532:78] + node _T_2451 = cat(UInt<30>("h00"), _T_2450) @[Cat.scala 29:58] + node _T_2452 = bits(io.csr_pkt.csr_mtdata1, 0, 0) @[dec_tlu_ctl.scala 2533:40] + node _T_2453 = bits(mtdata1_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2533:74] + node _T_2454 = bits(io.csr_pkt.csr_mtdata2, 0, 0) @[dec_tlu_ctl.scala 2534:40] + node _T_2455 = bits(mtdata2_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2534:74] + node _T_2456 = bits(io.csr_pkt.csr_micect, 0, 0) @[dec_tlu_ctl.scala 2535:39] + node _T_2457 = bits(micect, 31, 0) @[dec_tlu_ctl.scala 2535:64] + node _T_2458 = bits(io.csr_pkt.csr_miccmect, 0, 0) @[dec_tlu_ctl.scala 2536:41] + node _T_2459 = bits(miccmect, 31, 0) @[dec_tlu_ctl.scala 2536:66] + node _T_2460 = bits(io.csr_pkt.csr_mdccmect, 0, 0) @[dec_tlu_ctl.scala 2537:41] + node _T_2461 = bits(mdccmect, 31, 0) @[dec_tlu_ctl.scala 2537:66] + node _T_2462 = bits(io.csr_pkt.csr_mhpmc3, 0, 0) @[dec_tlu_ctl.scala 2538:39] + node _T_2463 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2538:64] + node _T_2464 = bits(io.csr_pkt.csr_mhpmc4, 0, 0) @[dec_tlu_ctl.scala 2539:39] + node _T_2465 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2539:64] + node _T_2466 = bits(io.csr_pkt.csr_mhpmc5, 0, 0) @[dec_tlu_ctl.scala 2540:39] + node _T_2467 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2540:64] + node _T_2468 = bits(io.csr_pkt.csr_mhpmc6, 0, 0) @[dec_tlu_ctl.scala 2541:39] + node _T_2469 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2541:64] + node _T_2470 = bits(io.csr_pkt.csr_mhpmc3h, 0, 0) @[dec_tlu_ctl.scala 2542:40] + node _T_2471 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2542:65] + node _T_2472 = bits(io.csr_pkt.csr_mhpmc4h, 0, 0) @[dec_tlu_ctl.scala 2543:40] + node _T_2473 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2543:65] + node _T_2474 = bits(io.csr_pkt.csr_mhpmc5h, 0, 0) @[dec_tlu_ctl.scala 2544:40] + node _T_2475 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2544:65] + node _T_2476 = bits(io.csr_pkt.csr_mhpmc6h, 0, 0) @[dec_tlu_ctl.scala 2545:40] + node _T_2477 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2545:65] + node _T_2478 = bits(io.csr_pkt.csr_mfdht, 0, 0) @[dec_tlu_ctl.scala 2546:38] + node _T_2479 = bits(mfdht, 5, 0) @[dec_tlu_ctl.scala 2546:78] + node _T_2480 = cat(UInt<26>("h00"), _T_2479) @[Cat.scala 29:58] + node _T_2481 = bits(io.csr_pkt.csr_mfdhs, 0, 0) @[dec_tlu_ctl.scala 2547:38] + node _T_2482 = bits(mfdhs, 1, 0) @[dec_tlu_ctl.scala 2547:78] + node _T_2483 = cat(UInt<30>("h00"), _T_2482) @[Cat.scala 29:58] + node _T_2484 = bits(io.csr_pkt.csr_mhpme3, 0, 0) @[dec_tlu_ctl.scala 2548:39] + node _T_2485 = bits(mhpme3, 9, 0) @[dec_tlu_ctl.scala 2548:79] + node _T_2486 = cat(UInt<22>("h00"), _T_2485) @[Cat.scala 29:58] + node _T_2487 = bits(io.csr_pkt.csr_mhpme4, 0, 0) @[dec_tlu_ctl.scala 2549:39] + node _T_2488 = bits(mhpme4, 9, 0) @[dec_tlu_ctl.scala 2549:79] + node _T_2489 = cat(UInt<22>("h00"), _T_2488) @[Cat.scala 29:58] + node _T_2490 = bits(io.csr_pkt.csr_mhpme5, 0, 0) @[dec_tlu_ctl.scala 2550:39] + node _T_2491 = bits(mhpme5, 9, 0) @[dec_tlu_ctl.scala 2550:78] + node _T_2492 = cat(UInt<22>("h00"), _T_2491) @[Cat.scala 29:58] + node _T_2493 = bits(io.csr_pkt.csr_mhpme6, 0, 0) @[dec_tlu_ctl.scala 2551:39] + node _T_2494 = bits(mhpme6, 9, 0) @[dec_tlu_ctl.scala 2551:78] + node _T_2495 = cat(UInt<22>("h00"), _T_2494) @[Cat.scala 29:58] + node _T_2496 = bits(io.csr_pkt.csr_mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2552:46] + node _T_2497 = bits(mcountinhibit, 6, 0) @[dec_tlu_ctl.scala 2552:86] + node _T_2498 = cat(UInt<25>("h00"), _T_2497) @[Cat.scala 29:58] + node _T_2499 = bits(io.csr_pkt.csr_mpmc, 0, 0) @[dec_tlu_ctl.scala 2553:37] + node _T_2500 = cat(UInt<30>("h00"), mpmc) @[Cat.scala 29:58] + node _T_2501 = cat(_T_2500, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2502 = bits(io.dec_timer_read_d, 0, 0) @[dec_tlu_ctl.scala 2554:37] + node _T_2503 = bits(io.dec_timer_rddata_d, 31, 0) @[dec_tlu_ctl.scala 2554:76] + node _T_2504 = mux(_T_2338, UInt<32>("h040001104"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2505 = mux(_T_2339, UInt<32>("h045"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2506 = mux(_T_2340, UInt<32>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2507 = mux(_T_2341, UInt<32>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2508 = mux(_T_2342, _T_2343, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2509 = mux(_T_2344, _T_2352, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2510 = mux(_T_2353, _T_2357, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2511 = mux(_T_2358, _T_2370, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2512 = mux(_T_2371, _T_2383, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2513 = mux(_T_2384, _T_2385, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2514 = mux(_T_2386, _T_2387, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2515 = mux(_T_2388, _T_2389, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2516 = mux(_T_2390, _T_2391, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2517 = mux(_T_2392, _T_2393, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2518 = mux(_T_2394, _T_2395, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2519 = mux(_T_2396, _T_2397, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2520 = mux(_T_2398, _T_2400, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2521 = mux(_T_2401, _T_2402, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2522 = mux(_T_2403, _T_2404, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2523 = mux(_T_2405, _T_2406, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2524 = mux(_T_2407, _T_2408, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2525 = mux(_T_2409, _T_2411, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2526 = mux(_T_2412, _T_2414, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2527 = mux(_T_2415, _T_2417, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2528 = mux(_T_2418, _T_2420, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2529 = mux(_T_2421, _T_2423, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2530 = mux(_T_2424, _T_2426, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2531 = mux(_T_2427, _T_2430, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2532 = mux(_T_2431, _T_2432, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2533 = mux(_T_2433, _T_2434, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2534 = mux(_T_2435, _T_2436, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2535 = mux(_T_2437, _T_2438, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2536 = mux(_T_2439, _T_2448, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2537 = mux(_T_2449, _T_2451, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2538 = mux(_T_2452, _T_2453, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2539 = mux(_T_2454, _T_2455, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2540 = mux(_T_2456, _T_2457, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2541 = mux(_T_2458, _T_2459, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2542 = mux(_T_2460, _T_2461, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2543 = mux(_T_2462, _T_2463, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2544 = mux(_T_2464, _T_2465, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2545 = mux(_T_2466, _T_2467, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2546 = mux(_T_2468, _T_2469, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2547 = mux(_T_2470, _T_2471, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2548 = mux(_T_2472, _T_2473, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2549 = mux(_T_2474, _T_2475, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2550 = mux(_T_2476, _T_2477, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2551 = mux(_T_2478, _T_2480, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2552 = mux(_T_2481, _T_2483, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2553 = mux(_T_2484, _T_2486, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2554 = mux(_T_2487, _T_2489, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2555 = mux(_T_2490, _T_2492, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2556 = mux(_T_2493, _T_2495, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2557 = mux(_T_2496, _T_2498, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2558 = mux(_T_2499, _T_2501, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2559 = mux(_T_2502, _T_2503, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2560 = or(_T_2504, _T_2505) @[Mux.scala 27:72] + node _T_2561 = or(_T_2560, _T_2506) @[Mux.scala 27:72] + node _T_2562 = or(_T_2561, _T_2507) @[Mux.scala 27:72] node _T_2563 = or(_T_2562, _T_2508) @[Mux.scala 27:72] node _T_2564 = or(_T_2563, _T_2509) @[Mux.scala 27:72] node _T_2565 = or(_T_2564, _T_2510) @[Mux.scala 27:72] @@ -76168,11 +76168,9 @@ circuit quasar_wrapper : node _T_2612 = or(_T_2611, _T_2557) @[Mux.scala 27:72] node _T_2613 = or(_T_2612, _T_2558) @[Mux.scala 27:72] node _T_2614 = or(_T_2613, _T_2559) @[Mux.scala 27:72] - node _T_2615 = or(_T_2614, _T_2560) @[Mux.scala 27:72] - node _T_2616 = or(_T_2615, _T_2561) @[Mux.scala 27:72] - wire _T_2617 : UInt @[Mux.scala 27:72] - _T_2617 <= _T_2616 @[Mux.scala 27:72] - io.dec_csr_rddata_d <= _T_2617 @[dec_tlu_ctl.scala 2498:21] + wire _T_2615 : UInt @[Mux.scala 27:72] + _T_2615 <= _T_2614 @[Mux.scala 27:72] + io.dec_csr_rddata_d <= _T_2615 @[dec_tlu_ctl.scala 2498:21] module dec_decode_csr_read : input clock : Clock @@ -80309,888 +80307,884 @@ circuit quasar_wrapper : node _T_403 = cat(_T_402, _T_399) @[lib.scala 89:14] node _T_404 = cat(_T_403, _T_396) @[lib.scala 89:14] node _T_405 = cat(_T_404, _T_389) @[lib.scala 89:14] - node _T_406 = andr(_T_405) @[lib.scala 89:25] - node _T_407 = and(_T_148, _T_406) @[dec_trigger.scala 15:109] - node _T_408 = and(io.trigger_pkt_any[1].execute, io.trigger_pkt_any[1].m) @[dec_trigger.scala 15:83] - node _T_409 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[dec_trigger.scala 15:216] - wire _T_410 : UInt<1>[32] @[lib.scala 84:24] - node _T_411 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 85:45] - node _T_412 = not(_T_411) @[lib.scala 85:39] - node _T_413 = and(_T_409, _T_412) @[lib.scala 85:37] - node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 86:48] - node _T_415 = bits(dec_i0_match_data[1], 0, 0) @[lib.scala 86:60] - node _T_416 = eq(_T_414, _T_415) @[lib.scala 86:52] - node _T_417 = or(_T_413, _T_416) @[lib.scala 86:41] - _T_410[0] <= _T_417 @[lib.scala 86:18] - node _T_418 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 88:28] - node _T_419 = andr(_T_418) @[lib.scala 88:36] - node _T_420 = and(_T_419, _T_413) @[lib.scala 88:41] - node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 88:74] - node _T_422 = bits(dec_i0_match_data[1], 1, 1) @[lib.scala 88:86] - node _T_423 = eq(_T_421, _T_422) @[lib.scala 88:78] - node _T_424 = mux(_T_420, UInt<1>("h01"), _T_423) @[lib.scala 88:23] - _T_410[1] <= _T_424 @[lib.scala 88:17] - node _T_425 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 88:28] - node _T_426 = andr(_T_425) @[lib.scala 88:36] - node _T_427 = and(_T_426, _T_413) @[lib.scala 88:41] - node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 88:74] - node _T_429 = bits(dec_i0_match_data[1], 2, 2) @[lib.scala 88:86] - node _T_430 = eq(_T_428, _T_429) @[lib.scala 88:78] - node _T_431 = mux(_T_427, UInt<1>("h01"), _T_430) @[lib.scala 88:23] - _T_410[2] <= _T_431 @[lib.scala 88:17] - node _T_432 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 88:28] - node _T_433 = andr(_T_432) @[lib.scala 88:36] - node _T_434 = and(_T_433, _T_413) @[lib.scala 88:41] - node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 88:74] - node _T_436 = bits(dec_i0_match_data[1], 3, 3) @[lib.scala 88:86] - node _T_437 = eq(_T_435, _T_436) @[lib.scala 88:78] - node _T_438 = mux(_T_434, UInt<1>("h01"), _T_437) @[lib.scala 88:23] - _T_410[3] <= _T_438 @[lib.scala 88:17] - node _T_439 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 88:28] - node _T_440 = andr(_T_439) @[lib.scala 88:36] - node _T_441 = and(_T_440, _T_413) @[lib.scala 88:41] - node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 88:74] - node _T_443 = bits(dec_i0_match_data[1], 4, 4) @[lib.scala 88:86] - node _T_444 = eq(_T_442, _T_443) @[lib.scala 88:78] - node _T_445 = mux(_T_441, UInt<1>("h01"), _T_444) @[lib.scala 88:23] - _T_410[4] <= _T_445 @[lib.scala 88:17] - node _T_446 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 88:28] - node _T_447 = andr(_T_446) @[lib.scala 88:36] - node _T_448 = and(_T_447, _T_413) @[lib.scala 88:41] - node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 88:74] - node _T_450 = bits(dec_i0_match_data[1], 5, 5) @[lib.scala 88:86] - node _T_451 = eq(_T_449, _T_450) @[lib.scala 88:78] - node _T_452 = mux(_T_448, UInt<1>("h01"), _T_451) @[lib.scala 88:23] - _T_410[5] <= _T_452 @[lib.scala 88:17] - node _T_453 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 88:28] - node _T_454 = andr(_T_453) @[lib.scala 88:36] - node _T_455 = and(_T_454, _T_413) @[lib.scala 88:41] - node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 88:74] - node _T_457 = bits(dec_i0_match_data[1], 6, 6) @[lib.scala 88:86] - node _T_458 = eq(_T_456, _T_457) @[lib.scala 88:78] - node _T_459 = mux(_T_455, UInt<1>("h01"), _T_458) @[lib.scala 88:23] - _T_410[6] <= _T_459 @[lib.scala 88:17] - node _T_460 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 88:28] - node _T_461 = andr(_T_460) @[lib.scala 88:36] - node _T_462 = and(_T_461, _T_413) @[lib.scala 88:41] - node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 88:74] - node _T_464 = bits(dec_i0_match_data[1], 7, 7) @[lib.scala 88:86] - node _T_465 = eq(_T_463, _T_464) @[lib.scala 88:78] - node _T_466 = mux(_T_462, UInt<1>("h01"), _T_465) @[lib.scala 88:23] - _T_410[7] <= _T_466 @[lib.scala 88:17] - node _T_467 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 88:28] - node _T_468 = andr(_T_467) @[lib.scala 88:36] - node _T_469 = and(_T_468, _T_413) @[lib.scala 88:41] - node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 88:74] - node _T_471 = bits(dec_i0_match_data[1], 8, 8) @[lib.scala 88:86] - node _T_472 = eq(_T_470, _T_471) @[lib.scala 88:78] - node _T_473 = mux(_T_469, UInt<1>("h01"), _T_472) @[lib.scala 88:23] - _T_410[8] <= _T_473 @[lib.scala 88:17] - node _T_474 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 88:28] - node _T_475 = andr(_T_474) @[lib.scala 88:36] - node _T_476 = and(_T_475, _T_413) @[lib.scala 88:41] - node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 88:74] - node _T_478 = bits(dec_i0_match_data[1], 9, 9) @[lib.scala 88:86] - node _T_479 = eq(_T_477, _T_478) @[lib.scala 88:78] - node _T_480 = mux(_T_476, UInt<1>("h01"), _T_479) @[lib.scala 88:23] - _T_410[9] <= _T_480 @[lib.scala 88:17] - node _T_481 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 88:28] - node _T_482 = andr(_T_481) @[lib.scala 88:36] - node _T_483 = and(_T_482, _T_413) @[lib.scala 88:41] - node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 88:74] - node _T_485 = bits(dec_i0_match_data[1], 10, 10) @[lib.scala 88:86] - node _T_486 = eq(_T_484, _T_485) @[lib.scala 88:78] - node _T_487 = mux(_T_483, UInt<1>("h01"), _T_486) @[lib.scala 88:23] - _T_410[10] <= _T_487 @[lib.scala 88:17] - node _T_488 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 88:28] - node _T_489 = andr(_T_488) @[lib.scala 88:36] - node _T_490 = and(_T_489, _T_413) @[lib.scala 88:41] - node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 88:74] - node _T_492 = bits(dec_i0_match_data[1], 11, 11) @[lib.scala 88:86] - node _T_493 = eq(_T_491, _T_492) @[lib.scala 88:78] - node _T_494 = mux(_T_490, UInt<1>("h01"), _T_493) @[lib.scala 88:23] - _T_410[11] <= _T_494 @[lib.scala 88:17] - node _T_495 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 88:28] - node _T_496 = andr(_T_495) @[lib.scala 88:36] - node _T_497 = and(_T_496, _T_413) @[lib.scala 88:41] - node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 88:74] - node _T_499 = bits(dec_i0_match_data[1], 12, 12) @[lib.scala 88:86] - node _T_500 = eq(_T_498, _T_499) @[lib.scala 88:78] - node _T_501 = mux(_T_497, UInt<1>("h01"), _T_500) @[lib.scala 88:23] - _T_410[12] <= _T_501 @[lib.scala 88:17] - node _T_502 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 88:28] - node _T_503 = andr(_T_502) @[lib.scala 88:36] - node _T_504 = and(_T_503, _T_413) @[lib.scala 88:41] - node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 88:74] - node _T_506 = bits(dec_i0_match_data[1], 13, 13) @[lib.scala 88:86] - node _T_507 = eq(_T_505, _T_506) @[lib.scala 88:78] - node _T_508 = mux(_T_504, UInt<1>("h01"), _T_507) @[lib.scala 88:23] - _T_410[13] <= _T_508 @[lib.scala 88:17] - node _T_509 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 88:28] - node _T_510 = andr(_T_509) @[lib.scala 88:36] - node _T_511 = and(_T_510, _T_413) @[lib.scala 88:41] - node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 88:74] - node _T_513 = bits(dec_i0_match_data[1], 14, 14) @[lib.scala 88:86] - node _T_514 = eq(_T_512, _T_513) @[lib.scala 88:78] - node _T_515 = mux(_T_511, UInt<1>("h01"), _T_514) @[lib.scala 88:23] - _T_410[14] <= _T_515 @[lib.scala 88:17] - node _T_516 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 88:28] - node _T_517 = andr(_T_516) @[lib.scala 88:36] - node _T_518 = and(_T_517, _T_413) @[lib.scala 88:41] - node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 88:74] - node _T_520 = bits(dec_i0_match_data[1], 15, 15) @[lib.scala 88:86] - node _T_521 = eq(_T_519, _T_520) @[lib.scala 88:78] - node _T_522 = mux(_T_518, UInt<1>("h01"), _T_521) @[lib.scala 88:23] - _T_410[15] <= _T_522 @[lib.scala 88:17] - node _T_523 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 88:28] - node _T_524 = andr(_T_523) @[lib.scala 88:36] - node _T_525 = and(_T_524, _T_413) @[lib.scala 88:41] - node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 88:74] - node _T_527 = bits(dec_i0_match_data[1], 16, 16) @[lib.scala 88:86] - node _T_528 = eq(_T_526, _T_527) @[lib.scala 88:78] - node _T_529 = mux(_T_525, UInt<1>("h01"), _T_528) @[lib.scala 88:23] - _T_410[16] <= _T_529 @[lib.scala 88:17] - node _T_530 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 88:28] - node _T_531 = andr(_T_530) @[lib.scala 88:36] - node _T_532 = and(_T_531, _T_413) @[lib.scala 88:41] - node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 88:74] - node _T_534 = bits(dec_i0_match_data[1], 17, 17) @[lib.scala 88:86] - node _T_535 = eq(_T_533, _T_534) @[lib.scala 88:78] - node _T_536 = mux(_T_532, UInt<1>("h01"), _T_535) @[lib.scala 88:23] - _T_410[17] <= _T_536 @[lib.scala 88:17] - node _T_537 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 88:28] - node _T_538 = andr(_T_537) @[lib.scala 88:36] - node _T_539 = and(_T_538, _T_413) @[lib.scala 88:41] - node _T_540 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 88:74] - node _T_541 = bits(dec_i0_match_data[1], 18, 18) @[lib.scala 88:86] - node _T_542 = eq(_T_540, _T_541) @[lib.scala 88:78] - node _T_543 = mux(_T_539, UInt<1>("h01"), _T_542) @[lib.scala 88:23] - _T_410[18] <= _T_543 @[lib.scala 88:17] - node _T_544 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 88:28] - node _T_545 = andr(_T_544) @[lib.scala 88:36] - node _T_546 = and(_T_545, _T_413) @[lib.scala 88:41] - node _T_547 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 88:74] - node _T_548 = bits(dec_i0_match_data[1], 19, 19) @[lib.scala 88:86] - node _T_549 = eq(_T_547, _T_548) @[lib.scala 88:78] - node _T_550 = mux(_T_546, UInt<1>("h01"), _T_549) @[lib.scala 88:23] - _T_410[19] <= _T_550 @[lib.scala 88:17] - node _T_551 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 88:28] - node _T_552 = andr(_T_551) @[lib.scala 88:36] - node _T_553 = and(_T_552, _T_413) @[lib.scala 88:41] - node _T_554 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 88:74] - node _T_555 = bits(dec_i0_match_data[1], 20, 20) @[lib.scala 88:86] - node _T_556 = eq(_T_554, _T_555) @[lib.scala 88:78] - node _T_557 = mux(_T_553, UInt<1>("h01"), _T_556) @[lib.scala 88:23] - _T_410[20] <= _T_557 @[lib.scala 88:17] - node _T_558 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 88:28] - node _T_559 = andr(_T_558) @[lib.scala 88:36] - node _T_560 = and(_T_559, _T_413) @[lib.scala 88:41] - node _T_561 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 88:74] - node _T_562 = bits(dec_i0_match_data[1], 21, 21) @[lib.scala 88:86] - node _T_563 = eq(_T_561, _T_562) @[lib.scala 88:78] - node _T_564 = mux(_T_560, UInt<1>("h01"), _T_563) @[lib.scala 88:23] - _T_410[21] <= _T_564 @[lib.scala 88:17] - node _T_565 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 88:28] - node _T_566 = andr(_T_565) @[lib.scala 88:36] - node _T_567 = and(_T_566, _T_413) @[lib.scala 88:41] - node _T_568 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 88:74] - node _T_569 = bits(dec_i0_match_data[1], 22, 22) @[lib.scala 88:86] - node _T_570 = eq(_T_568, _T_569) @[lib.scala 88:78] - node _T_571 = mux(_T_567, UInt<1>("h01"), _T_570) @[lib.scala 88:23] - _T_410[22] <= _T_571 @[lib.scala 88:17] - node _T_572 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 88:28] - node _T_573 = andr(_T_572) @[lib.scala 88:36] - node _T_574 = and(_T_573, _T_413) @[lib.scala 88:41] - node _T_575 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 88:74] - node _T_576 = bits(dec_i0_match_data[1], 23, 23) @[lib.scala 88:86] - node _T_577 = eq(_T_575, _T_576) @[lib.scala 88:78] - node _T_578 = mux(_T_574, UInt<1>("h01"), _T_577) @[lib.scala 88:23] - _T_410[23] <= _T_578 @[lib.scala 88:17] - node _T_579 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 88:28] - node _T_580 = andr(_T_579) @[lib.scala 88:36] - node _T_581 = and(_T_580, _T_413) @[lib.scala 88:41] - node _T_582 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 88:74] - node _T_583 = bits(dec_i0_match_data[1], 24, 24) @[lib.scala 88:86] - node _T_584 = eq(_T_582, _T_583) @[lib.scala 88:78] - node _T_585 = mux(_T_581, UInt<1>("h01"), _T_584) @[lib.scala 88:23] - _T_410[24] <= _T_585 @[lib.scala 88:17] - node _T_586 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 88:28] - node _T_587 = andr(_T_586) @[lib.scala 88:36] - node _T_588 = and(_T_587, _T_413) @[lib.scala 88:41] - node _T_589 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 88:74] - node _T_590 = bits(dec_i0_match_data[1], 25, 25) @[lib.scala 88:86] - node _T_591 = eq(_T_589, _T_590) @[lib.scala 88:78] - node _T_592 = mux(_T_588, UInt<1>("h01"), _T_591) @[lib.scala 88:23] - _T_410[25] <= _T_592 @[lib.scala 88:17] - node _T_593 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 88:28] - node _T_594 = andr(_T_593) @[lib.scala 88:36] - node _T_595 = and(_T_594, _T_413) @[lib.scala 88:41] - node _T_596 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 88:74] - node _T_597 = bits(dec_i0_match_data[1], 26, 26) @[lib.scala 88:86] - node _T_598 = eq(_T_596, _T_597) @[lib.scala 88:78] - node _T_599 = mux(_T_595, UInt<1>("h01"), _T_598) @[lib.scala 88:23] - _T_410[26] <= _T_599 @[lib.scala 88:17] - node _T_600 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 88:28] - node _T_601 = andr(_T_600) @[lib.scala 88:36] - node _T_602 = and(_T_601, _T_413) @[lib.scala 88:41] - node _T_603 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 88:74] - node _T_604 = bits(dec_i0_match_data[1], 27, 27) @[lib.scala 88:86] - node _T_605 = eq(_T_603, _T_604) @[lib.scala 88:78] - node _T_606 = mux(_T_602, UInt<1>("h01"), _T_605) @[lib.scala 88:23] - _T_410[27] <= _T_606 @[lib.scala 88:17] - node _T_607 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 88:28] - node _T_608 = andr(_T_607) @[lib.scala 88:36] - node _T_609 = and(_T_608, _T_413) @[lib.scala 88:41] - node _T_610 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 88:74] - node _T_611 = bits(dec_i0_match_data[1], 28, 28) @[lib.scala 88:86] - node _T_612 = eq(_T_610, _T_611) @[lib.scala 88:78] - node _T_613 = mux(_T_609, UInt<1>("h01"), _T_612) @[lib.scala 88:23] - _T_410[28] <= _T_613 @[lib.scala 88:17] - node _T_614 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 88:28] - node _T_615 = andr(_T_614) @[lib.scala 88:36] - node _T_616 = and(_T_615, _T_413) @[lib.scala 88:41] - node _T_617 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 88:74] - node _T_618 = bits(dec_i0_match_data[1], 29, 29) @[lib.scala 88:86] - node _T_619 = eq(_T_617, _T_618) @[lib.scala 88:78] - node _T_620 = mux(_T_616, UInt<1>("h01"), _T_619) @[lib.scala 88:23] - _T_410[29] <= _T_620 @[lib.scala 88:17] - node _T_621 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 88:28] - node _T_622 = andr(_T_621) @[lib.scala 88:36] - node _T_623 = and(_T_622, _T_413) @[lib.scala 88:41] - node _T_624 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 88:74] - node _T_625 = bits(dec_i0_match_data[1], 30, 30) @[lib.scala 88:86] - node _T_626 = eq(_T_624, _T_625) @[lib.scala 88:78] - node _T_627 = mux(_T_623, UInt<1>("h01"), _T_626) @[lib.scala 88:23] - _T_410[30] <= _T_627 @[lib.scala 88:17] - node _T_628 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 88:28] - node _T_629 = andr(_T_628) @[lib.scala 88:36] - node _T_630 = and(_T_629, _T_413) @[lib.scala 88:41] - node _T_631 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 88:74] - node _T_632 = bits(dec_i0_match_data[1], 31, 31) @[lib.scala 88:86] - node _T_633 = eq(_T_631, _T_632) @[lib.scala 88:78] - node _T_634 = mux(_T_630, UInt<1>("h01"), _T_633) @[lib.scala 88:23] - _T_410[31] <= _T_634 @[lib.scala 88:17] - node _T_635 = cat(_T_410[1], _T_410[0]) @[lib.scala 89:14] - node _T_636 = cat(_T_410[3], _T_410[2]) @[lib.scala 89:14] - node _T_637 = cat(_T_636, _T_635) @[lib.scala 89:14] - node _T_638 = cat(_T_410[5], _T_410[4]) @[lib.scala 89:14] - node _T_639 = cat(_T_410[7], _T_410[6]) @[lib.scala 89:14] - node _T_640 = cat(_T_639, _T_638) @[lib.scala 89:14] - node _T_641 = cat(_T_640, _T_637) @[lib.scala 89:14] - node _T_642 = cat(_T_410[9], _T_410[8]) @[lib.scala 89:14] - node _T_643 = cat(_T_410[11], _T_410[10]) @[lib.scala 89:14] - node _T_644 = cat(_T_643, _T_642) @[lib.scala 89:14] - node _T_645 = cat(_T_410[13], _T_410[12]) @[lib.scala 89:14] - node _T_646 = cat(_T_410[15], _T_410[14]) @[lib.scala 89:14] - node _T_647 = cat(_T_646, _T_645) @[lib.scala 89:14] - node _T_648 = cat(_T_647, _T_644) @[lib.scala 89:14] - node _T_649 = cat(_T_648, _T_641) @[lib.scala 89:14] - node _T_650 = cat(_T_410[17], _T_410[16]) @[lib.scala 89:14] - node _T_651 = cat(_T_410[19], _T_410[18]) @[lib.scala 89:14] - node _T_652 = cat(_T_651, _T_650) @[lib.scala 89:14] - node _T_653 = cat(_T_410[21], _T_410[20]) @[lib.scala 89:14] - node _T_654 = cat(_T_410[23], _T_410[22]) @[lib.scala 89:14] - node _T_655 = cat(_T_654, _T_653) @[lib.scala 89:14] - node _T_656 = cat(_T_655, _T_652) @[lib.scala 89:14] - node _T_657 = cat(_T_410[25], _T_410[24]) @[lib.scala 89:14] - node _T_658 = cat(_T_410[27], _T_410[26]) @[lib.scala 89:14] - node _T_659 = cat(_T_658, _T_657) @[lib.scala 89:14] - node _T_660 = cat(_T_410[29], _T_410[28]) @[lib.scala 89:14] - node _T_661 = cat(_T_410[31], _T_410[30]) @[lib.scala 89:14] - node _T_662 = cat(_T_661, _T_660) @[lib.scala 89:14] - node _T_663 = cat(_T_662, _T_659) @[lib.scala 89:14] - node _T_664 = cat(_T_663, _T_656) @[lib.scala 89:14] - node _T_665 = cat(_T_664, _T_649) @[lib.scala 89:14] - node _T_666 = andr(_T_665) @[lib.scala 89:25] - node _T_667 = and(_T_408, _T_666) @[dec_trigger.scala 15:109] - node _T_668 = and(io.trigger_pkt_any[2].execute, io.trigger_pkt_any[2].m) @[dec_trigger.scala 15:83] - node _T_669 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[dec_trigger.scala 15:216] - wire _T_670 : UInt<1>[32] @[lib.scala 84:24] - node _T_671 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 85:45] - node _T_672 = not(_T_671) @[lib.scala 85:39] - node _T_673 = and(_T_669, _T_672) @[lib.scala 85:37] - node _T_674 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 86:48] - node _T_675 = bits(dec_i0_match_data[2], 0, 0) @[lib.scala 86:60] - node _T_676 = eq(_T_674, _T_675) @[lib.scala 86:52] - node _T_677 = or(_T_673, _T_676) @[lib.scala 86:41] - _T_670[0] <= _T_677 @[lib.scala 86:18] - node _T_678 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 88:28] - node _T_679 = andr(_T_678) @[lib.scala 88:36] - node _T_680 = and(_T_679, _T_673) @[lib.scala 88:41] - node _T_681 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 88:74] - node _T_682 = bits(dec_i0_match_data[2], 1, 1) @[lib.scala 88:86] - node _T_683 = eq(_T_681, _T_682) @[lib.scala 88:78] - node _T_684 = mux(_T_680, UInt<1>("h01"), _T_683) @[lib.scala 88:23] - _T_670[1] <= _T_684 @[lib.scala 88:17] - node _T_685 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 88:28] - node _T_686 = andr(_T_685) @[lib.scala 88:36] - node _T_687 = and(_T_686, _T_673) @[lib.scala 88:41] - node _T_688 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 88:74] - node _T_689 = bits(dec_i0_match_data[2], 2, 2) @[lib.scala 88:86] - node _T_690 = eq(_T_688, _T_689) @[lib.scala 88:78] - node _T_691 = mux(_T_687, UInt<1>("h01"), _T_690) @[lib.scala 88:23] - _T_670[2] <= _T_691 @[lib.scala 88:17] - node _T_692 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 88:28] - node _T_693 = andr(_T_692) @[lib.scala 88:36] - node _T_694 = and(_T_693, _T_673) @[lib.scala 88:41] - node _T_695 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 88:74] - node _T_696 = bits(dec_i0_match_data[2], 3, 3) @[lib.scala 88:86] - node _T_697 = eq(_T_695, _T_696) @[lib.scala 88:78] - node _T_698 = mux(_T_694, UInt<1>("h01"), _T_697) @[lib.scala 88:23] - _T_670[3] <= _T_698 @[lib.scala 88:17] - node _T_699 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 88:28] - node _T_700 = andr(_T_699) @[lib.scala 88:36] - node _T_701 = and(_T_700, _T_673) @[lib.scala 88:41] - node _T_702 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 88:74] - node _T_703 = bits(dec_i0_match_data[2], 4, 4) @[lib.scala 88:86] - node _T_704 = eq(_T_702, _T_703) @[lib.scala 88:78] - node _T_705 = mux(_T_701, UInt<1>("h01"), _T_704) @[lib.scala 88:23] - _T_670[4] <= _T_705 @[lib.scala 88:17] - node _T_706 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 88:28] - node _T_707 = andr(_T_706) @[lib.scala 88:36] - node _T_708 = and(_T_707, _T_673) @[lib.scala 88:41] - node _T_709 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 88:74] - node _T_710 = bits(dec_i0_match_data[2], 5, 5) @[lib.scala 88:86] - node _T_711 = eq(_T_709, _T_710) @[lib.scala 88:78] - node _T_712 = mux(_T_708, UInt<1>("h01"), _T_711) @[lib.scala 88:23] - _T_670[5] <= _T_712 @[lib.scala 88:17] - node _T_713 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 88:28] - node _T_714 = andr(_T_713) @[lib.scala 88:36] - node _T_715 = and(_T_714, _T_673) @[lib.scala 88:41] - node _T_716 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 88:74] - node _T_717 = bits(dec_i0_match_data[2], 6, 6) @[lib.scala 88:86] - node _T_718 = eq(_T_716, _T_717) @[lib.scala 88:78] - node _T_719 = mux(_T_715, UInt<1>("h01"), _T_718) @[lib.scala 88:23] - _T_670[6] <= _T_719 @[lib.scala 88:17] - node _T_720 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 88:28] - node _T_721 = andr(_T_720) @[lib.scala 88:36] - node _T_722 = and(_T_721, _T_673) @[lib.scala 88:41] - node _T_723 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 88:74] - node _T_724 = bits(dec_i0_match_data[2], 7, 7) @[lib.scala 88:86] - node _T_725 = eq(_T_723, _T_724) @[lib.scala 88:78] - node _T_726 = mux(_T_722, UInt<1>("h01"), _T_725) @[lib.scala 88:23] - _T_670[7] <= _T_726 @[lib.scala 88:17] - node _T_727 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 88:28] - node _T_728 = andr(_T_727) @[lib.scala 88:36] - node _T_729 = and(_T_728, _T_673) @[lib.scala 88:41] - node _T_730 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 88:74] - node _T_731 = bits(dec_i0_match_data[2], 8, 8) @[lib.scala 88:86] - node _T_732 = eq(_T_730, _T_731) @[lib.scala 88:78] - node _T_733 = mux(_T_729, UInt<1>("h01"), _T_732) @[lib.scala 88:23] - _T_670[8] <= _T_733 @[lib.scala 88:17] - node _T_734 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 88:28] - node _T_735 = andr(_T_734) @[lib.scala 88:36] - node _T_736 = and(_T_735, _T_673) @[lib.scala 88:41] - node _T_737 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 88:74] - node _T_738 = bits(dec_i0_match_data[2], 9, 9) @[lib.scala 88:86] - node _T_739 = eq(_T_737, _T_738) @[lib.scala 88:78] - node _T_740 = mux(_T_736, UInt<1>("h01"), _T_739) @[lib.scala 88:23] - _T_670[9] <= _T_740 @[lib.scala 88:17] - node _T_741 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 88:28] - node _T_742 = andr(_T_741) @[lib.scala 88:36] - node _T_743 = and(_T_742, _T_673) @[lib.scala 88:41] - node _T_744 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 88:74] - node _T_745 = bits(dec_i0_match_data[2], 10, 10) @[lib.scala 88:86] - node _T_746 = eq(_T_744, _T_745) @[lib.scala 88:78] - node _T_747 = mux(_T_743, UInt<1>("h01"), _T_746) @[lib.scala 88:23] - _T_670[10] <= _T_747 @[lib.scala 88:17] - node _T_748 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 88:28] - node _T_749 = andr(_T_748) @[lib.scala 88:36] - node _T_750 = and(_T_749, _T_673) @[lib.scala 88:41] - node _T_751 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 88:74] - node _T_752 = bits(dec_i0_match_data[2], 11, 11) @[lib.scala 88:86] - node _T_753 = eq(_T_751, _T_752) @[lib.scala 88:78] - node _T_754 = mux(_T_750, UInt<1>("h01"), _T_753) @[lib.scala 88:23] - _T_670[11] <= _T_754 @[lib.scala 88:17] - node _T_755 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 88:28] - node _T_756 = andr(_T_755) @[lib.scala 88:36] - node _T_757 = and(_T_756, _T_673) @[lib.scala 88:41] - node _T_758 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 88:74] - node _T_759 = bits(dec_i0_match_data[2], 12, 12) @[lib.scala 88:86] - node _T_760 = eq(_T_758, _T_759) @[lib.scala 88:78] - node _T_761 = mux(_T_757, UInt<1>("h01"), _T_760) @[lib.scala 88:23] - _T_670[12] <= _T_761 @[lib.scala 88:17] - node _T_762 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 88:28] - node _T_763 = andr(_T_762) @[lib.scala 88:36] - node _T_764 = and(_T_763, _T_673) @[lib.scala 88:41] - node _T_765 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 88:74] - node _T_766 = bits(dec_i0_match_data[2], 13, 13) @[lib.scala 88:86] - node _T_767 = eq(_T_765, _T_766) @[lib.scala 88:78] - node _T_768 = mux(_T_764, UInt<1>("h01"), _T_767) @[lib.scala 88:23] - _T_670[13] <= _T_768 @[lib.scala 88:17] - node _T_769 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 88:28] - node _T_770 = andr(_T_769) @[lib.scala 88:36] - node _T_771 = and(_T_770, _T_673) @[lib.scala 88:41] - node _T_772 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 88:74] - node _T_773 = bits(dec_i0_match_data[2], 14, 14) @[lib.scala 88:86] - node _T_774 = eq(_T_772, _T_773) @[lib.scala 88:78] - node _T_775 = mux(_T_771, UInt<1>("h01"), _T_774) @[lib.scala 88:23] - _T_670[14] <= _T_775 @[lib.scala 88:17] - node _T_776 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 88:28] - node _T_777 = andr(_T_776) @[lib.scala 88:36] - node _T_778 = and(_T_777, _T_673) @[lib.scala 88:41] - node _T_779 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 88:74] - node _T_780 = bits(dec_i0_match_data[2], 15, 15) @[lib.scala 88:86] - node _T_781 = eq(_T_779, _T_780) @[lib.scala 88:78] - node _T_782 = mux(_T_778, UInt<1>("h01"), _T_781) @[lib.scala 88:23] - _T_670[15] <= _T_782 @[lib.scala 88:17] - node _T_783 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 88:28] - node _T_784 = andr(_T_783) @[lib.scala 88:36] - node _T_785 = and(_T_784, _T_673) @[lib.scala 88:41] - node _T_786 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 88:74] - node _T_787 = bits(dec_i0_match_data[2], 16, 16) @[lib.scala 88:86] - node _T_788 = eq(_T_786, _T_787) @[lib.scala 88:78] - node _T_789 = mux(_T_785, UInt<1>("h01"), _T_788) @[lib.scala 88:23] - _T_670[16] <= _T_789 @[lib.scala 88:17] - node _T_790 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 88:28] - node _T_791 = andr(_T_790) @[lib.scala 88:36] - node _T_792 = and(_T_791, _T_673) @[lib.scala 88:41] - node _T_793 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 88:74] - node _T_794 = bits(dec_i0_match_data[2], 17, 17) @[lib.scala 88:86] - node _T_795 = eq(_T_793, _T_794) @[lib.scala 88:78] - node _T_796 = mux(_T_792, UInt<1>("h01"), _T_795) @[lib.scala 88:23] - _T_670[17] <= _T_796 @[lib.scala 88:17] - node _T_797 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 88:28] - node _T_798 = andr(_T_797) @[lib.scala 88:36] - node _T_799 = and(_T_798, _T_673) @[lib.scala 88:41] - node _T_800 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 88:74] - node _T_801 = bits(dec_i0_match_data[2], 18, 18) @[lib.scala 88:86] - node _T_802 = eq(_T_800, _T_801) @[lib.scala 88:78] - node _T_803 = mux(_T_799, UInt<1>("h01"), _T_802) @[lib.scala 88:23] - _T_670[18] <= _T_803 @[lib.scala 88:17] - node _T_804 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 88:28] - node _T_805 = andr(_T_804) @[lib.scala 88:36] - node _T_806 = and(_T_805, _T_673) @[lib.scala 88:41] - node _T_807 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 88:74] - node _T_808 = bits(dec_i0_match_data[2], 19, 19) @[lib.scala 88:86] - node _T_809 = eq(_T_807, _T_808) @[lib.scala 88:78] - node _T_810 = mux(_T_806, UInt<1>("h01"), _T_809) @[lib.scala 88:23] - _T_670[19] <= _T_810 @[lib.scala 88:17] - node _T_811 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 88:28] - node _T_812 = andr(_T_811) @[lib.scala 88:36] - node _T_813 = and(_T_812, _T_673) @[lib.scala 88:41] - node _T_814 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 88:74] - node _T_815 = bits(dec_i0_match_data[2], 20, 20) @[lib.scala 88:86] - node _T_816 = eq(_T_814, _T_815) @[lib.scala 88:78] - node _T_817 = mux(_T_813, UInt<1>("h01"), _T_816) @[lib.scala 88:23] - _T_670[20] <= _T_817 @[lib.scala 88:17] - node _T_818 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 88:28] - node _T_819 = andr(_T_818) @[lib.scala 88:36] - node _T_820 = and(_T_819, _T_673) @[lib.scala 88:41] - node _T_821 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 88:74] - node _T_822 = bits(dec_i0_match_data[2], 21, 21) @[lib.scala 88:86] - node _T_823 = eq(_T_821, _T_822) @[lib.scala 88:78] - node _T_824 = mux(_T_820, UInt<1>("h01"), _T_823) @[lib.scala 88:23] - _T_670[21] <= _T_824 @[lib.scala 88:17] - node _T_825 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 88:28] - node _T_826 = andr(_T_825) @[lib.scala 88:36] - node _T_827 = and(_T_826, _T_673) @[lib.scala 88:41] - node _T_828 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 88:74] - node _T_829 = bits(dec_i0_match_data[2], 22, 22) @[lib.scala 88:86] - node _T_830 = eq(_T_828, _T_829) @[lib.scala 88:78] - node _T_831 = mux(_T_827, UInt<1>("h01"), _T_830) @[lib.scala 88:23] - _T_670[22] <= _T_831 @[lib.scala 88:17] - node _T_832 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 88:28] - node _T_833 = andr(_T_832) @[lib.scala 88:36] - node _T_834 = and(_T_833, _T_673) @[lib.scala 88:41] - node _T_835 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 88:74] - node _T_836 = bits(dec_i0_match_data[2], 23, 23) @[lib.scala 88:86] - node _T_837 = eq(_T_835, _T_836) @[lib.scala 88:78] - node _T_838 = mux(_T_834, UInt<1>("h01"), _T_837) @[lib.scala 88:23] - _T_670[23] <= _T_838 @[lib.scala 88:17] - node _T_839 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 88:28] - node _T_840 = andr(_T_839) @[lib.scala 88:36] - node _T_841 = and(_T_840, _T_673) @[lib.scala 88:41] - node _T_842 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 88:74] - node _T_843 = bits(dec_i0_match_data[2], 24, 24) @[lib.scala 88:86] - node _T_844 = eq(_T_842, _T_843) @[lib.scala 88:78] - node _T_845 = mux(_T_841, UInt<1>("h01"), _T_844) @[lib.scala 88:23] - _T_670[24] <= _T_845 @[lib.scala 88:17] - node _T_846 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 88:28] - node _T_847 = andr(_T_846) @[lib.scala 88:36] - node _T_848 = and(_T_847, _T_673) @[lib.scala 88:41] - node _T_849 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 88:74] - node _T_850 = bits(dec_i0_match_data[2], 25, 25) @[lib.scala 88:86] - node _T_851 = eq(_T_849, _T_850) @[lib.scala 88:78] - node _T_852 = mux(_T_848, UInt<1>("h01"), _T_851) @[lib.scala 88:23] - _T_670[25] <= _T_852 @[lib.scala 88:17] - node _T_853 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 88:28] - node _T_854 = andr(_T_853) @[lib.scala 88:36] - node _T_855 = and(_T_854, _T_673) @[lib.scala 88:41] - node _T_856 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 88:74] - node _T_857 = bits(dec_i0_match_data[2], 26, 26) @[lib.scala 88:86] - node _T_858 = eq(_T_856, _T_857) @[lib.scala 88:78] - node _T_859 = mux(_T_855, UInt<1>("h01"), _T_858) @[lib.scala 88:23] - _T_670[26] <= _T_859 @[lib.scala 88:17] - node _T_860 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 88:28] - node _T_861 = andr(_T_860) @[lib.scala 88:36] - node _T_862 = and(_T_861, _T_673) @[lib.scala 88:41] - node _T_863 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 88:74] - node _T_864 = bits(dec_i0_match_data[2], 27, 27) @[lib.scala 88:86] - node _T_865 = eq(_T_863, _T_864) @[lib.scala 88:78] - node _T_866 = mux(_T_862, UInt<1>("h01"), _T_865) @[lib.scala 88:23] - _T_670[27] <= _T_866 @[lib.scala 88:17] - node _T_867 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 88:28] - node _T_868 = andr(_T_867) @[lib.scala 88:36] - node _T_869 = and(_T_868, _T_673) @[lib.scala 88:41] - node _T_870 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 88:74] - node _T_871 = bits(dec_i0_match_data[2], 28, 28) @[lib.scala 88:86] - node _T_872 = eq(_T_870, _T_871) @[lib.scala 88:78] - node _T_873 = mux(_T_869, UInt<1>("h01"), _T_872) @[lib.scala 88:23] - _T_670[28] <= _T_873 @[lib.scala 88:17] - node _T_874 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 88:28] - node _T_875 = andr(_T_874) @[lib.scala 88:36] - node _T_876 = and(_T_875, _T_673) @[lib.scala 88:41] - node _T_877 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 88:74] - node _T_878 = bits(dec_i0_match_data[2], 29, 29) @[lib.scala 88:86] - node _T_879 = eq(_T_877, _T_878) @[lib.scala 88:78] - node _T_880 = mux(_T_876, UInt<1>("h01"), _T_879) @[lib.scala 88:23] - _T_670[29] <= _T_880 @[lib.scala 88:17] - node _T_881 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 88:28] - node _T_882 = andr(_T_881) @[lib.scala 88:36] - node _T_883 = and(_T_882, _T_673) @[lib.scala 88:41] - node _T_884 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 88:74] - node _T_885 = bits(dec_i0_match_data[2], 30, 30) @[lib.scala 88:86] - node _T_886 = eq(_T_884, _T_885) @[lib.scala 88:78] - node _T_887 = mux(_T_883, UInt<1>("h01"), _T_886) @[lib.scala 88:23] - _T_670[30] <= _T_887 @[lib.scala 88:17] - node _T_888 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 88:28] - node _T_889 = andr(_T_888) @[lib.scala 88:36] - node _T_890 = and(_T_889, _T_673) @[lib.scala 88:41] - node _T_891 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 88:74] - node _T_892 = bits(dec_i0_match_data[2], 31, 31) @[lib.scala 88:86] - node _T_893 = eq(_T_891, _T_892) @[lib.scala 88:78] - node _T_894 = mux(_T_890, UInt<1>("h01"), _T_893) @[lib.scala 88:23] - _T_670[31] <= _T_894 @[lib.scala 88:17] - node _T_895 = cat(_T_670[1], _T_670[0]) @[lib.scala 89:14] - node _T_896 = cat(_T_670[3], _T_670[2]) @[lib.scala 89:14] - node _T_897 = cat(_T_896, _T_895) @[lib.scala 89:14] - node _T_898 = cat(_T_670[5], _T_670[4]) @[lib.scala 89:14] - node _T_899 = cat(_T_670[7], _T_670[6]) @[lib.scala 89:14] - node _T_900 = cat(_T_899, _T_898) @[lib.scala 89:14] - node _T_901 = cat(_T_900, _T_897) @[lib.scala 89:14] - node _T_902 = cat(_T_670[9], _T_670[8]) @[lib.scala 89:14] - node _T_903 = cat(_T_670[11], _T_670[10]) @[lib.scala 89:14] - node _T_904 = cat(_T_903, _T_902) @[lib.scala 89:14] - node _T_905 = cat(_T_670[13], _T_670[12]) @[lib.scala 89:14] - node _T_906 = cat(_T_670[15], _T_670[14]) @[lib.scala 89:14] - node _T_907 = cat(_T_906, _T_905) @[lib.scala 89:14] - node _T_908 = cat(_T_907, _T_904) @[lib.scala 89:14] - node _T_909 = cat(_T_908, _T_901) @[lib.scala 89:14] - node _T_910 = cat(_T_670[17], _T_670[16]) @[lib.scala 89:14] - node _T_911 = cat(_T_670[19], _T_670[18]) @[lib.scala 89:14] - node _T_912 = cat(_T_911, _T_910) @[lib.scala 89:14] - node _T_913 = cat(_T_670[21], _T_670[20]) @[lib.scala 89:14] - node _T_914 = cat(_T_670[23], _T_670[22]) @[lib.scala 89:14] - node _T_915 = cat(_T_914, _T_913) @[lib.scala 89:14] - node _T_916 = cat(_T_915, _T_912) @[lib.scala 89:14] - node _T_917 = cat(_T_670[25], _T_670[24]) @[lib.scala 89:14] - node _T_918 = cat(_T_670[27], _T_670[26]) @[lib.scala 89:14] - node _T_919 = cat(_T_918, _T_917) @[lib.scala 89:14] - node _T_920 = cat(_T_670[29], _T_670[28]) @[lib.scala 89:14] - node _T_921 = cat(_T_670[31], _T_670[30]) @[lib.scala 89:14] - node _T_922 = cat(_T_921, _T_920) @[lib.scala 89:14] - node _T_923 = cat(_T_922, _T_919) @[lib.scala 89:14] - node _T_924 = cat(_T_923, _T_916) @[lib.scala 89:14] - node _T_925 = cat(_T_924, _T_909) @[lib.scala 89:14] - node _T_926 = andr(_T_925) @[lib.scala 89:25] - node _T_927 = and(_T_668, _T_926) @[dec_trigger.scala 15:109] - node _T_928 = and(io.trigger_pkt_any[3].execute, io.trigger_pkt_any[3].m) @[dec_trigger.scala 15:83] - node _T_929 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[dec_trigger.scala 15:216] - wire _T_930 : UInt<1>[32] @[lib.scala 84:24] - node _T_931 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 85:45] - node _T_932 = not(_T_931) @[lib.scala 85:39] - node _T_933 = and(_T_929, _T_932) @[lib.scala 85:37] - node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 86:48] - node _T_935 = bits(dec_i0_match_data[3], 0, 0) @[lib.scala 86:60] - node _T_936 = eq(_T_934, _T_935) @[lib.scala 86:52] - node _T_937 = or(_T_933, _T_936) @[lib.scala 86:41] - _T_930[0] <= _T_937 @[lib.scala 86:18] - node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 88:28] - node _T_939 = andr(_T_938) @[lib.scala 88:36] - node _T_940 = and(_T_939, _T_933) @[lib.scala 88:41] - node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 88:74] - node _T_942 = bits(dec_i0_match_data[3], 1, 1) @[lib.scala 88:86] - node _T_943 = eq(_T_941, _T_942) @[lib.scala 88:78] - node _T_944 = mux(_T_940, UInt<1>("h01"), _T_943) @[lib.scala 88:23] - _T_930[1] <= _T_944 @[lib.scala 88:17] - node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 88:28] - node _T_946 = andr(_T_945) @[lib.scala 88:36] - node _T_947 = and(_T_946, _T_933) @[lib.scala 88:41] - node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 88:74] - node _T_949 = bits(dec_i0_match_data[3], 2, 2) @[lib.scala 88:86] - node _T_950 = eq(_T_948, _T_949) @[lib.scala 88:78] - node _T_951 = mux(_T_947, UInt<1>("h01"), _T_950) @[lib.scala 88:23] - _T_930[2] <= _T_951 @[lib.scala 88:17] - node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 88:28] - node _T_953 = andr(_T_952) @[lib.scala 88:36] - node _T_954 = and(_T_953, _T_933) @[lib.scala 88:41] - node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 88:74] - node _T_956 = bits(dec_i0_match_data[3], 3, 3) @[lib.scala 88:86] - node _T_957 = eq(_T_955, _T_956) @[lib.scala 88:78] - node _T_958 = mux(_T_954, UInt<1>("h01"), _T_957) @[lib.scala 88:23] - _T_930[3] <= _T_958 @[lib.scala 88:17] - node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 88:28] - node _T_960 = andr(_T_959) @[lib.scala 88:36] - node _T_961 = and(_T_960, _T_933) @[lib.scala 88:41] - node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 88:74] - node _T_963 = bits(dec_i0_match_data[3], 4, 4) @[lib.scala 88:86] - node _T_964 = eq(_T_962, _T_963) @[lib.scala 88:78] - node _T_965 = mux(_T_961, UInt<1>("h01"), _T_964) @[lib.scala 88:23] - _T_930[4] <= _T_965 @[lib.scala 88:17] - node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 88:28] - node _T_967 = andr(_T_966) @[lib.scala 88:36] - node _T_968 = and(_T_967, _T_933) @[lib.scala 88:41] - node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 88:74] - node _T_970 = bits(dec_i0_match_data[3], 5, 5) @[lib.scala 88:86] - node _T_971 = eq(_T_969, _T_970) @[lib.scala 88:78] - node _T_972 = mux(_T_968, UInt<1>("h01"), _T_971) @[lib.scala 88:23] - _T_930[5] <= _T_972 @[lib.scala 88:17] - node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 88:28] - node _T_974 = andr(_T_973) @[lib.scala 88:36] - node _T_975 = and(_T_974, _T_933) @[lib.scala 88:41] - node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 88:74] - node _T_977 = bits(dec_i0_match_data[3], 6, 6) @[lib.scala 88:86] - node _T_978 = eq(_T_976, _T_977) @[lib.scala 88:78] - node _T_979 = mux(_T_975, UInt<1>("h01"), _T_978) @[lib.scala 88:23] - _T_930[6] <= _T_979 @[lib.scala 88:17] - node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 88:28] - node _T_981 = andr(_T_980) @[lib.scala 88:36] - node _T_982 = and(_T_981, _T_933) @[lib.scala 88:41] - node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 88:74] - node _T_984 = bits(dec_i0_match_data[3], 7, 7) @[lib.scala 88:86] - node _T_985 = eq(_T_983, _T_984) @[lib.scala 88:78] - node _T_986 = mux(_T_982, UInt<1>("h01"), _T_985) @[lib.scala 88:23] - _T_930[7] <= _T_986 @[lib.scala 88:17] - node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 88:28] - node _T_988 = andr(_T_987) @[lib.scala 88:36] - node _T_989 = and(_T_988, _T_933) @[lib.scala 88:41] - node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 88:74] - node _T_991 = bits(dec_i0_match_data[3], 8, 8) @[lib.scala 88:86] - node _T_992 = eq(_T_990, _T_991) @[lib.scala 88:78] - node _T_993 = mux(_T_989, UInt<1>("h01"), _T_992) @[lib.scala 88:23] - _T_930[8] <= _T_993 @[lib.scala 88:17] - node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 88:28] - node _T_995 = andr(_T_994) @[lib.scala 88:36] - node _T_996 = and(_T_995, _T_933) @[lib.scala 88:41] - node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 88:74] - node _T_998 = bits(dec_i0_match_data[3], 9, 9) @[lib.scala 88:86] - node _T_999 = eq(_T_997, _T_998) @[lib.scala 88:78] - node _T_1000 = mux(_T_996, UInt<1>("h01"), _T_999) @[lib.scala 88:23] - _T_930[9] <= _T_1000 @[lib.scala 88:17] - node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 88:28] - node _T_1002 = andr(_T_1001) @[lib.scala 88:36] - node _T_1003 = and(_T_1002, _T_933) @[lib.scala 88:41] - node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 88:74] - node _T_1005 = bits(dec_i0_match_data[3], 10, 10) @[lib.scala 88:86] - node _T_1006 = eq(_T_1004, _T_1005) @[lib.scala 88:78] - node _T_1007 = mux(_T_1003, UInt<1>("h01"), _T_1006) @[lib.scala 88:23] - _T_930[10] <= _T_1007 @[lib.scala 88:17] - node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 88:28] - node _T_1009 = andr(_T_1008) @[lib.scala 88:36] - node _T_1010 = and(_T_1009, _T_933) @[lib.scala 88:41] - node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 88:74] - node _T_1012 = bits(dec_i0_match_data[3], 11, 11) @[lib.scala 88:86] - node _T_1013 = eq(_T_1011, _T_1012) @[lib.scala 88:78] - node _T_1014 = mux(_T_1010, UInt<1>("h01"), _T_1013) @[lib.scala 88:23] - _T_930[11] <= _T_1014 @[lib.scala 88:17] - node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 88:28] - node _T_1016 = andr(_T_1015) @[lib.scala 88:36] - node _T_1017 = and(_T_1016, _T_933) @[lib.scala 88:41] - node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 88:74] - node _T_1019 = bits(dec_i0_match_data[3], 12, 12) @[lib.scala 88:86] - node _T_1020 = eq(_T_1018, _T_1019) @[lib.scala 88:78] - node _T_1021 = mux(_T_1017, UInt<1>("h01"), _T_1020) @[lib.scala 88:23] - _T_930[12] <= _T_1021 @[lib.scala 88:17] - node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 88:28] - node _T_1023 = andr(_T_1022) @[lib.scala 88:36] - node _T_1024 = and(_T_1023, _T_933) @[lib.scala 88:41] - node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 88:74] - node _T_1026 = bits(dec_i0_match_data[3], 13, 13) @[lib.scala 88:86] - node _T_1027 = eq(_T_1025, _T_1026) @[lib.scala 88:78] - node _T_1028 = mux(_T_1024, UInt<1>("h01"), _T_1027) @[lib.scala 88:23] - _T_930[13] <= _T_1028 @[lib.scala 88:17] - node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 88:28] - node _T_1030 = andr(_T_1029) @[lib.scala 88:36] - node _T_1031 = and(_T_1030, _T_933) @[lib.scala 88:41] - node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 88:74] - node _T_1033 = bits(dec_i0_match_data[3], 14, 14) @[lib.scala 88:86] - node _T_1034 = eq(_T_1032, _T_1033) @[lib.scala 88:78] - node _T_1035 = mux(_T_1031, UInt<1>("h01"), _T_1034) @[lib.scala 88:23] - _T_930[14] <= _T_1035 @[lib.scala 88:17] - node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 88:28] - node _T_1037 = andr(_T_1036) @[lib.scala 88:36] - node _T_1038 = and(_T_1037, _T_933) @[lib.scala 88:41] - node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 88:74] - node _T_1040 = bits(dec_i0_match_data[3], 15, 15) @[lib.scala 88:86] - node _T_1041 = eq(_T_1039, _T_1040) @[lib.scala 88:78] - node _T_1042 = mux(_T_1038, UInt<1>("h01"), _T_1041) @[lib.scala 88:23] - _T_930[15] <= _T_1042 @[lib.scala 88:17] - node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 88:28] - node _T_1044 = andr(_T_1043) @[lib.scala 88:36] - node _T_1045 = and(_T_1044, _T_933) @[lib.scala 88:41] - node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 88:74] - node _T_1047 = bits(dec_i0_match_data[3], 16, 16) @[lib.scala 88:86] - node _T_1048 = eq(_T_1046, _T_1047) @[lib.scala 88:78] - node _T_1049 = mux(_T_1045, UInt<1>("h01"), _T_1048) @[lib.scala 88:23] - _T_930[16] <= _T_1049 @[lib.scala 88:17] - node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 88:28] - node _T_1051 = andr(_T_1050) @[lib.scala 88:36] - node _T_1052 = and(_T_1051, _T_933) @[lib.scala 88:41] - node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 88:74] - node _T_1054 = bits(dec_i0_match_data[3], 17, 17) @[lib.scala 88:86] - node _T_1055 = eq(_T_1053, _T_1054) @[lib.scala 88:78] - node _T_1056 = mux(_T_1052, UInt<1>("h01"), _T_1055) @[lib.scala 88:23] - _T_930[17] <= _T_1056 @[lib.scala 88:17] - node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 88:28] - node _T_1058 = andr(_T_1057) @[lib.scala 88:36] - node _T_1059 = and(_T_1058, _T_933) @[lib.scala 88:41] - node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 88:74] - node _T_1061 = bits(dec_i0_match_data[3], 18, 18) @[lib.scala 88:86] - node _T_1062 = eq(_T_1060, _T_1061) @[lib.scala 88:78] - node _T_1063 = mux(_T_1059, UInt<1>("h01"), _T_1062) @[lib.scala 88:23] - _T_930[18] <= _T_1063 @[lib.scala 88:17] - node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 88:28] - node _T_1065 = andr(_T_1064) @[lib.scala 88:36] - node _T_1066 = and(_T_1065, _T_933) @[lib.scala 88:41] - node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 88:74] - node _T_1068 = bits(dec_i0_match_data[3], 19, 19) @[lib.scala 88:86] - node _T_1069 = eq(_T_1067, _T_1068) @[lib.scala 88:78] - node _T_1070 = mux(_T_1066, UInt<1>("h01"), _T_1069) @[lib.scala 88:23] - _T_930[19] <= _T_1070 @[lib.scala 88:17] - node _T_1071 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 88:28] - node _T_1072 = andr(_T_1071) @[lib.scala 88:36] - node _T_1073 = and(_T_1072, _T_933) @[lib.scala 88:41] - node _T_1074 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 88:74] - node _T_1075 = bits(dec_i0_match_data[3], 20, 20) @[lib.scala 88:86] - node _T_1076 = eq(_T_1074, _T_1075) @[lib.scala 88:78] - node _T_1077 = mux(_T_1073, UInt<1>("h01"), _T_1076) @[lib.scala 88:23] - _T_930[20] <= _T_1077 @[lib.scala 88:17] - node _T_1078 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 88:28] - node _T_1079 = andr(_T_1078) @[lib.scala 88:36] - node _T_1080 = and(_T_1079, _T_933) @[lib.scala 88:41] - node _T_1081 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 88:74] - node _T_1082 = bits(dec_i0_match_data[3], 21, 21) @[lib.scala 88:86] - node _T_1083 = eq(_T_1081, _T_1082) @[lib.scala 88:78] - node _T_1084 = mux(_T_1080, UInt<1>("h01"), _T_1083) @[lib.scala 88:23] - _T_930[21] <= _T_1084 @[lib.scala 88:17] - node _T_1085 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 88:28] - node _T_1086 = andr(_T_1085) @[lib.scala 88:36] - node _T_1087 = and(_T_1086, _T_933) @[lib.scala 88:41] - node _T_1088 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 88:74] - node _T_1089 = bits(dec_i0_match_data[3], 22, 22) @[lib.scala 88:86] - node _T_1090 = eq(_T_1088, _T_1089) @[lib.scala 88:78] - node _T_1091 = mux(_T_1087, UInt<1>("h01"), _T_1090) @[lib.scala 88:23] - _T_930[22] <= _T_1091 @[lib.scala 88:17] - node _T_1092 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 88:28] - node _T_1093 = andr(_T_1092) @[lib.scala 88:36] - node _T_1094 = and(_T_1093, _T_933) @[lib.scala 88:41] - node _T_1095 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 88:74] - node _T_1096 = bits(dec_i0_match_data[3], 23, 23) @[lib.scala 88:86] - node _T_1097 = eq(_T_1095, _T_1096) @[lib.scala 88:78] - node _T_1098 = mux(_T_1094, UInt<1>("h01"), _T_1097) @[lib.scala 88:23] - _T_930[23] <= _T_1098 @[lib.scala 88:17] - node _T_1099 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 88:28] - node _T_1100 = andr(_T_1099) @[lib.scala 88:36] - node _T_1101 = and(_T_1100, _T_933) @[lib.scala 88:41] - node _T_1102 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 88:74] - node _T_1103 = bits(dec_i0_match_data[3], 24, 24) @[lib.scala 88:86] - node _T_1104 = eq(_T_1102, _T_1103) @[lib.scala 88:78] - node _T_1105 = mux(_T_1101, UInt<1>("h01"), _T_1104) @[lib.scala 88:23] - _T_930[24] <= _T_1105 @[lib.scala 88:17] - node _T_1106 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 88:28] - node _T_1107 = andr(_T_1106) @[lib.scala 88:36] - node _T_1108 = and(_T_1107, _T_933) @[lib.scala 88:41] - node _T_1109 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 88:74] - node _T_1110 = bits(dec_i0_match_data[3], 25, 25) @[lib.scala 88:86] - node _T_1111 = eq(_T_1109, _T_1110) @[lib.scala 88:78] - node _T_1112 = mux(_T_1108, UInt<1>("h01"), _T_1111) @[lib.scala 88:23] - _T_930[25] <= _T_1112 @[lib.scala 88:17] - node _T_1113 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 88:28] - node _T_1114 = andr(_T_1113) @[lib.scala 88:36] - node _T_1115 = and(_T_1114, _T_933) @[lib.scala 88:41] - node _T_1116 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 88:74] - node _T_1117 = bits(dec_i0_match_data[3], 26, 26) @[lib.scala 88:86] - node _T_1118 = eq(_T_1116, _T_1117) @[lib.scala 88:78] - node _T_1119 = mux(_T_1115, UInt<1>("h01"), _T_1118) @[lib.scala 88:23] - _T_930[26] <= _T_1119 @[lib.scala 88:17] - node _T_1120 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 88:28] - node _T_1121 = andr(_T_1120) @[lib.scala 88:36] - node _T_1122 = and(_T_1121, _T_933) @[lib.scala 88:41] - node _T_1123 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 88:74] - node _T_1124 = bits(dec_i0_match_data[3], 27, 27) @[lib.scala 88:86] - node _T_1125 = eq(_T_1123, _T_1124) @[lib.scala 88:78] - node _T_1126 = mux(_T_1122, UInt<1>("h01"), _T_1125) @[lib.scala 88:23] - _T_930[27] <= _T_1126 @[lib.scala 88:17] - node _T_1127 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 88:28] - node _T_1128 = andr(_T_1127) @[lib.scala 88:36] - node _T_1129 = and(_T_1128, _T_933) @[lib.scala 88:41] - node _T_1130 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 88:74] - node _T_1131 = bits(dec_i0_match_data[3], 28, 28) @[lib.scala 88:86] - node _T_1132 = eq(_T_1130, _T_1131) @[lib.scala 88:78] - node _T_1133 = mux(_T_1129, UInt<1>("h01"), _T_1132) @[lib.scala 88:23] - _T_930[28] <= _T_1133 @[lib.scala 88:17] - node _T_1134 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 88:28] - node _T_1135 = andr(_T_1134) @[lib.scala 88:36] - node _T_1136 = and(_T_1135, _T_933) @[lib.scala 88:41] - node _T_1137 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 88:74] - node _T_1138 = bits(dec_i0_match_data[3], 29, 29) @[lib.scala 88:86] - node _T_1139 = eq(_T_1137, _T_1138) @[lib.scala 88:78] - node _T_1140 = mux(_T_1136, UInt<1>("h01"), _T_1139) @[lib.scala 88:23] - _T_930[29] <= _T_1140 @[lib.scala 88:17] - node _T_1141 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 88:28] - node _T_1142 = andr(_T_1141) @[lib.scala 88:36] - node _T_1143 = and(_T_1142, _T_933) @[lib.scala 88:41] - node _T_1144 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 88:74] - node _T_1145 = bits(dec_i0_match_data[3], 30, 30) @[lib.scala 88:86] - node _T_1146 = eq(_T_1144, _T_1145) @[lib.scala 88:78] - node _T_1147 = mux(_T_1143, UInt<1>("h01"), _T_1146) @[lib.scala 88:23] - _T_930[30] <= _T_1147 @[lib.scala 88:17] - node _T_1148 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 88:28] - node _T_1149 = andr(_T_1148) @[lib.scala 88:36] - node _T_1150 = and(_T_1149, _T_933) @[lib.scala 88:41] - node _T_1151 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 88:74] - node _T_1152 = bits(dec_i0_match_data[3], 31, 31) @[lib.scala 88:86] - node _T_1153 = eq(_T_1151, _T_1152) @[lib.scala 88:78] - node _T_1154 = mux(_T_1150, UInt<1>("h01"), _T_1153) @[lib.scala 88:23] - _T_930[31] <= _T_1154 @[lib.scala 88:17] - node _T_1155 = cat(_T_930[1], _T_930[0]) @[lib.scala 89:14] - node _T_1156 = cat(_T_930[3], _T_930[2]) @[lib.scala 89:14] + node _T_406 = and(_T_148, _T_405) @[dec_trigger.scala 15:109] + node _T_407 = and(io.trigger_pkt_any[1].execute, io.trigger_pkt_any[1].m) @[dec_trigger.scala 15:83] + node _T_408 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_409 : UInt<1>[32] @[lib.scala 84:24] + node _T_410 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 85:45] + node _T_411 = not(_T_410) @[lib.scala 85:39] + node _T_412 = and(_T_408, _T_411) @[lib.scala 85:37] + node _T_413 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 86:48] + node _T_414 = bits(dec_i0_match_data[1], 0, 0) @[lib.scala 86:60] + node _T_415 = eq(_T_413, _T_414) @[lib.scala 86:52] + node _T_416 = or(_T_412, _T_415) @[lib.scala 86:41] + _T_409[0] <= _T_416 @[lib.scala 86:18] + node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 88:28] + node _T_418 = andr(_T_417) @[lib.scala 88:36] + node _T_419 = and(_T_418, _T_412) @[lib.scala 88:41] + node _T_420 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 88:74] + node _T_421 = bits(dec_i0_match_data[1], 1, 1) @[lib.scala 88:86] + node _T_422 = eq(_T_420, _T_421) @[lib.scala 88:78] + node _T_423 = mux(_T_419, UInt<1>("h01"), _T_422) @[lib.scala 88:23] + _T_409[1] <= _T_423 @[lib.scala 88:17] + node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 88:28] + node _T_425 = andr(_T_424) @[lib.scala 88:36] + node _T_426 = and(_T_425, _T_412) @[lib.scala 88:41] + node _T_427 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 88:74] + node _T_428 = bits(dec_i0_match_data[1], 2, 2) @[lib.scala 88:86] + node _T_429 = eq(_T_427, _T_428) @[lib.scala 88:78] + node _T_430 = mux(_T_426, UInt<1>("h01"), _T_429) @[lib.scala 88:23] + _T_409[2] <= _T_430 @[lib.scala 88:17] + node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 88:28] + node _T_432 = andr(_T_431) @[lib.scala 88:36] + node _T_433 = and(_T_432, _T_412) @[lib.scala 88:41] + node _T_434 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 88:74] + node _T_435 = bits(dec_i0_match_data[1], 3, 3) @[lib.scala 88:86] + node _T_436 = eq(_T_434, _T_435) @[lib.scala 88:78] + node _T_437 = mux(_T_433, UInt<1>("h01"), _T_436) @[lib.scala 88:23] + _T_409[3] <= _T_437 @[lib.scala 88:17] + node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 88:28] + node _T_439 = andr(_T_438) @[lib.scala 88:36] + node _T_440 = and(_T_439, _T_412) @[lib.scala 88:41] + node _T_441 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 88:74] + node _T_442 = bits(dec_i0_match_data[1], 4, 4) @[lib.scala 88:86] + node _T_443 = eq(_T_441, _T_442) @[lib.scala 88:78] + node _T_444 = mux(_T_440, UInt<1>("h01"), _T_443) @[lib.scala 88:23] + _T_409[4] <= _T_444 @[lib.scala 88:17] + node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 88:28] + node _T_446 = andr(_T_445) @[lib.scala 88:36] + node _T_447 = and(_T_446, _T_412) @[lib.scala 88:41] + node _T_448 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 88:74] + node _T_449 = bits(dec_i0_match_data[1], 5, 5) @[lib.scala 88:86] + node _T_450 = eq(_T_448, _T_449) @[lib.scala 88:78] + node _T_451 = mux(_T_447, UInt<1>("h01"), _T_450) @[lib.scala 88:23] + _T_409[5] <= _T_451 @[lib.scala 88:17] + node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 88:28] + node _T_453 = andr(_T_452) @[lib.scala 88:36] + node _T_454 = and(_T_453, _T_412) @[lib.scala 88:41] + node _T_455 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 88:74] + node _T_456 = bits(dec_i0_match_data[1], 6, 6) @[lib.scala 88:86] + node _T_457 = eq(_T_455, _T_456) @[lib.scala 88:78] + node _T_458 = mux(_T_454, UInt<1>("h01"), _T_457) @[lib.scala 88:23] + _T_409[6] <= _T_458 @[lib.scala 88:17] + node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 88:28] + node _T_460 = andr(_T_459) @[lib.scala 88:36] + node _T_461 = and(_T_460, _T_412) @[lib.scala 88:41] + node _T_462 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 88:74] + node _T_463 = bits(dec_i0_match_data[1], 7, 7) @[lib.scala 88:86] + node _T_464 = eq(_T_462, _T_463) @[lib.scala 88:78] + node _T_465 = mux(_T_461, UInt<1>("h01"), _T_464) @[lib.scala 88:23] + _T_409[7] <= _T_465 @[lib.scala 88:17] + node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 88:28] + node _T_467 = andr(_T_466) @[lib.scala 88:36] + node _T_468 = and(_T_467, _T_412) @[lib.scala 88:41] + node _T_469 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 88:74] + node _T_470 = bits(dec_i0_match_data[1], 8, 8) @[lib.scala 88:86] + node _T_471 = eq(_T_469, _T_470) @[lib.scala 88:78] + node _T_472 = mux(_T_468, UInt<1>("h01"), _T_471) @[lib.scala 88:23] + _T_409[8] <= _T_472 @[lib.scala 88:17] + node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 88:28] + node _T_474 = andr(_T_473) @[lib.scala 88:36] + node _T_475 = and(_T_474, _T_412) @[lib.scala 88:41] + node _T_476 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 88:74] + node _T_477 = bits(dec_i0_match_data[1], 9, 9) @[lib.scala 88:86] + node _T_478 = eq(_T_476, _T_477) @[lib.scala 88:78] + node _T_479 = mux(_T_475, UInt<1>("h01"), _T_478) @[lib.scala 88:23] + _T_409[9] <= _T_479 @[lib.scala 88:17] + node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 88:28] + node _T_481 = andr(_T_480) @[lib.scala 88:36] + node _T_482 = and(_T_481, _T_412) @[lib.scala 88:41] + node _T_483 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 88:74] + node _T_484 = bits(dec_i0_match_data[1], 10, 10) @[lib.scala 88:86] + node _T_485 = eq(_T_483, _T_484) @[lib.scala 88:78] + node _T_486 = mux(_T_482, UInt<1>("h01"), _T_485) @[lib.scala 88:23] + _T_409[10] <= _T_486 @[lib.scala 88:17] + node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 88:28] + node _T_488 = andr(_T_487) @[lib.scala 88:36] + node _T_489 = and(_T_488, _T_412) @[lib.scala 88:41] + node _T_490 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 88:74] + node _T_491 = bits(dec_i0_match_data[1], 11, 11) @[lib.scala 88:86] + node _T_492 = eq(_T_490, _T_491) @[lib.scala 88:78] + node _T_493 = mux(_T_489, UInt<1>("h01"), _T_492) @[lib.scala 88:23] + _T_409[11] <= _T_493 @[lib.scala 88:17] + node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 88:28] + node _T_495 = andr(_T_494) @[lib.scala 88:36] + node _T_496 = and(_T_495, _T_412) @[lib.scala 88:41] + node _T_497 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 88:74] + node _T_498 = bits(dec_i0_match_data[1], 12, 12) @[lib.scala 88:86] + node _T_499 = eq(_T_497, _T_498) @[lib.scala 88:78] + node _T_500 = mux(_T_496, UInt<1>("h01"), _T_499) @[lib.scala 88:23] + _T_409[12] <= _T_500 @[lib.scala 88:17] + node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 88:28] + node _T_502 = andr(_T_501) @[lib.scala 88:36] + node _T_503 = and(_T_502, _T_412) @[lib.scala 88:41] + node _T_504 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 88:74] + node _T_505 = bits(dec_i0_match_data[1], 13, 13) @[lib.scala 88:86] + node _T_506 = eq(_T_504, _T_505) @[lib.scala 88:78] + node _T_507 = mux(_T_503, UInt<1>("h01"), _T_506) @[lib.scala 88:23] + _T_409[13] <= _T_507 @[lib.scala 88:17] + node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 88:28] + node _T_509 = andr(_T_508) @[lib.scala 88:36] + node _T_510 = and(_T_509, _T_412) @[lib.scala 88:41] + node _T_511 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 88:74] + node _T_512 = bits(dec_i0_match_data[1], 14, 14) @[lib.scala 88:86] + node _T_513 = eq(_T_511, _T_512) @[lib.scala 88:78] + node _T_514 = mux(_T_510, UInt<1>("h01"), _T_513) @[lib.scala 88:23] + _T_409[14] <= _T_514 @[lib.scala 88:17] + node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 88:28] + node _T_516 = andr(_T_515) @[lib.scala 88:36] + node _T_517 = and(_T_516, _T_412) @[lib.scala 88:41] + node _T_518 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 88:74] + node _T_519 = bits(dec_i0_match_data[1], 15, 15) @[lib.scala 88:86] + node _T_520 = eq(_T_518, _T_519) @[lib.scala 88:78] + node _T_521 = mux(_T_517, UInt<1>("h01"), _T_520) @[lib.scala 88:23] + _T_409[15] <= _T_521 @[lib.scala 88:17] + node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 88:28] + node _T_523 = andr(_T_522) @[lib.scala 88:36] + node _T_524 = and(_T_523, _T_412) @[lib.scala 88:41] + node _T_525 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 88:74] + node _T_526 = bits(dec_i0_match_data[1], 16, 16) @[lib.scala 88:86] + node _T_527 = eq(_T_525, _T_526) @[lib.scala 88:78] + node _T_528 = mux(_T_524, UInt<1>("h01"), _T_527) @[lib.scala 88:23] + _T_409[16] <= _T_528 @[lib.scala 88:17] + node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 88:28] + node _T_530 = andr(_T_529) @[lib.scala 88:36] + node _T_531 = and(_T_530, _T_412) @[lib.scala 88:41] + node _T_532 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 88:74] + node _T_533 = bits(dec_i0_match_data[1], 17, 17) @[lib.scala 88:86] + node _T_534 = eq(_T_532, _T_533) @[lib.scala 88:78] + node _T_535 = mux(_T_531, UInt<1>("h01"), _T_534) @[lib.scala 88:23] + _T_409[17] <= _T_535 @[lib.scala 88:17] + node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 88:28] + node _T_537 = andr(_T_536) @[lib.scala 88:36] + node _T_538 = and(_T_537, _T_412) @[lib.scala 88:41] + node _T_539 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 88:74] + node _T_540 = bits(dec_i0_match_data[1], 18, 18) @[lib.scala 88:86] + node _T_541 = eq(_T_539, _T_540) @[lib.scala 88:78] + node _T_542 = mux(_T_538, UInt<1>("h01"), _T_541) @[lib.scala 88:23] + _T_409[18] <= _T_542 @[lib.scala 88:17] + node _T_543 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 88:28] + node _T_544 = andr(_T_543) @[lib.scala 88:36] + node _T_545 = and(_T_544, _T_412) @[lib.scala 88:41] + node _T_546 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 88:74] + node _T_547 = bits(dec_i0_match_data[1], 19, 19) @[lib.scala 88:86] + node _T_548 = eq(_T_546, _T_547) @[lib.scala 88:78] + node _T_549 = mux(_T_545, UInt<1>("h01"), _T_548) @[lib.scala 88:23] + _T_409[19] <= _T_549 @[lib.scala 88:17] + node _T_550 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 88:28] + node _T_551 = andr(_T_550) @[lib.scala 88:36] + node _T_552 = and(_T_551, _T_412) @[lib.scala 88:41] + node _T_553 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 88:74] + node _T_554 = bits(dec_i0_match_data[1], 20, 20) @[lib.scala 88:86] + node _T_555 = eq(_T_553, _T_554) @[lib.scala 88:78] + node _T_556 = mux(_T_552, UInt<1>("h01"), _T_555) @[lib.scala 88:23] + _T_409[20] <= _T_556 @[lib.scala 88:17] + node _T_557 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 88:28] + node _T_558 = andr(_T_557) @[lib.scala 88:36] + node _T_559 = and(_T_558, _T_412) @[lib.scala 88:41] + node _T_560 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 88:74] + node _T_561 = bits(dec_i0_match_data[1], 21, 21) @[lib.scala 88:86] + node _T_562 = eq(_T_560, _T_561) @[lib.scala 88:78] + node _T_563 = mux(_T_559, UInt<1>("h01"), _T_562) @[lib.scala 88:23] + _T_409[21] <= _T_563 @[lib.scala 88:17] + node _T_564 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 88:28] + node _T_565 = andr(_T_564) @[lib.scala 88:36] + node _T_566 = and(_T_565, _T_412) @[lib.scala 88:41] + node _T_567 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 88:74] + node _T_568 = bits(dec_i0_match_data[1], 22, 22) @[lib.scala 88:86] + node _T_569 = eq(_T_567, _T_568) @[lib.scala 88:78] + node _T_570 = mux(_T_566, UInt<1>("h01"), _T_569) @[lib.scala 88:23] + _T_409[22] <= _T_570 @[lib.scala 88:17] + node _T_571 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 88:28] + node _T_572 = andr(_T_571) @[lib.scala 88:36] + node _T_573 = and(_T_572, _T_412) @[lib.scala 88:41] + node _T_574 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 88:74] + node _T_575 = bits(dec_i0_match_data[1], 23, 23) @[lib.scala 88:86] + node _T_576 = eq(_T_574, _T_575) @[lib.scala 88:78] + node _T_577 = mux(_T_573, UInt<1>("h01"), _T_576) @[lib.scala 88:23] + _T_409[23] <= _T_577 @[lib.scala 88:17] + node _T_578 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 88:28] + node _T_579 = andr(_T_578) @[lib.scala 88:36] + node _T_580 = and(_T_579, _T_412) @[lib.scala 88:41] + node _T_581 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 88:74] + node _T_582 = bits(dec_i0_match_data[1], 24, 24) @[lib.scala 88:86] + node _T_583 = eq(_T_581, _T_582) @[lib.scala 88:78] + node _T_584 = mux(_T_580, UInt<1>("h01"), _T_583) @[lib.scala 88:23] + _T_409[24] <= _T_584 @[lib.scala 88:17] + node _T_585 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 88:28] + node _T_586 = andr(_T_585) @[lib.scala 88:36] + node _T_587 = and(_T_586, _T_412) @[lib.scala 88:41] + node _T_588 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 88:74] + node _T_589 = bits(dec_i0_match_data[1], 25, 25) @[lib.scala 88:86] + node _T_590 = eq(_T_588, _T_589) @[lib.scala 88:78] + node _T_591 = mux(_T_587, UInt<1>("h01"), _T_590) @[lib.scala 88:23] + _T_409[25] <= _T_591 @[lib.scala 88:17] + node _T_592 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 88:28] + node _T_593 = andr(_T_592) @[lib.scala 88:36] + node _T_594 = and(_T_593, _T_412) @[lib.scala 88:41] + node _T_595 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 88:74] + node _T_596 = bits(dec_i0_match_data[1], 26, 26) @[lib.scala 88:86] + node _T_597 = eq(_T_595, _T_596) @[lib.scala 88:78] + node _T_598 = mux(_T_594, UInt<1>("h01"), _T_597) @[lib.scala 88:23] + _T_409[26] <= _T_598 @[lib.scala 88:17] + node _T_599 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 88:28] + node _T_600 = andr(_T_599) @[lib.scala 88:36] + node _T_601 = and(_T_600, _T_412) @[lib.scala 88:41] + node _T_602 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 88:74] + node _T_603 = bits(dec_i0_match_data[1], 27, 27) @[lib.scala 88:86] + node _T_604 = eq(_T_602, _T_603) @[lib.scala 88:78] + node _T_605 = mux(_T_601, UInt<1>("h01"), _T_604) @[lib.scala 88:23] + _T_409[27] <= _T_605 @[lib.scala 88:17] + node _T_606 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 88:28] + node _T_607 = andr(_T_606) @[lib.scala 88:36] + node _T_608 = and(_T_607, _T_412) @[lib.scala 88:41] + node _T_609 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 88:74] + node _T_610 = bits(dec_i0_match_data[1], 28, 28) @[lib.scala 88:86] + node _T_611 = eq(_T_609, _T_610) @[lib.scala 88:78] + node _T_612 = mux(_T_608, UInt<1>("h01"), _T_611) @[lib.scala 88:23] + _T_409[28] <= _T_612 @[lib.scala 88:17] + node _T_613 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 88:28] + node _T_614 = andr(_T_613) @[lib.scala 88:36] + node _T_615 = and(_T_614, _T_412) @[lib.scala 88:41] + node _T_616 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 88:74] + node _T_617 = bits(dec_i0_match_data[1], 29, 29) @[lib.scala 88:86] + node _T_618 = eq(_T_616, _T_617) @[lib.scala 88:78] + node _T_619 = mux(_T_615, UInt<1>("h01"), _T_618) @[lib.scala 88:23] + _T_409[29] <= _T_619 @[lib.scala 88:17] + node _T_620 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 88:28] + node _T_621 = andr(_T_620) @[lib.scala 88:36] + node _T_622 = and(_T_621, _T_412) @[lib.scala 88:41] + node _T_623 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 88:74] + node _T_624 = bits(dec_i0_match_data[1], 30, 30) @[lib.scala 88:86] + node _T_625 = eq(_T_623, _T_624) @[lib.scala 88:78] + node _T_626 = mux(_T_622, UInt<1>("h01"), _T_625) @[lib.scala 88:23] + _T_409[30] <= _T_626 @[lib.scala 88:17] + node _T_627 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 88:28] + node _T_628 = andr(_T_627) @[lib.scala 88:36] + node _T_629 = and(_T_628, _T_412) @[lib.scala 88:41] + node _T_630 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 88:74] + node _T_631 = bits(dec_i0_match_data[1], 31, 31) @[lib.scala 88:86] + node _T_632 = eq(_T_630, _T_631) @[lib.scala 88:78] + node _T_633 = mux(_T_629, UInt<1>("h01"), _T_632) @[lib.scala 88:23] + _T_409[31] <= _T_633 @[lib.scala 88:17] + node _T_634 = cat(_T_409[1], _T_409[0]) @[lib.scala 89:14] + node _T_635 = cat(_T_409[3], _T_409[2]) @[lib.scala 89:14] + node _T_636 = cat(_T_635, _T_634) @[lib.scala 89:14] + node _T_637 = cat(_T_409[5], _T_409[4]) @[lib.scala 89:14] + node _T_638 = cat(_T_409[7], _T_409[6]) @[lib.scala 89:14] + node _T_639 = cat(_T_638, _T_637) @[lib.scala 89:14] + node _T_640 = cat(_T_639, _T_636) @[lib.scala 89:14] + node _T_641 = cat(_T_409[9], _T_409[8]) @[lib.scala 89:14] + node _T_642 = cat(_T_409[11], _T_409[10]) @[lib.scala 89:14] + node _T_643 = cat(_T_642, _T_641) @[lib.scala 89:14] + node _T_644 = cat(_T_409[13], _T_409[12]) @[lib.scala 89:14] + node _T_645 = cat(_T_409[15], _T_409[14]) @[lib.scala 89:14] + node _T_646 = cat(_T_645, _T_644) @[lib.scala 89:14] + node _T_647 = cat(_T_646, _T_643) @[lib.scala 89:14] + node _T_648 = cat(_T_647, _T_640) @[lib.scala 89:14] + node _T_649 = cat(_T_409[17], _T_409[16]) @[lib.scala 89:14] + node _T_650 = cat(_T_409[19], _T_409[18]) @[lib.scala 89:14] + node _T_651 = cat(_T_650, _T_649) @[lib.scala 89:14] + node _T_652 = cat(_T_409[21], _T_409[20]) @[lib.scala 89:14] + node _T_653 = cat(_T_409[23], _T_409[22]) @[lib.scala 89:14] + node _T_654 = cat(_T_653, _T_652) @[lib.scala 89:14] + node _T_655 = cat(_T_654, _T_651) @[lib.scala 89:14] + node _T_656 = cat(_T_409[25], _T_409[24]) @[lib.scala 89:14] + node _T_657 = cat(_T_409[27], _T_409[26]) @[lib.scala 89:14] + node _T_658 = cat(_T_657, _T_656) @[lib.scala 89:14] + node _T_659 = cat(_T_409[29], _T_409[28]) @[lib.scala 89:14] + node _T_660 = cat(_T_409[31], _T_409[30]) @[lib.scala 89:14] + node _T_661 = cat(_T_660, _T_659) @[lib.scala 89:14] + node _T_662 = cat(_T_661, _T_658) @[lib.scala 89:14] + node _T_663 = cat(_T_662, _T_655) @[lib.scala 89:14] + node _T_664 = cat(_T_663, _T_648) @[lib.scala 89:14] + node _T_665 = and(_T_407, _T_664) @[dec_trigger.scala 15:109] + node _T_666 = and(io.trigger_pkt_any[2].execute, io.trigger_pkt_any[2].m) @[dec_trigger.scala 15:83] + node _T_667 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_668 : UInt<1>[32] @[lib.scala 84:24] + node _T_669 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 85:45] + node _T_670 = not(_T_669) @[lib.scala 85:39] + node _T_671 = and(_T_667, _T_670) @[lib.scala 85:37] + node _T_672 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 86:48] + node _T_673 = bits(dec_i0_match_data[2], 0, 0) @[lib.scala 86:60] + node _T_674 = eq(_T_672, _T_673) @[lib.scala 86:52] + node _T_675 = or(_T_671, _T_674) @[lib.scala 86:41] + _T_668[0] <= _T_675 @[lib.scala 86:18] + node _T_676 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 88:28] + node _T_677 = andr(_T_676) @[lib.scala 88:36] + node _T_678 = and(_T_677, _T_671) @[lib.scala 88:41] + node _T_679 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 88:74] + node _T_680 = bits(dec_i0_match_data[2], 1, 1) @[lib.scala 88:86] + node _T_681 = eq(_T_679, _T_680) @[lib.scala 88:78] + node _T_682 = mux(_T_678, UInt<1>("h01"), _T_681) @[lib.scala 88:23] + _T_668[1] <= _T_682 @[lib.scala 88:17] + node _T_683 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 88:28] + node _T_684 = andr(_T_683) @[lib.scala 88:36] + node _T_685 = and(_T_684, _T_671) @[lib.scala 88:41] + node _T_686 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 88:74] + node _T_687 = bits(dec_i0_match_data[2], 2, 2) @[lib.scala 88:86] + node _T_688 = eq(_T_686, _T_687) @[lib.scala 88:78] + node _T_689 = mux(_T_685, UInt<1>("h01"), _T_688) @[lib.scala 88:23] + _T_668[2] <= _T_689 @[lib.scala 88:17] + node _T_690 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 88:28] + node _T_691 = andr(_T_690) @[lib.scala 88:36] + node _T_692 = and(_T_691, _T_671) @[lib.scala 88:41] + node _T_693 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 88:74] + node _T_694 = bits(dec_i0_match_data[2], 3, 3) @[lib.scala 88:86] + node _T_695 = eq(_T_693, _T_694) @[lib.scala 88:78] + node _T_696 = mux(_T_692, UInt<1>("h01"), _T_695) @[lib.scala 88:23] + _T_668[3] <= _T_696 @[lib.scala 88:17] + node _T_697 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 88:28] + node _T_698 = andr(_T_697) @[lib.scala 88:36] + node _T_699 = and(_T_698, _T_671) @[lib.scala 88:41] + node _T_700 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 88:74] + node _T_701 = bits(dec_i0_match_data[2], 4, 4) @[lib.scala 88:86] + node _T_702 = eq(_T_700, _T_701) @[lib.scala 88:78] + node _T_703 = mux(_T_699, UInt<1>("h01"), _T_702) @[lib.scala 88:23] + _T_668[4] <= _T_703 @[lib.scala 88:17] + node _T_704 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 88:28] + node _T_705 = andr(_T_704) @[lib.scala 88:36] + node _T_706 = and(_T_705, _T_671) @[lib.scala 88:41] + node _T_707 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 88:74] + node _T_708 = bits(dec_i0_match_data[2], 5, 5) @[lib.scala 88:86] + node _T_709 = eq(_T_707, _T_708) @[lib.scala 88:78] + node _T_710 = mux(_T_706, UInt<1>("h01"), _T_709) @[lib.scala 88:23] + _T_668[5] <= _T_710 @[lib.scala 88:17] + node _T_711 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 88:28] + node _T_712 = andr(_T_711) @[lib.scala 88:36] + node _T_713 = and(_T_712, _T_671) @[lib.scala 88:41] + node _T_714 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 88:74] + node _T_715 = bits(dec_i0_match_data[2], 6, 6) @[lib.scala 88:86] + node _T_716 = eq(_T_714, _T_715) @[lib.scala 88:78] + node _T_717 = mux(_T_713, UInt<1>("h01"), _T_716) @[lib.scala 88:23] + _T_668[6] <= _T_717 @[lib.scala 88:17] + node _T_718 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 88:28] + node _T_719 = andr(_T_718) @[lib.scala 88:36] + node _T_720 = and(_T_719, _T_671) @[lib.scala 88:41] + node _T_721 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 88:74] + node _T_722 = bits(dec_i0_match_data[2], 7, 7) @[lib.scala 88:86] + node _T_723 = eq(_T_721, _T_722) @[lib.scala 88:78] + node _T_724 = mux(_T_720, UInt<1>("h01"), _T_723) @[lib.scala 88:23] + _T_668[7] <= _T_724 @[lib.scala 88:17] + node _T_725 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 88:28] + node _T_726 = andr(_T_725) @[lib.scala 88:36] + node _T_727 = and(_T_726, _T_671) @[lib.scala 88:41] + node _T_728 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 88:74] + node _T_729 = bits(dec_i0_match_data[2], 8, 8) @[lib.scala 88:86] + node _T_730 = eq(_T_728, _T_729) @[lib.scala 88:78] + node _T_731 = mux(_T_727, UInt<1>("h01"), _T_730) @[lib.scala 88:23] + _T_668[8] <= _T_731 @[lib.scala 88:17] + node _T_732 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 88:28] + node _T_733 = andr(_T_732) @[lib.scala 88:36] + node _T_734 = and(_T_733, _T_671) @[lib.scala 88:41] + node _T_735 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 88:74] + node _T_736 = bits(dec_i0_match_data[2], 9, 9) @[lib.scala 88:86] + node _T_737 = eq(_T_735, _T_736) @[lib.scala 88:78] + node _T_738 = mux(_T_734, UInt<1>("h01"), _T_737) @[lib.scala 88:23] + _T_668[9] <= _T_738 @[lib.scala 88:17] + node _T_739 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 88:28] + node _T_740 = andr(_T_739) @[lib.scala 88:36] + node _T_741 = and(_T_740, _T_671) @[lib.scala 88:41] + node _T_742 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 88:74] + node _T_743 = bits(dec_i0_match_data[2], 10, 10) @[lib.scala 88:86] + node _T_744 = eq(_T_742, _T_743) @[lib.scala 88:78] + node _T_745 = mux(_T_741, UInt<1>("h01"), _T_744) @[lib.scala 88:23] + _T_668[10] <= _T_745 @[lib.scala 88:17] + node _T_746 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 88:28] + node _T_747 = andr(_T_746) @[lib.scala 88:36] + node _T_748 = and(_T_747, _T_671) @[lib.scala 88:41] + node _T_749 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 88:74] + node _T_750 = bits(dec_i0_match_data[2], 11, 11) @[lib.scala 88:86] + node _T_751 = eq(_T_749, _T_750) @[lib.scala 88:78] + node _T_752 = mux(_T_748, UInt<1>("h01"), _T_751) @[lib.scala 88:23] + _T_668[11] <= _T_752 @[lib.scala 88:17] + node _T_753 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 88:28] + node _T_754 = andr(_T_753) @[lib.scala 88:36] + node _T_755 = and(_T_754, _T_671) @[lib.scala 88:41] + node _T_756 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 88:74] + node _T_757 = bits(dec_i0_match_data[2], 12, 12) @[lib.scala 88:86] + node _T_758 = eq(_T_756, _T_757) @[lib.scala 88:78] + node _T_759 = mux(_T_755, UInt<1>("h01"), _T_758) @[lib.scala 88:23] + _T_668[12] <= _T_759 @[lib.scala 88:17] + node _T_760 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 88:28] + node _T_761 = andr(_T_760) @[lib.scala 88:36] + node _T_762 = and(_T_761, _T_671) @[lib.scala 88:41] + node _T_763 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 88:74] + node _T_764 = bits(dec_i0_match_data[2], 13, 13) @[lib.scala 88:86] + node _T_765 = eq(_T_763, _T_764) @[lib.scala 88:78] + node _T_766 = mux(_T_762, UInt<1>("h01"), _T_765) @[lib.scala 88:23] + _T_668[13] <= _T_766 @[lib.scala 88:17] + node _T_767 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 88:28] + node _T_768 = andr(_T_767) @[lib.scala 88:36] + node _T_769 = and(_T_768, _T_671) @[lib.scala 88:41] + node _T_770 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 88:74] + node _T_771 = bits(dec_i0_match_data[2], 14, 14) @[lib.scala 88:86] + node _T_772 = eq(_T_770, _T_771) @[lib.scala 88:78] + node _T_773 = mux(_T_769, UInt<1>("h01"), _T_772) @[lib.scala 88:23] + _T_668[14] <= _T_773 @[lib.scala 88:17] + node _T_774 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 88:28] + node _T_775 = andr(_T_774) @[lib.scala 88:36] + node _T_776 = and(_T_775, _T_671) @[lib.scala 88:41] + node _T_777 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 88:74] + node _T_778 = bits(dec_i0_match_data[2], 15, 15) @[lib.scala 88:86] + node _T_779 = eq(_T_777, _T_778) @[lib.scala 88:78] + node _T_780 = mux(_T_776, UInt<1>("h01"), _T_779) @[lib.scala 88:23] + _T_668[15] <= _T_780 @[lib.scala 88:17] + node _T_781 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 88:28] + node _T_782 = andr(_T_781) @[lib.scala 88:36] + node _T_783 = and(_T_782, _T_671) @[lib.scala 88:41] + node _T_784 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 88:74] + node _T_785 = bits(dec_i0_match_data[2], 16, 16) @[lib.scala 88:86] + node _T_786 = eq(_T_784, _T_785) @[lib.scala 88:78] + node _T_787 = mux(_T_783, UInt<1>("h01"), _T_786) @[lib.scala 88:23] + _T_668[16] <= _T_787 @[lib.scala 88:17] + node _T_788 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 88:28] + node _T_789 = andr(_T_788) @[lib.scala 88:36] + node _T_790 = and(_T_789, _T_671) @[lib.scala 88:41] + node _T_791 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 88:74] + node _T_792 = bits(dec_i0_match_data[2], 17, 17) @[lib.scala 88:86] + node _T_793 = eq(_T_791, _T_792) @[lib.scala 88:78] + node _T_794 = mux(_T_790, UInt<1>("h01"), _T_793) @[lib.scala 88:23] + _T_668[17] <= _T_794 @[lib.scala 88:17] + node _T_795 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 88:28] + node _T_796 = andr(_T_795) @[lib.scala 88:36] + node _T_797 = and(_T_796, _T_671) @[lib.scala 88:41] + node _T_798 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 88:74] + node _T_799 = bits(dec_i0_match_data[2], 18, 18) @[lib.scala 88:86] + node _T_800 = eq(_T_798, _T_799) @[lib.scala 88:78] + node _T_801 = mux(_T_797, UInt<1>("h01"), _T_800) @[lib.scala 88:23] + _T_668[18] <= _T_801 @[lib.scala 88:17] + node _T_802 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 88:28] + node _T_803 = andr(_T_802) @[lib.scala 88:36] + node _T_804 = and(_T_803, _T_671) @[lib.scala 88:41] + node _T_805 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 88:74] + node _T_806 = bits(dec_i0_match_data[2], 19, 19) @[lib.scala 88:86] + node _T_807 = eq(_T_805, _T_806) @[lib.scala 88:78] + node _T_808 = mux(_T_804, UInt<1>("h01"), _T_807) @[lib.scala 88:23] + _T_668[19] <= _T_808 @[lib.scala 88:17] + node _T_809 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 88:28] + node _T_810 = andr(_T_809) @[lib.scala 88:36] + node _T_811 = and(_T_810, _T_671) @[lib.scala 88:41] + node _T_812 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 88:74] + node _T_813 = bits(dec_i0_match_data[2], 20, 20) @[lib.scala 88:86] + node _T_814 = eq(_T_812, _T_813) @[lib.scala 88:78] + node _T_815 = mux(_T_811, UInt<1>("h01"), _T_814) @[lib.scala 88:23] + _T_668[20] <= _T_815 @[lib.scala 88:17] + node _T_816 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 88:28] + node _T_817 = andr(_T_816) @[lib.scala 88:36] + node _T_818 = and(_T_817, _T_671) @[lib.scala 88:41] + node _T_819 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 88:74] + node _T_820 = bits(dec_i0_match_data[2], 21, 21) @[lib.scala 88:86] + node _T_821 = eq(_T_819, _T_820) @[lib.scala 88:78] + node _T_822 = mux(_T_818, UInt<1>("h01"), _T_821) @[lib.scala 88:23] + _T_668[21] <= _T_822 @[lib.scala 88:17] + node _T_823 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 88:28] + node _T_824 = andr(_T_823) @[lib.scala 88:36] + node _T_825 = and(_T_824, _T_671) @[lib.scala 88:41] + node _T_826 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 88:74] + node _T_827 = bits(dec_i0_match_data[2], 22, 22) @[lib.scala 88:86] + node _T_828 = eq(_T_826, _T_827) @[lib.scala 88:78] + node _T_829 = mux(_T_825, UInt<1>("h01"), _T_828) @[lib.scala 88:23] + _T_668[22] <= _T_829 @[lib.scala 88:17] + node _T_830 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 88:28] + node _T_831 = andr(_T_830) @[lib.scala 88:36] + node _T_832 = and(_T_831, _T_671) @[lib.scala 88:41] + node _T_833 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 88:74] + node _T_834 = bits(dec_i0_match_data[2], 23, 23) @[lib.scala 88:86] + node _T_835 = eq(_T_833, _T_834) @[lib.scala 88:78] + node _T_836 = mux(_T_832, UInt<1>("h01"), _T_835) @[lib.scala 88:23] + _T_668[23] <= _T_836 @[lib.scala 88:17] + node _T_837 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 88:28] + node _T_838 = andr(_T_837) @[lib.scala 88:36] + node _T_839 = and(_T_838, _T_671) @[lib.scala 88:41] + node _T_840 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 88:74] + node _T_841 = bits(dec_i0_match_data[2], 24, 24) @[lib.scala 88:86] + node _T_842 = eq(_T_840, _T_841) @[lib.scala 88:78] + node _T_843 = mux(_T_839, UInt<1>("h01"), _T_842) @[lib.scala 88:23] + _T_668[24] <= _T_843 @[lib.scala 88:17] + node _T_844 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 88:28] + node _T_845 = andr(_T_844) @[lib.scala 88:36] + node _T_846 = and(_T_845, _T_671) @[lib.scala 88:41] + node _T_847 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 88:74] + node _T_848 = bits(dec_i0_match_data[2], 25, 25) @[lib.scala 88:86] + node _T_849 = eq(_T_847, _T_848) @[lib.scala 88:78] + node _T_850 = mux(_T_846, UInt<1>("h01"), _T_849) @[lib.scala 88:23] + _T_668[25] <= _T_850 @[lib.scala 88:17] + node _T_851 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 88:28] + node _T_852 = andr(_T_851) @[lib.scala 88:36] + node _T_853 = and(_T_852, _T_671) @[lib.scala 88:41] + node _T_854 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 88:74] + node _T_855 = bits(dec_i0_match_data[2], 26, 26) @[lib.scala 88:86] + node _T_856 = eq(_T_854, _T_855) @[lib.scala 88:78] + node _T_857 = mux(_T_853, UInt<1>("h01"), _T_856) @[lib.scala 88:23] + _T_668[26] <= _T_857 @[lib.scala 88:17] + node _T_858 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 88:28] + node _T_859 = andr(_T_858) @[lib.scala 88:36] + node _T_860 = and(_T_859, _T_671) @[lib.scala 88:41] + node _T_861 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 88:74] + node _T_862 = bits(dec_i0_match_data[2], 27, 27) @[lib.scala 88:86] + node _T_863 = eq(_T_861, _T_862) @[lib.scala 88:78] + node _T_864 = mux(_T_860, UInt<1>("h01"), _T_863) @[lib.scala 88:23] + _T_668[27] <= _T_864 @[lib.scala 88:17] + node _T_865 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 88:28] + node _T_866 = andr(_T_865) @[lib.scala 88:36] + node _T_867 = and(_T_866, _T_671) @[lib.scala 88:41] + node _T_868 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 88:74] + node _T_869 = bits(dec_i0_match_data[2], 28, 28) @[lib.scala 88:86] + node _T_870 = eq(_T_868, _T_869) @[lib.scala 88:78] + node _T_871 = mux(_T_867, UInt<1>("h01"), _T_870) @[lib.scala 88:23] + _T_668[28] <= _T_871 @[lib.scala 88:17] + node _T_872 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 88:28] + node _T_873 = andr(_T_872) @[lib.scala 88:36] + node _T_874 = and(_T_873, _T_671) @[lib.scala 88:41] + node _T_875 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 88:74] + node _T_876 = bits(dec_i0_match_data[2], 29, 29) @[lib.scala 88:86] + node _T_877 = eq(_T_875, _T_876) @[lib.scala 88:78] + node _T_878 = mux(_T_874, UInt<1>("h01"), _T_877) @[lib.scala 88:23] + _T_668[29] <= _T_878 @[lib.scala 88:17] + node _T_879 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 88:28] + node _T_880 = andr(_T_879) @[lib.scala 88:36] + node _T_881 = and(_T_880, _T_671) @[lib.scala 88:41] + node _T_882 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 88:74] + node _T_883 = bits(dec_i0_match_data[2], 30, 30) @[lib.scala 88:86] + node _T_884 = eq(_T_882, _T_883) @[lib.scala 88:78] + node _T_885 = mux(_T_881, UInt<1>("h01"), _T_884) @[lib.scala 88:23] + _T_668[30] <= _T_885 @[lib.scala 88:17] + node _T_886 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 88:28] + node _T_887 = andr(_T_886) @[lib.scala 88:36] + node _T_888 = and(_T_887, _T_671) @[lib.scala 88:41] + node _T_889 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 88:74] + node _T_890 = bits(dec_i0_match_data[2], 31, 31) @[lib.scala 88:86] + node _T_891 = eq(_T_889, _T_890) @[lib.scala 88:78] + node _T_892 = mux(_T_888, UInt<1>("h01"), _T_891) @[lib.scala 88:23] + _T_668[31] <= _T_892 @[lib.scala 88:17] + node _T_893 = cat(_T_668[1], _T_668[0]) @[lib.scala 89:14] + node _T_894 = cat(_T_668[3], _T_668[2]) @[lib.scala 89:14] + node _T_895 = cat(_T_894, _T_893) @[lib.scala 89:14] + node _T_896 = cat(_T_668[5], _T_668[4]) @[lib.scala 89:14] + node _T_897 = cat(_T_668[7], _T_668[6]) @[lib.scala 89:14] + node _T_898 = cat(_T_897, _T_896) @[lib.scala 89:14] + node _T_899 = cat(_T_898, _T_895) @[lib.scala 89:14] + node _T_900 = cat(_T_668[9], _T_668[8]) @[lib.scala 89:14] + node _T_901 = cat(_T_668[11], _T_668[10]) @[lib.scala 89:14] + node _T_902 = cat(_T_901, _T_900) @[lib.scala 89:14] + node _T_903 = cat(_T_668[13], _T_668[12]) @[lib.scala 89:14] + node _T_904 = cat(_T_668[15], _T_668[14]) @[lib.scala 89:14] + node _T_905 = cat(_T_904, _T_903) @[lib.scala 89:14] + node _T_906 = cat(_T_905, _T_902) @[lib.scala 89:14] + node _T_907 = cat(_T_906, _T_899) @[lib.scala 89:14] + node _T_908 = cat(_T_668[17], _T_668[16]) @[lib.scala 89:14] + node _T_909 = cat(_T_668[19], _T_668[18]) @[lib.scala 89:14] + node _T_910 = cat(_T_909, _T_908) @[lib.scala 89:14] + node _T_911 = cat(_T_668[21], _T_668[20]) @[lib.scala 89:14] + node _T_912 = cat(_T_668[23], _T_668[22]) @[lib.scala 89:14] + node _T_913 = cat(_T_912, _T_911) @[lib.scala 89:14] + node _T_914 = cat(_T_913, _T_910) @[lib.scala 89:14] + node _T_915 = cat(_T_668[25], _T_668[24]) @[lib.scala 89:14] + node _T_916 = cat(_T_668[27], _T_668[26]) @[lib.scala 89:14] + node _T_917 = cat(_T_916, _T_915) @[lib.scala 89:14] + node _T_918 = cat(_T_668[29], _T_668[28]) @[lib.scala 89:14] + node _T_919 = cat(_T_668[31], _T_668[30]) @[lib.scala 89:14] + node _T_920 = cat(_T_919, _T_918) @[lib.scala 89:14] + node _T_921 = cat(_T_920, _T_917) @[lib.scala 89:14] + node _T_922 = cat(_T_921, _T_914) @[lib.scala 89:14] + node _T_923 = cat(_T_922, _T_907) @[lib.scala 89:14] + node _T_924 = and(_T_666, _T_923) @[dec_trigger.scala 15:109] + node _T_925 = and(io.trigger_pkt_any[3].execute, io.trigger_pkt_any[3].m) @[dec_trigger.scala 15:83] + node _T_926 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_927 : UInt<1>[32] @[lib.scala 84:24] + node _T_928 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 85:45] + node _T_929 = not(_T_928) @[lib.scala 85:39] + node _T_930 = and(_T_926, _T_929) @[lib.scala 85:37] + node _T_931 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 86:48] + node _T_932 = bits(dec_i0_match_data[3], 0, 0) @[lib.scala 86:60] + node _T_933 = eq(_T_931, _T_932) @[lib.scala 86:52] + node _T_934 = or(_T_930, _T_933) @[lib.scala 86:41] + _T_927[0] <= _T_934 @[lib.scala 86:18] + node _T_935 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 88:28] + node _T_936 = andr(_T_935) @[lib.scala 88:36] + node _T_937 = and(_T_936, _T_930) @[lib.scala 88:41] + node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 88:74] + node _T_939 = bits(dec_i0_match_data[3], 1, 1) @[lib.scala 88:86] + node _T_940 = eq(_T_938, _T_939) @[lib.scala 88:78] + node _T_941 = mux(_T_937, UInt<1>("h01"), _T_940) @[lib.scala 88:23] + _T_927[1] <= _T_941 @[lib.scala 88:17] + node _T_942 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 88:28] + node _T_943 = andr(_T_942) @[lib.scala 88:36] + node _T_944 = and(_T_943, _T_930) @[lib.scala 88:41] + node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 88:74] + node _T_946 = bits(dec_i0_match_data[3], 2, 2) @[lib.scala 88:86] + node _T_947 = eq(_T_945, _T_946) @[lib.scala 88:78] + node _T_948 = mux(_T_944, UInt<1>("h01"), _T_947) @[lib.scala 88:23] + _T_927[2] <= _T_948 @[lib.scala 88:17] + node _T_949 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 88:28] + node _T_950 = andr(_T_949) @[lib.scala 88:36] + node _T_951 = and(_T_950, _T_930) @[lib.scala 88:41] + node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 88:74] + node _T_953 = bits(dec_i0_match_data[3], 3, 3) @[lib.scala 88:86] + node _T_954 = eq(_T_952, _T_953) @[lib.scala 88:78] + node _T_955 = mux(_T_951, UInt<1>("h01"), _T_954) @[lib.scala 88:23] + _T_927[3] <= _T_955 @[lib.scala 88:17] + node _T_956 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 88:28] + node _T_957 = andr(_T_956) @[lib.scala 88:36] + node _T_958 = and(_T_957, _T_930) @[lib.scala 88:41] + node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 88:74] + node _T_960 = bits(dec_i0_match_data[3], 4, 4) @[lib.scala 88:86] + node _T_961 = eq(_T_959, _T_960) @[lib.scala 88:78] + node _T_962 = mux(_T_958, UInt<1>("h01"), _T_961) @[lib.scala 88:23] + _T_927[4] <= _T_962 @[lib.scala 88:17] + node _T_963 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 88:28] + node _T_964 = andr(_T_963) @[lib.scala 88:36] + node _T_965 = and(_T_964, _T_930) @[lib.scala 88:41] + node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 88:74] + node _T_967 = bits(dec_i0_match_data[3], 5, 5) @[lib.scala 88:86] + node _T_968 = eq(_T_966, _T_967) @[lib.scala 88:78] + node _T_969 = mux(_T_965, UInt<1>("h01"), _T_968) @[lib.scala 88:23] + _T_927[5] <= _T_969 @[lib.scala 88:17] + node _T_970 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 88:28] + node _T_971 = andr(_T_970) @[lib.scala 88:36] + node _T_972 = and(_T_971, _T_930) @[lib.scala 88:41] + node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 88:74] + node _T_974 = bits(dec_i0_match_data[3], 6, 6) @[lib.scala 88:86] + node _T_975 = eq(_T_973, _T_974) @[lib.scala 88:78] + node _T_976 = mux(_T_972, UInt<1>("h01"), _T_975) @[lib.scala 88:23] + _T_927[6] <= _T_976 @[lib.scala 88:17] + node _T_977 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 88:28] + node _T_978 = andr(_T_977) @[lib.scala 88:36] + node _T_979 = and(_T_978, _T_930) @[lib.scala 88:41] + node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 88:74] + node _T_981 = bits(dec_i0_match_data[3], 7, 7) @[lib.scala 88:86] + node _T_982 = eq(_T_980, _T_981) @[lib.scala 88:78] + node _T_983 = mux(_T_979, UInt<1>("h01"), _T_982) @[lib.scala 88:23] + _T_927[7] <= _T_983 @[lib.scala 88:17] + node _T_984 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 88:28] + node _T_985 = andr(_T_984) @[lib.scala 88:36] + node _T_986 = and(_T_985, _T_930) @[lib.scala 88:41] + node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 88:74] + node _T_988 = bits(dec_i0_match_data[3], 8, 8) @[lib.scala 88:86] + node _T_989 = eq(_T_987, _T_988) @[lib.scala 88:78] + node _T_990 = mux(_T_986, UInt<1>("h01"), _T_989) @[lib.scala 88:23] + _T_927[8] <= _T_990 @[lib.scala 88:17] + node _T_991 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 88:28] + node _T_992 = andr(_T_991) @[lib.scala 88:36] + node _T_993 = and(_T_992, _T_930) @[lib.scala 88:41] + node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 88:74] + node _T_995 = bits(dec_i0_match_data[3], 9, 9) @[lib.scala 88:86] + node _T_996 = eq(_T_994, _T_995) @[lib.scala 88:78] + node _T_997 = mux(_T_993, UInt<1>("h01"), _T_996) @[lib.scala 88:23] + _T_927[9] <= _T_997 @[lib.scala 88:17] + node _T_998 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 88:28] + node _T_999 = andr(_T_998) @[lib.scala 88:36] + node _T_1000 = and(_T_999, _T_930) @[lib.scala 88:41] + node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 88:74] + node _T_1002 = bits(dec_i0_match_data[3], 10, 10) @[lib.scala 88:86] + node _T_1003 = eq(_T_1001, _T_1002) @[lib.scala 88:78] + node _T_1004 = mux(_T_1000, UInt<1>("h01"), _T_1003) @[lib.scala 88:23] + _T_927[10] <= _T_1004 @[lib.scala 88:17] + node _T_1005 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 88:28] + node _T_1006 = andr(_T_1005) @[lib.scala 88:36] + node _T_1007 = and(_T_1006, _T_930) @[lib.scala 88:41] + node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 88:74] + node _T_1009 = bits(dec_i0_match_data[3], 11, 11) @[lib.scala 88:86] + node _T_1010 = eq(_T_1008, _T_1009) @[lib.scala 88:78] + node _T_1011 = mux(_T_1007, UInt<1>("h01"), _T_1010) @[lib.scala 88:23] + _T_927[11] <= _T_1011 @[lib.scala 88:17] + node _T_1012 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 88:28] + node _T_1013 = andr(_T_1012) @[lib.scala 88:36] + node _T_1014 = and(_T_1013, _T_930) @[lib.scala 88:41] + node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 88:74] + node _T_1016 = bits(dec_i0_match_data[3], 12, 12) @[lib.scala 88:86] + node _T_1017 = eq(_T_1015, _T_1016) @[lib.scala 88:78] + node _T_1018 = mux(_T_1014, UInt<1>("h01"), _T_1017) @[lib.scala 88:23] + _T_927[12] <= _T_1018 @[lib.scala 88:17] + node _T_1019 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 88:28] + node _T_1020 = andr(_T_1019) @[lib.scala 88:36] + node _T_1021 = and(_T_1020, _T_930) @[lib.scala 88:41] + node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 88:74] + node _T_1023 = bits(dec_i0_match_data[3], 13, 13) @[lib.scala 88:86] + node _T_1024 = eq(_T_1022, _T_1023) @[lib.scala 88:78] + node _T_1025 = mux(_T_1021, UInt<1>("h01"), _T_1024) @[lib.scala 88:23] + _T_927[13] <= _T_1025 @[lib.scala 88:17] + node _T_1026 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 88:28] + node _T_1027 = andr(_T_1026) @[lib.scala 88:36] + node _T_1028 = and(_T_1027, _T_930) @[lib.scala 88:41] + node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 88:74] + node _T_1030 = bits(dec_i0_match_data[3], 14, 14) @[lib.scala 88:86] + node _T_1031 = eq(_T_1029, _T_1030) @[lib.scala 88:78] + node _T_1032 = mux(_T_1028, UInt<1>("h01"), _T_1031) @[lib.scala 88:23] + _T_927[14] <= _T_1032 @[lib.scala 88:17] + node _T_1033 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 88:28] + node _T_1034 = andr(_T_1033) @[lib.scala 88:36] + node _T_1035 = and(_T_1034, _T_930) @[lib.scala 88:41] + node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 88:74] + node _T_1037 = bits(dec_i0_match_data[3], 15, 15) @[lib.scala 88:86] + node _T_1038 = eq(_T_1036, _T_1037) @[lib.scala 88:78] + node _T_1039 = mux(_T_1035, UInt<1>("h01"), _T_1038) @[lib.scala 88:23] + _T_927[15] <= _T_1039 @[lib.scala 88:17] + node _T_1040 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 88:28] + node _T_1041 = andr(_T_1040) @[lib.scala 88:36] + node _T_1042 = and(_T_1041, _T_930) @[lib.scala 88:41] + node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 88:74] + node _T_1044 = bits(dec_i0_match_data[3], 16, 16) @[lib.scala 88:86] + node _T_1045 = eq(_T_1043, _T_1044) @[lib.scala 88:78] + node _T_1046 = mux(_T_1042, UInt<1>("h01"), _T_1045) @[lib.scala 88:23] + _T_927[16] <= _T_1046 @[lib.scala 88:17] + node _T_1047 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 88:28] + node _T_1048 = andr(_T_1047) @[lib.scala 88:36] + node _T_1049 = and(_T_1048, _T_930) @[lib.scala 88:41] + node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 88:74] + node _T_1051 = bits(dec_i0_match_data[3], 17, 17) @[lib.scala 88:86] + node _T_1052 = eq(_T_1050, _T_1051) @[lib.scala 88:78] + node _T_1053 = mux(_T_1049, UInt<1>("h01"), _T_1052) @[lib.scala 88:23] + _T_927[17] <= _T_1053 @[lib.scala 88:17] + node _T_1054 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 88:28] + node _T_1055 = andr(_T_1054) @[lib.scala 88:36] + node _T_1056 = and(_T_1055, _T_930) @[lib.scala 88:41] + node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 88:74] + node _T_1058 = bits(dec_i0_match_data[3], 18, 18) @[lib.scala 88:86] + node _T_1059 = eq(_T_1057, _T_1058) @[lib.scala 88:78] + node _T_1060 = mux(_T_1056, UInt<1>("h01"), _T_1059) @[lib.scala 88:23] + _T_927[18] <= _T_1060 @[lib.scala 88:17] + node _T_1061 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 88:28] + node _T_1062 = andr(_T_1061) @[lib.scala 88:36] + node _T_1063 = and(_T_1062, _T_930) @[lib.scala 88:41] + node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 88:74] + node _T_1065 = bits(dec_i0_match_data[3], 19, 19) @[lib.scala 88:86] + node _T_1066 = eq(_T_1064, _T_1065) @[lib.scala 88:78] + node _T_1067 = mux(_T_1063, UInt<1>("h01"), _T_1066) @[lib.scala 88:23] + _T_927[19] <= _T_1067 @[lib.scala 88:17] + node _T_1068 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 88:28] + node _T_1069 = andr(_T_1068) @[lib.scala 88:36] + node _T_1070 = and(_T_1069, _T_930) @[lib.scala 88:41] + node _T_1071 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 88:74] + node _T_1072 = bits(dec_i0_match_data[3], 20, 20) @[lib.scala 88:86] + node _T_1073 = eq(_T_1071, _T_1072) @[lib.scala 88:78] + node _T_1074 = mux(_T_1070, UInt<1>("h01"), _T_1073) @[lib.scala 88:23] + _T_927[20] <= _T_1074 @[lib.scala 88:17] + node _T_1075 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 88:28] + node _T_1076 = andr(_T_1075) @[lib.scala 88:36] + node _T_1077 = and(_T_1076, _T_930) @[lib.scala 88:41] + node _T_1078 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 88:74] + node _T_1079 = bits(dec_i0_match_data[3], 21, 21) @[lib.scala 88:86] + node _T_1080 = eq(_T_1078, _T_1079) @[lib.scala 88:78] + node _T_1081 = mux(_T_1077, UInt<1>("h01"), _T_1080) @[lib.scala 88:23] + _T_927[21] <= _T_1081 @[lib.scala 88:17] + node _T_1082 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 88:28] + node _T_1083 = andr(_T_1082) @[lib.scala 88:36] + node _T_1084 = and(_T_1083, _T_930) @[lib.scala 88:41] + node _T_1085 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 88:74] + node _T_1086 = bits(dec_i0_match_data[3], 22, 22) @[lib.scala 88:86] + node _T_1087 = eq(_T_1085, _T_1086) @[lib.scala 88:78] + node _T_1088 = mux(_T_1084, UInt<1>("h01"), _T_1087) @[lib.scala 88:23] + _T_927[22] <= _T_1088 @[lib.scala 88:17] + node _T_1089 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 88:28] + node _T_1090 = andr(_T_1089) @[lib.scala 88:36] + node _T_1091 = and(_T_1090, _T_930) @[lib.scala 88:41] + node _T_1092 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 88:74] + node _T_1093 = bits(dec_i0_match_data[3], 23, 23) @[lib.scala 88:86] + node _T_1094 = eq(_T_1092, _T_1093) @[lib.scala 88:78] + node _T_1095 = mux(_T_1091, UInt<1>("h01"), _T_1094) @[lib.scala 88:23] + _T_927[23] <= _T_1095 @[lib.scala 88:17] + node _T_1096 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 88:28] + node _T_1097 = andr(_T_1096) @[lib.scala 88:36] + node _T_1098 = and(_T_1097, _T_930) @[lib.scala 88:41] + node _T_1099 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 88:74] + node _T_1100 = bits(dec_i0_match_data[3], 24, 24) @[lib.scala 88:86] + node _T_1101 = eq(_T_1099, _T_1100) @[lib.scala 88:78] + node _T_1102 = mux(_T_1098, UInt<1>("h01"), _T_1101) @[lib.scala 88:23] + _T_927[24] <= _T_1102 @[lib.scala 88:17] + node _T_1103 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 88:28] + node _T_1104 = andr(_T_1103) @[lib.scala 88:36] + node _T_1105 = and(_T_1104, _T_930) @[lib.scala 88:41] + node _T_1106 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 88:74] + node _T_1107 = bits(dec_i0_match_data[3], 25, 25) @[lib.scala 88:86] + node _T_1108 = eq(_T_1106, _T_1107) @[lib.scala 88:78] + node _T_1109 = mux(_T_1105, UInt<1>("h01"), _T_1108) @[lib.scala 88:23] + _T_927[25] <= _T_1109 @[lib.scala 88:17] + node _T_1110 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 88:28] + node _T_1111 = andr(_T_1110) @[lib.scala 88:36] + node _T_1112 = and(_T_1111, _T_930) @[lib.scala 88:41] + node _T_1113 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 88:74] + node _T_1114 = bits(dec_i0_match_data[3], 26, 26) @[lib.scala 88:86] + node _T_1115 = eq(_T_1113, _T_1114) @[lib.scala 88:78] + node _T_1116 = mux(_T_1112, UInt<1>("h01"), _T_1115) @[lib.scala 88:23] + _T_927[26] <= _T_1116 @[lib.scala 88:17] + node _T_1117 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 88:28] + node _T_1118 = andr(_T_1117) @[lib.scala 88:36] + node _T_1119 = and(_T_1118, _T_930) @[lib.scala 88:41] + node _T_1120 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 88:74] + node _T_1121 = bits(dec_i0_match_data[3], 27, 27) @[lib.scala 88:86] + node _T_1122 = eq(_T_1120, _T_1121) @[lib.scala 88:78] + node _T_1123 = mux(_T_1119, UInt<1>("h01"), _T_1122) @[lib.scala 88:23] + _T_927[27] <= _T_1123 @[lib.scala 88:17] + node _T_1124 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 88:28] + node _T_1125 = andr(_T_1124) @[lib.scala 88:36] + node _T_1126 = and(_T_1125, _T_930) @[lib.scala 88:41] + node _T_1127 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 88:74] + node _T_1128 = bits(dec_i0_match_data[3], 28, 28) @[lib.scala 88:86] + node _T_1129 = eq(_T_1127, _T_1128) @[lib.scala 88:78] + node _T_1130 = mux(_T_1126, UInt<1>("h01"), _T_1129) @[lib.scala 88:23] + _T_927[28] <= _T_1130 @[lib.scala 88:17] + node _T_1131 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 88:28] + node _T_1132 = andr(_T_1131) @[lib.scala 88:36] + node _T_1133 = and(_T_1132, _T_930) @[lib.scala 88:41] + node _T_1134 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 88:74] + node _T_1135 = bits(dec_i0_match_data[3], 29, 29) @[lib.scala 88:86] + node _T_1136 = eq(_T_1134, _T_1135) @[lib.scala 88:78] + node _T_1137 = mux(_T_1133, UInt<1>("h01"), _T_1136) @[lib.scala 88:23] + _T_927[29] <= _T_1137 @[lib.scala 88:17] + node _T_1138 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 88:28] + node _T_1139 = andr(_T_1138) @[lib.scala 88:36] + node _T_1140 = and(_T_1139, _T_930) @[lib.scala 88:41] + node _T_1141 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 88:74] + node _T_1142 = bits(dec_i0_match_data[3], 30, 30) @[lib.scala 88:86] + node _T_1143 = eq(_T_1141, _T_1142) @[lib.scala 88:78] + node _T_1144 = mux(_T_1140, UInt<1>("h01"), _T_1143) @[lib.scala 88:23] + _T_927[30] <= _T_1144 @[lib.scala 88:17] + node _T_1145 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 88:28] + node _T_1146 = andr(_T_1145) @[lib.scala 88:36] + node _T_1147 = and(_T_1146, _T_930) @[lib.scala 88:41] + node _T_1148 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 88:74] + node _T_1149 = bits(dec_i0_match_data[3], 31, 31) @[lib.scala 88:86] + node _T_1150 = eq(_T_1148, _T_1149) @[lib.scala 88:78] + node _T_1151 = mux(_T_1147, UInt<1>("h01"), _T_1150) @[lib.scala 88:23] + _T_927[31] <= _T_1151 @[lib.scala 88:17] + node _T_1152 = cat(_T_927[1], _T_927[0]) @[lib.scala 89:14] + node _T_1153 = cat(_T_927[3], _T_927[2]) @[lib.scala 89:14] + node _T_1154 = cat(_T_1153, _T_1152) @[lib.scala 89:14] + node _T_1155 = cat(_T_927[5], _T_927[4]) @[lib.scala 89:14] + node _T_1156 = cat(_T_927[7], _T_927[6]) @[lib.scala 89:14] node _T_1157 = cat(_T_1156, _T_1155) @[lib.scala 89:14] - node _T_1158 = cat(_T_930[5], _T_930[4]) @[lib.scala 89:14] - node _T_1159 = cat(_T_930[7], _T_930[6]) @[lib.scala 89:14] - node _T_1160 = cat(_T_1159, _T_1158) @[lib.scala 89:14] - node _T_1161 = cat(_T_1160, _T_1157) @[lib.scala 89:14] - node _T_1162 = cat(_T_930[9], _T_930[8]) @[lib.scala 89:14] - node _T_1163 = cat(_T_930[11], _T_930[10]) @[lib.scala 89:14] + node _T_1158 = cat(_T_1157, _T_1154) @[lib.scala 89:14] + node _T_1159 = cat(_T_927[9], _T_927[8]) @[lib.scala 89:14] + node _T_1160 = cat(_T_927[11], _T_927[10]) @[lib.scala 89:14] + node _T_1161 = cat(_T_1160, _T_1159) @[lib.scala 89:14] + node _T_1162 = cat(_T_927[13], _T_927[12]) @[lib.scala 89:14] + node _T_1163 = cat(_T_927[15], _T_927[14]) @[lib.scala 89:14] node _T_1164 = cat(_T_1163, _T_1162) @[lib.scala 89:14] - node _T_1165 = cat(_T_930[13], _T_930[12]) @[lib.scala 89:14] - node _T_1166 = cat(_T_930[15], _T_930[14]) @[lib.scala 89:14] - node _T_1167 = cat(_T_1166, _T_1165) @[lib.scala 89:14] - node _T_1168 = cat(_T_1167, _T_1164) @[lib.scala 89:14] - node _T_1169 = cat(_T_1168, _T_1161) @[lib.scala 89:14] - node _T_1170 = cat(_T_930[17], _T_930[16]) @[lib.scala 89:14] - node _T_1171 = cat(_T_930[19], _T_930[18]) @[lib.scala 89:14] + node _T_1165 = cat(_T_1164, _T_1161) @[lib.scala 89:14] + node _T_1166 = cat(_T_1165, _T_1158) @[lib.scala 89:14] + node _T_1167 = cat(_T_927[17], _T_927[16]) @[lib.scala 89:14] + node _T_1168 = cat(_T_927[19], _T_927[18]) @[lib.scala 89:14] + node _T_1169 = cat(_T_1168, _T_1167) @[lib.scala 89:14] + node _T_1170 = cat(_T_927[21], _T_927[20]) @[lib.scala 89:14] + node _T_1171 = cat(_T_927[23], _T_927[22]) @[lib.scala 89:14] node _T_1172 = cat(_T_1171, _T_1170) @[lib.scala 89:14] - node _T_1173 = cat(_T_930[21], _T_930[20]) @[lib.scala 89:14] - node _T_1174 = cat(_T_930[23], _T_930[22]) @[lib.scala 89:14] - node _T_1175 = cat(_T_1174, _T_1173) @[lib.scala 89:14] - node _T_1176 = cat(_T_1175, _T_1172) @[lib.scala 89:14] - node _T_1177 = cat(_T_930[25], _T_930[24]) @[lib.scala 89:14] - node _T_1178 = cat(_T_930[27], _T_930[26]) @[lib.scala 89:14] + node _T_1173 = cat(_T_1172, _T_1169) @[lib.scala 89:14] + node _T_1174 = cat(_T_927[25], _T_927[24]) @[lib.scala 89:14] + node _T_1175 = cat(_T_927[27], _T_927[26]) @[lib.scala 89:14] + node _T_1176 = cat(_T_1175, _T_1174) @[lib.scala 89:14] + node _T_1177 = cat(_T_927[29], _T_927[28]) @[lib.scala 89:14] + node _T_1178 = cat(_T_927[31], _T_927[30]) @[lib.scala 89:14] node _T_1179 = cat(_T_1178, _T_1177) @[lib.scala 89:14] - node _T_1180 = cat(_T_930[29], _T_930[28]) @[lib.scala 89:14] - node _T_1181 = cat(_T_930[31], _T_930[30]) @[lib.scala 89:14] - node _T_1182 = cat(_T_1181, _T_1180) @[lib.scala 89:14] - node _T_1183 = cat(_T_1182, _T_1179) @[lib.scala 89:14] - node _T_1184 = cat(_T_1183, _T_1176) @[lib.scala 89:14] - node _T_1185 = cat(_T_1184, _T_1169) @[lib.scala 89:14] - node _T_1186 = andr(_T_1185) @[lib.scala 89:25] - node _T_1187 = and(_T_928, _T_1186) @[dec_trigger.scala 15:109] - node _T_1188 = cat(_T_1187, _T_927) @[Cat.scala 29:58] - node _T_1189 = cat(_T_1188, _T_667) @[Cat.scala 29:58] - node _T_1190 = cat(_T_1189, _T_407) @[Cat.scala 29:58] - io.dec_i0_trigger_match_d <= _T_1190 @[dec_trigger.scala 15:29] + node _T_1180 = cat(_T_1179, _T_1176) @[lib.scala 89:14] + node _T_1181 = cat(_T_1180, _T_1173) @[lib.scala 89:14] + node _T_1182 = cat(_T_1181, _T_1166) @[lib.scala 89:14] + node _T_1183 = and(_T_925, _T_1182) @[dec_trigger.scala 15:109] + node _T_1184 = cat(_T_1183, _T_924) @[Cat.scala 29:58] + node _T_1185 = cat(_T_1184, _T_665) @[Cat.scala 29:58] + node _T_1186 = cat(_T_1185, _T_406) @[Cat.scala 29:58] + io.dec_i0_trigger_match_d <= _T_1186 @[dec_trigger.scala 15:29] module dec : input clock : Clock @@ -81905,421 +81899,440 @@ circuit quasar_wrapper : node _T_27 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 105:61] node _T_28 = and(sbcs_wren, _T_27) @[dbg.scala 105:43] node sbcs_sbbusyerror_din = not(_T_28) @[dbg.scala 105:31] - node _T_29 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 106:53] - reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_29, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_29 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 106:54] + node _T_30 = asAsyncReset(_T_29) @[dbg.scala 106:81] + reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_30, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sbbusyerror_wren : @[Reg.scala 28:19] temp_sbcs_22 <= sbcs_sbbusyerror_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_30 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 110:53] - reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_30, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_31 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 110:54] + node _T_32 = asAsyncReset(_T_31) @[dbg.scala 110:81] + reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_32, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sbbusy_wren : @[Reg.scala 28:19] temp_sbcs_21 <= sbcs_sbbusy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_31 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 114:53] - node _T_32 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 115:31] - reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_31, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_33 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 114:54] + node _T_34 = asAsyncReset(_T_33) @[dbg.scala 114:81] + node _T_35 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 115:31] + reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_34, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] - temp_sbcs_20 <= _T_32 @[Reg.scala 28:23] + temp_sbcs_20 <= _T_35 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_33 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 118:56] - node _T_34 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 119:31] - reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_33, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_36 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 118:57] + node _T_37 = asAsyncReset(_T_36) @[dbg.scala 118:84] + node _T_38 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 119:31] + reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_37, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] - temp_sbcs_19_15 <= _T_34 @[Reg.scala 28:23] + temp_sbcs_19_15 <= _T_38 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_35 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 122:56] - node _T_36 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 123:31] - reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_35, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_39 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 122:57] + node _T_40 = asAsyncReset(_T_39) @[dbg.scala 122:84] + node _T_41 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 123:31] + reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_40, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sberror_wren : @[Reg.scala 28:19] - temp_sbcs_14_12 <= _T_36 @[Reg.scala 28:23] + temp_sbcs_14_12 <= _T_41 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_37 = cat(UInt<7>("h020"), UInt<5>("h0f")) @[Cat.scala 29:58] - node _T_38 = cat(temp_sbcs_19_15, temp_sbcs_14_12) @[Cat.scala 29:58] - node _T_39 = cat(_T_38, _T_37) @[Cat.scala 29:58] - node _T_40 = cat(temp_sbcs_21, temp_sbcs_20) @[Cat.scala 29:58] - node _T_41 = cat(UInt<3>("h01"), UInt<6>("h00")) @[Cat.scala 29:58] - node _T_42 = cat(_T_41, temp_sbcs_22) @[Cat.scala 29:58] - node _T_43 = cat(_T_42, _T_40) @[Cat.scala 29:58] - node _T_44 = cat(_T_43, _T_39) @[Cat.scala 29:58] - sbcs_reg <= _T_44 @[dbg.scala 125:12] - node _T_45 = bits(sbcs_reg, 19, 17) @[dbg.scala 127:33] - node _T_46 = eq(_T_45, UInt<1>("h01")) @[dbg.scala 127:42] - node _T_47 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 127:72] - node _T_48 = and(_T_46, _T_47) @[dbg.scala 127:56] - node _T_49 = bits(sbcs_reg, 19, 17) @[dbg.scala 128:14] - node _T_50 = eq(_T_49, UInt<2>("h02")) @[dbg.scala 128:23] - node _T_51 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 128:53] - node _T_52 = orr(_T_51) @[dbg.scala 128:60] - node _T_53 = and(_T_50, _T_52) @[dbg.scala 128:37] - node _T_54 = or(_T_48, _T_53) @[dbg.scala 127:76] - node _T_55 = bits(sbcs_reg, 19, 17) @[dbg.scala 129:14] - node _T_56 = eq(_T_55, UInt<2>("h03")) @[dbg.scala 129:23] - node _T_57 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 129:53] - node _T_58 = orr(_T_57) @[dbg.scala 129:60] - node _T_59 = and(_T_56, _T_58) @[dbg.scala 129:37] - node sbcs_unaligned = or(_T_54, _T_59) @[dbg.scala 128:64] + node _T_42 = cat(UInt<7>("h020"), UInt<5>("h0f")) @[Cat.scala 29:58] + node _T_43 = cat(temp_sbcs_19_15, temp_sbcs_14_12) @[Cat.scala 29:58] + node _T_44 = cat(_T_43, _T_42) @[Cat.scala 29:58] + node _T_45 = cat(temp_sbcs_21, temp_sbcs_20) @[Cat.scala 29:58] + node _T_46 = cat(UInt<3>("h01"), UInt<6>("h00")) @[Cat.scala 29:58] + node _T_47 = cat(_T_46, temp_sbcs_22) @[Cat.scala 29:58] + node _T_48 = cat(_T_47, _T_45) @[Cat.scala 29:58] + node _T_49 = cat(_T_48, _T_44) @[Cat.scala 29:58] + sbcs_reg <= _T_49 @[dbg.scala 125:12] + node _T_50 = bits(sbcs_reg, 19, 17) @[dbg.scala 127:33] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[dbg.scala 127:42] + node _T_52 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 127:72] + node _T_53 = and(_T_51, _T_52) @[dbg.scala 127:56] + node _T_54 = bits(sbcs_reg, 19, 17) @[dbg.scala 128:14] + node _T_55 = eq(_T_54, UInt<2>("h02")) @[dbg.scala 128:23] + node _T_56 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 128:53] + node _T_57 = orr(_T_56) @[dbg.scala 128:60] + node _T_58 = and(_T_55, _T_57) @[dbg.scala 128:37] + node _T_59 = or(_T_53, _T_58) @[dbg.scala 127:76] + node _T_60 = bits(sbcs_reg, 19, 17) @[dbg.scala 129:14] + node _T_61 = eq(_T_60, UInt<2>("h03")) @[dbg.scala 129:23] + node _T_62 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 129:53] + node _T_63 = orr(_T_62) @[dbg.scala 129:60] + node _T_64 = and(_T_61, _T_63) @[dbg.scala 129:37] + node sbcs_unaligned = or(_T_59, _T_64) @[dbg.scala 128:64] node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 131:35] - node _T_60 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:42] - node _T_61 = eq(_T_60, UInt<1>("h00")) @[dbg.scala 132:51] - node _T_62 = bits(_T_61, 0, 0) @[Bitwise.scala 72:15] - node _T_63 = mux(_T_62, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_64 = and(_T_63, UInt<1>("h01")) @[dbg.scala 132:64] - node _T_65 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:95] - node _T_66 = eq(_T_65, UInt<1>("h01")) @[dbg.scala 132:104] + node _T_65 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:42] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[dbg.scala 132:51] node _T_67 = bits(_T_66, 0, 0) @[Bitwise.scala 72:15] node _T_68 = mux(_T_67, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_69 = and(_T_68, UInt<2>("h02")) @[dbg.scala 132:117] - node _T_70 = or(_T_64, _T_69) @[dbg.scala 132:76] - node _T_71 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:22] - node _T_72 = eq(_T_71, UInt<2>("h02")) @[dbg.scala 133:31] - node _T_73 = bits(_T_72, 0, 0) @[Bitwise.scala 72:15] - node _T_74 = mux(_T_73, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_75 = and(_T_74, UInt<3>("h04")) @[dbg.scala 133:44] - node _T_76 = or(_T_70, _T_75) @[dbg.scala 132:129] - node _T_77 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:75] - node _T_78 = eq(_T_77, UInt<2>("h03")) @[dbg.scala 133:84] - node _T_79 = bits(_T_78, 0, 0) @[Bitwise.scala 72:15] - node _T_80 = mux(_T_79, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_81 = and(_T_80, UInt<4>("h08")) @[dbg.scala 133:97] - node sbaddress0_incr = or(_T_76, _T_81) @[dbg.scala 133:56] - node _T_82 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 135:41] - node _T_83 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 135:79] - node sbdata0_reg_wren0 = and(_T_82, _T_83) @[dbg.scala 135:60] - node _T_84 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 136:37] - node _T_85 = and(_T_84, sb_state_en) @[dbg.scala 136:60] - node _T_86 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 136:76] - node sbdata0_reg_wren1 = and(_T_85, _T_86) @[dbg.scala 136:74] + node _T_69 = and(_T_68, UInt<1>("h01")) @[dbg.scala 132:64] + node _T_70 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:95] + node _T_71 = eq(_T_70, UInt<1>("h01")) @[dbg.scala 132:104] + node _T_72 = bits(_T_71, 0, 0) @[Bitwise.scala 72:15] + node _T_73 = mux(_T_72, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_74 = and(_T_73, UInt<2>("h02")) @[dbg.scala 132:117] + node _T_75 = or(_T_69, _T_74) @[dbg.scala 132:76] + node _T_76 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:22] + node _T_77 = eq(_T_76, UInt<2>("h02")) @[dbg.scala 133:31] + node _T_78 = bits(_T_77, 0, 0) @[Bitwise.scala 72:15] + node _T_79 = mux(_T_78, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_80 = and(_T_79, UInt<3>("h04")) @[dbg.scala 133:44] + node _T_81 = or(_T_75, _T_80) @[dbg.scala 132:129] + node _T_82 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:75] + node _T_83 = eq(_T_82, UInt<2>("h03")) @[dbg.scala 133:84] + node _T_84 = bits(_T_83, 0, 0) @[Bitwise.scala 72:15] + node _T_85 = mux(_T_84, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_86 = and(_T_85, UInt<4>("h08")) @[dbg.scala 133:97] + node sbaddress0_incr = or(_T_81, _T_86) @[dbg.scala 133:56] + node _T_87 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 135:41] + node _T_88 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 135:79] + node sbdata0_reg_wren0 = and(_T_87, _T_88) @[dbg.scala 135:60] + node _T_89 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 136:37] + node _T_90 = and(_T_89, sb_state_en) @[dbg.scala 136:60] + node _T_91 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 136:76] + node sbdata0_reg_wren1 = and(_T_90, _T_91) @[dbg.scala 136:74] node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 137:44] - node _T_87 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 138:41] - node _T_88 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 138:79] - node sbdata1_reg_wren0 = and(_T_87, _T_88) @[dbg.scala 138:60] - node _T_89 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 139:37] - node _T_90 = and(_T_89, sb_state_en) @[dbg.scala 139:60] - node _T_91 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 139:76] - node sbdata1_reg_wren1 = and(_T_90, _T_91) @[dbg.scala 139:74] + node _T_92 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 138:41] + node _T_93 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 138:79] + node sbdata1_reg_wren0 = and(_T_92, _T_93) @[dbg.scala 138:60] + node _T_94 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 139:37] + node _T_95 = and(_T_94, sb_state_en) @[dbg.scala 139:60] + node _T_96 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 139:76] + node sbdata1_reg_wren1 = and(_T_95, _T_96) @[dbg.scala 139:74] node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 140:44] - node _T_92 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_93 = mux(_T_92, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_94 = and(_T_93, io.dmi_reg_wdata) @[dbg.scala 141:49] - node _T_95 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_96 = mux(_T_95, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_97 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 142:47] - node _T_98 = and(_T_96, _T_97) @[dbg.scala 142:33] - node sbdata0_din = or(_T_94, _T_98) @[dbg.scala 141:68] - node _T_99 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_100 = mux(_T_99, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_101 = and(_T_100, io.dmi_reg_wdata) @[dbg.scala 144:49] - node _T_102 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_103 = mux(_T_102, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_104 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 145:47] - node _T_105 = and(_T_103, _T_104) @[dbg.scala 145:33] - node sbdata1_din = or(_T_101, _T_105) @[dbg.scala 144:68] - node _T_106 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 147:31] + node _T_97 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_98 = mux(_T_97, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_99 = and(_T_98, io.dmi_reg_wdata) @[dbg.scala 141:49] + node _T_100 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_101 = mux(_T_100, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_102 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 142:47] + node _T_103 = and(_T_101, _T_102) @[dbg.scala 142:33] + node sbdata0_din = or(_T_99, _T_103) @[dbg.scala 141:68] + node _T_104 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_105 = mux(_T_104, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_106 = and(_T_105, io.dmi_reg_wdata) @[dbg.scala 144:49] + node _T_107 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_108 = mux(_T_107, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_109 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 145:47] + node _T_110 = and(_T_108, _T_109) @[dbg.scala 145:33] + node sbdata1_din = or(_T_106, _T_110) @[dbg.scala 144:68] + node _T_111 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 147:32] + node _T_112 = asAsyncReset(_T_111) @[dbg.scala 147:59] inst rvclkhdr_2 of rvclkhdr_757 @[lib.scala 352:23] rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= _T_106 + rvclkhdr_2.reset <= _T_112 rvclkhdr_2.io.clk <= clock @[lib.scala 354:18] rvclkhdr_2.io.en <= sbdata0_reg_wren @[lib.scala 355:17] rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (_T_106, UInt<1>("h00"))) @[lib.scala 358:16] + reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (_T_112, UInt<1>("h00"))) @[lib.scala 358:16] sbdata0_reg <= sbdata0_din @[lib.scala 358:16] - node _T_107 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 151:31] + node _T_113 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 151:32] + node _T_114 = asAsyncReset(_T_113) @[dbg.scala 151:59] inst rvclkhdr_3 of rvclkhdr_758 @[lib.scala 352:23] rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= _T_107 + rvclkhdr_3.reset <= _T_114 rvclkhdr_3.io.clk <= clock @[lib.scala 354:18] rvclkhdr_3.io.en <= sbdata1_reg_wren @[lib.scala 355:17] rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (_T_107, UInt<1>("h00"))) @[lib.scala 358:16] + reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (_T_114, UInt<1>("h00"))) @[lib.scala 358:16] sbdata1_reg <= sbdata1_din @[lib.scala 358:16] - node _T_108 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 155:44] - node _T_109 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 155:82] - node sbaddress0_reg_wren0 = and(_T_108, _T_109) @[dbg.scala 155:63] + node _T_115 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 155:44] + node _T_116 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 155:82] + node sbaddress0_reg_wren0 = and(_T_115, _T_116) @[dbg.scala 155:63] node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 156:50] - node _T_110 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_111 = mux(_T_110, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_112 = and(_T_111, io.dmi_reg_wdata) @[dbg.scala 157:59] - node _T_113 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_114 = mux(_T_113, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_115 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] - node _T_116 = add(sbaddress0_reg, _T_115) @[dbg.scala 158:54] - node _T_117 = tail(_T_116, 1) @[dbg.scala 158:54] - node _T_118 = and(_T_114, _T_117) @[dbg.scala 158:36] - node sbaddress0_reg_din = or(_T_112, _T_118) @[dbg.scala 157:78] - node _T_119 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 159:31] + node _T_117 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_118 = mux(_T_117, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_119 = and(_T_118, io.dmi_reg_wdata) @[dbg.scala 157:59] + node _T_120 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_121 = mux(_T_120, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_122 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] + node _T_123 = add(sbaddress0_reg, _T_122) @[dbg.scala 158:54] + node _T_124 = tail(_T_123, 1) @[dbg.scala 158:54] + node _T_125 = and(_T_121, _T_124) @[dbg.scala 158:36] + node sbaddress0_reg_din = or(_T_119, _T_125) @[dbg.scala 157:78] + node _T_126 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 159:32] + node _T_127 = asAsyncReset(_T_126) @[dbg.scala 159:59] inst rvclkhdr_4 of rvclkhdr_759 @[lib.scala 352:23] rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= _T_119 + rvclkhdr_4.reset <= _T_127 rvclkhdr_4.io.clk <= clock @[lib.scala 354:18] rvclkhdr_4.io.en <= sbaddress0_reg_wren @[lib.scala 355:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_120 : UInt, rvclkhdr_4.io.l1clk with : (reset => (_T_119, UInt<1>("h00"))) @[lib.scala 358:16] - _T_120 <= sbaddress0_reg_din @[lib.scala 358:16] - sbaddress0_reg <= _T_120 @[dbg.scala 159:18] - node _T_121 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 163:43] - node _T_122 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 163:81] - node _T_123 = and(_T_121, _T_122) @[dbg.scala 163:62] - node _T_124 = bits(sbcs_reg, 20, 20) @[dbg.scala 163:104] - node sbreadonaddr_access = and(_T_123, _T_124) @[dbg.scala 163:94] - node _T_125 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 164:45] - node _T_126 = and(io.dmi_reg_en, _T_125) @[dbg.scala 164:43] - node _T_127 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 164:82] - node _T_128 = and(_T_126, _T_127) @[dbg.scala 164:63] - node _T_129 = bits(sbcs_reg, 15, 15) @[dbg.scala 164:105] - node sbreadondata_access = and(_T_128, _T_129) @[dbg.scala 164:95] - node _T_130 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 165:40] - node _T_131 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 165:78] - node sbdata0wr_access = and(_T_130, _T_131) @[dbg.scala 165:59] - node _T_132 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 166:41] - node _T_133 = and(_T_132, io.dmi_reg_en) @[dbg.scala 166:54] - node dmcontrol_wren = and(_T_133, io.dmi_reg_wr_en) @[dbg.scala 166:70] - node _T_134 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 167:49] - node _T_135 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 169:27] - node _T_136 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 169:53] - node _T_137 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 169:75] - node _T_138 = cat(_T_135, _T_136) @[Cat.scala 29:58] - node _T_139 = cat(_T_138, _T_137) @[Cat.scala 29:58] - reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (_T_134, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_128 : UInt, rvclkhdr_4.io.l1clk with : (reset => (_T_127, UInt<1>("h00"))) @[lib.scala 358:16] + _T_128 <= sbaddress0_reg_din @[lib.scala 358:16] + sbaddress0_reg <= _T_128 @[dbg.scala 159:18] + node _T_129 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 163:43] + node _T_130 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 163:81] + node _T_131 = and(_T_129, _T_130) @[dbg.scala 163:62] + node _T_132 = bits(sbcs_reg, 20, 20) @[dbg.scala 163:104] + node sbreadonaddr_access = and(_T_131, _T_132) @[dbg.scala 163:94] + node _T_133 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 164:45] + node _T_134 = and(io.dmi_reg_en, _T_133) @[dbg.scala 164:43] + node _T_135 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 164:82] + node _T_136 = and(_T_134, _T_135) @[dbg.scala 164:63] + node _T_137 = bits(sbcs_reg, 15, 15) @[dbg.scala 164:105] + node sbreadondata_access = and(_T_136, _T_137) @[dbg.scala 164:95] + node _T_138 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 165:40] + node _T_139 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 165:78] + node sbdata0wr_access = and(_T_138, _T_139) @[dbg.scala 165:59] + node _T_140 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 166:41] + node _T_141 = and(_T_140, io.dmi_reg_en) @[dbg.scala 166:54] + node dmcontrol_wren = and(_T_141, io.dmi_reg_wr_en) @[dbg.scala 166:70] + node _T_142 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 167:50] + node _T_143 = asAsyncReset(_T_142) @[dbg.scala 167:77] + node _T_144 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 169:27] + node _T_145 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 169:53] + node _T_146 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 169:75] + node _T_147 = cat(_T_144, _T_145) @[Cat.scala 29:58] + node _T_148 = cat(_T_147, _T_146) @[Cat.scala 29:58] + reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (_T_143, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp <= _T_139 @[Reg.scala 28:23] + dm_temp <= _T_148 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_140 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 174:31] - reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (io.dbg_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_149 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 173:76] + node _T_150 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 174:31] + reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_149, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp_0 <= _T_140 @[Reg.scala 28:23] + dm_temp_0 <= _T_150 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_141 = bits(dm_temp, 3, 2) @[dbg.scala 177:25] - node _T_142 = bits(dm_temp, 1, 1) @[dbg.scala 177:45] - node _T_143 = bits(dm_temp, 0, 0) @[dbg.scala 177:68] - node _T_144 = cat(UInt<26>("h00"), _T_143) @[Cat.scala 29:58] - node _T_145 = cat(_T_144, dm_temp_0) @[Cat.scala 29:58] - node _T_146 = cat(_T_141, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_147 = cat(_T_146, _T_142) @[Cat.scala 29:58] - node temp = cat(_T_147, _T_145) @[Cat.scala 29:58] + node _T_151 = bits(dm_temp, 3, 2) @[dbg.scala 177:25] + node _T_152 = bits(dm_temp, 1, 1) @[dbg.scala 177:45] + node _T_153 = bits(dm_temp, 0, 0) @[dbg.scala 177:68] + node _T_154 = cat(UInt<26>("h00"), _T_153) @[Cat.scala 29:58] + node _T_155 = cat(_T_154, dm_temp_0) @[Cat.scala 29:58] + node _T_156 = cat(_T_151, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_152) @[Cat.scala 29:58] + node temp = cat(_T_157, _T_155) @[Cat.scala 29:58] dmcontrol_reg <= temp @[dbg.scala 178:17] - node _T_148 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 180:58] - reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_148, UInt<1>("h00"))) @[dbg.scala 181:12] + node _T_158 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 180:59] + node _T_159 = asAsyncReset(_T_158) @[dbg.scala 180:86] + reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_159, UInt<1>("h00"))) @[dbg.scala 181:12] dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 181:12] - node _T_149 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] - node _T_150 = mux(_T_149, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_151 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] - node _T_152 = mux(_T_151, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_153 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] - node _T_154 = mux(_T_153, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_155 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] - node _T_156 = mux(_T_155, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_157 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] - node _T_158 = mux(_T_157, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_159 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] - node _T_160 = cat(_T_156, _T_158) @[Cat.scala 29:58] - node _T_161 = cat(_T_160, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_162 = cat(_T_161, _T_159) @[Cat.scala 29:58] - node _T_163 = cat(UInt<2>("h00"), _T_154) @[Cat.scala 29:58] - node _T_164 = cat(UInt<12>("h00"), _T_150) @[Cat.scala 29:58] - node _T_165 = cat(_T_164, _T_152) @[Cat.scala 29:58] - node _T_166 = cat(_T_165, _T_163) @[Cat.scala 29:58] - node _T_167 = cat(_T_166, _T_162) @[Cat.scala 29:58] - dmstatus_reg <= _T_167 @[dbg.scala 184:16] - node _T_168 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 186:44] - node _T_169 = and(_T_168, io.dec_tlu_resume_ack) @[dbg.scala 186:66] - node _T_170 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 186:127] - node _T_171 = eq(_T_170, UInt<1>("h00")) @[dbg.scala 186:113] - node _T_172 = and(dmstatus_resumeack, _T_171) @[dbg.scala 186:111] - node dmstatus_resumeack_wren = or(_T_169, _T_172) @[dbg.scala 186:90] - node _T_173 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 187:43] - node dmstatus_resumeack_din = and(_T_173, io.dec_tlu_resume_ack) @[dbg.scala 187:65] - node _T_174 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 188:50] - node _T_175 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 188:81] - node _T_176 = and(_T_174, _T_175) @[dbg.scala 188:63] - node _T_177 = and(_T_176, io.dmi_reg_en) @[dbg.scala 188:85] - node dmstatus_havereset_wren = and(_T_177, io.dmi_reg_wr_en) @[dbg.scala 188:101] - node _T_178 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 189:49] - node _T_179 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 189:80] - node _T_180 = and(_T_178, _T_179) @[dbg.scala 189:62] - node _T_181 = and(_T_180, io.dmi_reg_en) @[dbg.scala 189:85] - node dmstatus_havereset_rst = and(_T_181, io.dmi_reg_wr_en) @[dbg.scala 189:101] + node _T_160 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] + node _T_161 = mux(_T_160, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_162 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] + node _T_163 = mux(_T_162, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_164 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] + node _T_165 = mux(_T_164, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_166 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] + node _T_167 = mux(_T_166, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_168 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] + node _T_169 = mux(_T_168, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_170 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] + node _T_171 = cat(_T_167, _T_169) @[Cat.scala 29:58] + node _T_172 = cat(_T_171, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_173 = cat(_T_172, _T_170) @[Cat.scala 29:58] + node _T_174 = cat(UInt<2>("h00"), _T_165) @[Cat.scala 29:58] + node _T_175 = cat(UInt<12>("h00"), _T_161) @[Cat.scala 29:58] + node _T_176 = cat(_T_175, _T_163) @[Cat.scala 29:58] + node _T_177 = cat(_T_176, _T_174) @[Cat.scala 29:58] + node _T_178 = cat(_T_177, _T_173) @[Cat.scala 29:58] + dmstatus_reg <= _T_178 @[dbg.scala 184:16] + node _T_179 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 186:44] + node _T_180 = and(_T_179, io.dec_tlu_resume_ack) @[dbg.scala 186:66] + node _T_181 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 186:127] + node _T_182 = eq(_T_181, UInt<1>("h00")) @[dbg.scala 186:113] + node _T_183 = and(dmstatus_resumeack, _T_182) @[dbg.scala 186:111] + node dmstatus_resumeack_wren = or(_T_180, _T_183) @[dbg.scala 186:90] + node _T_184 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 187:43] + node dmstatus_resumeack_din = and(_T_184, io.dec_tlu_resume_ack) @[dbg.scala 187:65] + node _T_185 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 188:50] + node _T_186 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 188:81] + node _T_187 = and(_T_185, _T_186) @[dbg.scala 188:63] + node _T_188 = and(_T_187, io.dmi_reg_en) @[dbg.scala 188:85] + node dmstatus_havereset_wren = and(_T_188, io.dmi_reg_wr_en) @[dbg.scala 188:101] + node _T_189 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 189:49] + node _T_190 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 189:80] + node _T_191 = and(_T_189, _T_190) @[dbg.scala 189:62] + node _T_192 = and(_T_191, io.dmi_reg_en) @[dbg.scala 189:85] + node dmstatus_havereset_rst = and(_T_192, io.dmi_reg_wr_en) @[dbg.scala 189:101] node temp_rst = asUInt(reset) @[dbg.scala 190:30] - node _T_182 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 191:37] - node _T_183 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 191:43] - node _T_184 = or(_T_182, _T_183) @[dbg.scala 191:41] - node _T_185 = bits(_T_184, 0, 0) @[dbg.scala 191:62] - dmstatus_unavail <= _T_185 @[dbg.scala 191:20] - node _T_186 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 192:42] - node _T_187 = not(_T_186) @[dbg.scala 192:23] - dmstatus_running <= _T_187 @[dbg.scala 192:20] - node _T_188 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 193:57] - reg _T_189 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_188, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_193 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 191:37] + node _T_194 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 191:43] + node _T_195 = or(_T_193, _T_194) @[dbg.scala 191:41] + node _T_196 = bits(_T_195, 0, 0) @[dbg.scala 191:62] + dmstatus_unavail <= _T_196 @[dbg.scala 191:20] + node _T_197 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 192:42] + node _T_198 = not(_T_197) @[dbg.scala 192:23] + dmstatus_running <= _T_198 @[dbg.scala 192:20] + node _T_199 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 193:58] + node _T_200 = asAsyncReset(_T_199) @[dbg.scala 193:85] + reg _T_201 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_200, UInt<1>("h00"))) @[Reg.scala 27:20] when dmstatus_resumeack_wren : @[Reg.scala 28:19] - _T_189 <= dmstatus_resumeack_din @[Reg.scala 28:23] + _T_201 <= dmstatus_resumeack_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dmstatus_resumeack <= _T_189 @[dbg.scala 193:22] - node _T_190 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 197:54] - node _T_191 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 198:37] - node _T_192 = and(io.dec_tlu_dbg_halted, _T_191) @[dbg.scala 198:35] - reg _T_193 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_190, UInt<1>("h00"))) @[dbg.scala 198:12] - _T_193 <= _T_192 @[dbg.scala 198:12] - dmstatus_halted <= _T_193 @[dbg.scala 197:19] - node _T_194 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 201:57] - node _T_195 = not(dmstatus_havereset_rst) @[dbg.scala 202:15] - reg _T_196 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_194, UInt<1>("h00"))) @[Reg.scala 27:20] + dmstatus_resumeack <= _T_201 @[dbg.scala 193:22] + node _T_202 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 197:55] + node _T_203 = asAsyncReset(_T_202) @[dbg.scala 197:82] + node _T_204 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 198:37] + node _T_205 = and(io.dec_tlu_dbg_halted, _T_204) @[dbg.scala 198:35] + reg _T_206 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_203, UInt<1>("h00"))) @[dbg.scala 198:12] + _T_206 <= _T_205 @[dbg.scala 198:12] + dmstatus_halted <= _T_206 @[dbg.scala 197:19] + node _T_207 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 201:58] + node _T_208 = asAsyncReset(_T_207) @[dbg.scala 201:85] + node _T_209 = not(dmstatus_havereset_rst) @[dbg.scala 202:15] + reg _T_210 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_208, UInt<1>("h00"))) @[Reg.scala 27:20] when dmstatus_havereset_wren : @[Reg.scala 28:19] - _T_196 <= _T_195 @[Reg.scala 28:23] + _T_210 <= _T_209 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dmstatus_havereset <= _T_196 @[dbg.scala 201:22] + dmstatus_havereset <= _T_210 @[dbg.scala 201:22] node haltsum0_reg = cat(UInt<31>("h00"), dmstatus_halted) @[Cat.scala 29:58] wire abstractcs_reg : UInt<32> abstractcs_reg <= UInt<32>("h02") - node _T_197 = bits(abstractcs_reg, 12, 12) @[dbg.scala 208:45] - node _T_198 = and(_T_197, io.dmi_reg_en) @[dbg.scala 208:50] - node _T_199 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 208:106] - node _T_200 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 208:138] - node _T_201 = or(_T_199, _T_200) @[dbg.scala 208:119] - node _T_202 = and(io.dmi_reg_wr_en, _T_201) @[dbg.scala 208:86] - node _T_203 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 208:171] - node _T_204 = or(_T_202, _T_203) @[dbg.scala 208:152] - node abstractcs_error_sel0 = and(_T_198, _T_204) @[dbg.scala 208:66] - node _T_205 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 209:45] - node _T_206 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 209:83] - node _T_207 = and(_T_205, _T_206) @[dbg.scala 209:64] - node _T_208 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:117] - node _T_209 = eq(_T_208, UInt<1>("h00")) @[dbg.scala 209:126] - node _T_210 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:154] - node _T_211 = eq(_T_210, UInt<2>("h02")) @[dbg.scala 209:163] - node _T_212 = or(_T_209, _T_211) @[dbg.scala 209:135] - node _T_213 = eq(_T_212, UInt<1>("h00")) @[dbg.scala 209:98] - node abstractcs_error_sel1 = and(_T_207, _T_213) @[dbg.scala 209:96] + node _T_211 = bits(abstractcs_reg, 12, 12) @[dbg.scala 208:45] + node _T_212 = and(_T_211, io.dmi_reg_en) @[dbg.scala 208:50] + node _T_213 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 208:106] + node _T_214 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 208:138] + node _T_215 = or(_T_213, _T_214) @[dbg.scala 208:119] + node _T_216 = and(io.dmi_reg_wr_en, _T_215) @[dbg.scala 208:86] + node _T_217 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 208:171] + node _T_218 = or(_T_216, _T_217) @[dbg.scala 208:152] + node abstractcs_error_sel0 = and(_T_212, _T_218) @[dbg.scala 208:66] + node _T_219 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 209:45] + node _T_220 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 209:83] + node _T_221 = and(_T_219, _T_220) @[dbg.scala 209:64] + node _T_222 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:117] + node _T_223 = eq(_T_222, UInt<1>("h00")) @[dbg.scala 209:126] + node _T_224 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:154] + node _T_225 = eq(_T_224, UInt<2>("h02")) @[dbg.scala 209:163] + node _T_226 = or(_T_223, _T_225) @[dbg.scala 209:135] + node _T_227 = eq(_T_226, UInt<1>("h00")) @[dbg.scala 209:98] + node abstractcs_error_sel1 = and(_T_221, _T_227) @[dbg.scala 209:96] node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 210:52] - node _T_214 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 211:45] - node _T_215 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 211:83] - node _T_216 = and(_T_214, _T_215) @[dbg.scala 211:64] - node _T_217 = bits(dmstatus_reg, 9, 9) @[dbg.scala 211:111] - node _T_218 = eq(_T_217, UInt<1>("h00")) @[dbg.scala 211:98] - node abstractcs_error_sel3 = and(_T_216, _T_218) @[dbg.scala 211:96] - node _T_219 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 212:48] - node _T_220 = and(_T_219, io.dmi_reg_en) @[dbg.scala 212:61] - node _T_221 = and(_T_220, io.dmi_reg_wr_en) @[dbg.scala 212:77] - node _T_222 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 213:23] - node _T_223 = neq(_T_222, UInt<2>("h02")) @[dbg.scala 213:32] - node _T_224 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 213:66] - node _T_225 = eq(_T_224, UInt<2>("h02")) @[dbg.scala 213:75] - node _T_226 = bits(data1_reg, 1, 0) @[dbg.scala 213:99] - node _T_227 = orr(_T_226) @[dbg.scala 213:106] - node _T_228 = and(_T_225, _T_227) @[dbg.scala 213:87] - node _T_229 = or(_T_223, _T_228) @[dbg.scala 213:46] - node abstractcs_error_sel4 = and(_T_221, _T_229) @[dbg.scala 212:96] - node _T_230 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 215:48] - node _T_231 = and(_T_230, io.dmi_reg_en) @[dbg.scala 215:61] - node abstractcs_error_sel5 = and(_T_231, io.dmi_reg_wr_en) @[dbg.scala 215:77] - node _T_232 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 216:54] - node _T_233 = or(_T_232, abstractcs_error_sel2) @[dbg.scala 216:78] - node _T_234 = or(_T_233, abstractcs_error_sel3) @[dbg.scala 216:102] - node _T_235 = or(_T_234, abstractcs_error_sel4) @[dbg.scala 216:126] - node abstractcs_error_selor = or(_T_235, abstractcs_error_sel5) @[dbg.scala 216:150] - node _T_236 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] - node _T_237 = mux(_T_236, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_238 = and(_T_237, UInt<1>("h01")) @[dbg.scala 217:62] - node _T_239 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] - node _T_240 = mux(_T_239, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_241 = and(_T_240, UInt<2>("h02")) @[dbg.scala 218:37] - node _T_242 = or(_T_238, _T_241) @[dbg.scala 217:74] - node _T_243 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] - node _T_244 = mux(_T_243, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_245 = and(_T_244, UInt<2>("h03")) @[dbg.scala 219:37] - node _T_246 = or(_T_242, _T_245) @[dbg.scala 218:49] - node _T_247 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] - node _T_248 = mux(_T_247, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_249 = and(_T_248, UInt<3>("h04")) @[dbg.scala 220:37] - node _T_250 = or(_T_246, _T_249) @[dbg.scala 219:49] - node _T_251 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] - node _T_252 = mux(_T_251, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_253 = and(_T_252, UInt<3>("h07")) @[dbg.scala 221:37] - node _T_254 = or(_T_250, _T_253) @[dbg.scala 220:49] - node _T_255 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] - node _T_256 = mux(_T_255, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_257 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 222:57] - node _T_258 = not(_T_257) @[dbg.scala 222:40] - node _T_259 = and(_T_256, _T_258) @[dbg.scala 222:37] - node _T_260 = bits(abstractcs_reg, 10, 8) @[dbg.scala 222:91] - node _T_261 = and(_T_259, _T_260) @[dbg.scala 222:75] - node _T_262 = or(_T_254, _T_261) @[dbg.scala 221:49] - node _T_263 = not(abstractcs_error_selor) @[dbg.scala 223:15] - node _T_264 = bits(_T_263, 0, 0) @[Bitwise.scala 72:15] - node _T_265 = mux(_T_264, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_266 = bits(abstractcs_reg, 10, 8) @[dbg.scala 223:66] - node _T_267 = and(_T_265, _T_266) @[dbg.scala 223:50] - node abstractcs_error_din = or(_T_262, _T_267) @[dbg.scala 222:100] - node _T_268 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 225:53] - reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_268, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_228 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 211:45] + node _T_229 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 211:83] + node _T_230 = and(_T_228, _T_229) @[dbg.scala 211:64] + node _T_231 = bits(dmstatus_reg, 9, 9) @[dbg.scala 211:111] + node _T_232 = eq(_T_231, UInt<1>("h00")) @[dbg.scala 211:98] + node abstractcs_error_sel3 = and(_T_230, _T_232) @[dbg.scala 211:96] + node _T_233 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 212:48] + node _T_234 = and(_T_233, io.dmi_reg_en) @[dbg.scala 212:61] + node _T_235 = and(_T_234, io.dmi_reg_wr_en) @[dbg.scala 212:77] + node _T_236 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 213:23] + node _T_237 = neq(_T_236, UInt<2>("h02")) @[dbg.scala 213:32] + node _T_238 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 213:66] + node _T_239 = eq(_T_238, UInt<2>("h02")) @[dbg.scala 213:75] + node _T_240 = bits(data1_reg, 1, 0) @[dbg.scala 213:99] + node _T_241 = orr(_T_240) @[dbg.scala 213:106] + node _T_242 = and(_T_239, _T_241) @[dbg.scala 213:87] + node _T_243 = or(_T_237, _T_242) @[dbg.scala 213:46] + node abstractcs_error_sel4 = and(_T_235, _T_243) @[dbg.scala 212:96] + node _T_244 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 215:48] + node _T_245 = and(_T_244, io.dmi_reg_en) @[dbg.scala 215:61] + node abstractcs_error_sel5 = and(_T_245, io.dmi_reg_wr_en) @[dbg.scala 215:77] + node _T_246 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 216:54] + node _T_247 = or(_T_246, abstractcs_error_sel2) @[dbg.scala 216:78] + node _T_248 = or(_T_247, abstractcs_error_sel3) @[dbg.scala 216:102] + node _T_249 = or(_T_248, abstractcs_error_sel4) @[dbg.scala 216:126] + node abstractcs_error_selor = or(_T_249, abstractcs_error_sel5) @[dbg.scala 216:150] + node _T_250 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] + node _T_251 = mux(_T_250, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_252 = and(_T_251, UInt<1>("h01")) @[dbg.scala 217:62] + node _T_253 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] + node _T_254 = mux(_T_253, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_255 = and(_T_254, UInt<2>("h02")) @[dbg.scala 218:37] + node _T_256 = or(_T_252, _T_255) @[dbg.scala 217:74] + node _T_257 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] + node _T_258 = mux(_T_257, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_259 = and(_T_258, UInt<2>("h03")) @[dbg.scala 219:37] + node _T_260 = or(_T_256, _T_259) @[dbg.scala 218:49] + node _T_261 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] + node _T_262 = mux(_T_261, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_263 = and(_T_262, UInt<3>("h04")) @[dbg.scala 220:37] + node _T_264 = or(_T_260, _T_263) @[dbg.scala 219:49] + node _T_265 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] + node _T_266 = mux(_T_265, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_267 = and(_T_266, UInt<3>("h07")) @[dbg.scala 221:37] + node _T_268 = or(_T_264, _T_267) @[dbg.scala 220:49] + node _T_269 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] + node _T_270 = mux(_T_269, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_271 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 222:57] + node _T_272 = not(_T_271) @[dbg.scala 222:40] + node _T_273 = and(_T_270, _T_272) @[dbg.scala 222:37] + node _T_274 = bits(abstractcs_reg, 10, 8) @[dbg.scala 222:91] + node _T_275 = and(_T_273, _T_274) @[dbg.scala 222:75] + node _T_276 = or(_T_268, _T_275) @[dbg.scala 221:49] + node _T_277 = not(abstractcs_error_selor) @[dbg.scala 223:15] + node _T_278 = bits(_T_277, 0, 0) @[Bitwise.scala 72:15] + node _T_279 = mux(_T_278, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_280 = bits(abstractcs_reg, 10, 8) @[dbg.scala 223:66] + node _T_281 = and(_T_279, _T_280) @[dbg.scala 223:50] + node abstractcs_error_din = or(_T_276, _T_281) @[dbg.scala 222:100] + node _T_282 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 225:54] + node _T_283 = asAsyncReset(_T_282) @[dbg.scala 225:81] + reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_283, UInt<1>("h00"))) @[Reg.scala 27:20] when abstractcs_busy_wren : @[Reg.scala 28:19] abs_temp_12 <= abstractcs_busy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_269 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 229:55] - node _T_270 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 230:33] - reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_269, UInt<1>("h00"))) @[dbg.scala 230:12] - abs_temp_10_8 <= _T_270 @[dbg.scala 230:12] - node _T_271 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] - node _T_272 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] - node _T_273 = cat(_T_272, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_274 = cat(_T_273, _T_271) @[Cat.scala 29:58] - abstractcs_reg <= _T_274 @[dbg.scala 233:18] - node _T_275 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 235:39] - node _T_276 = and(_T_275, io.dmi_reg_en) @[dbg.scala 235:52] - node _T_277 = and(_T_276, io.dmi_reg_wr_en) @[dbg.scala 235:68] - node _T_278 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 235:100] - node command_wren = and(_T_277, _T_278) @[dbg.scala 235:87] - node _T_279 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 236:41] - node _T_280 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 236:77] - node _T_281 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 236:113] - node _T_282 = cat(UInt<3>("h00"), _T_281) @[Cat.scala 29:58] - node _T_283 = cat(_T_279, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_284 = cat(_T_283, _T_280) @[Cat.scala 29:58] - node command_din = cat(_T_284, _T_282) @[Cat.scala 29:58] - node _T_285 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 237:31] - reg command_reg : UInt, clock with : (reset => (_T_285, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_284 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 229:56] + node _T_285 = asAsyncReset(_T_284) @[dbg.scala 229:83] + node _T_286 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 230:33] + reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_285, UInt<1>("h00"))) @[dbg.scala 230:12] + abs_temp_10_8 <= _T_286 @[dbg.scala 230:12] + node _T_287 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] + node _T_288 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] + node _T_289 = cat(_T_288, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_290 = cat(_T_289, _T_287) @[Cat.scala 29:58] + abstractcs_reg <= _T_290 @[dbg.scala 233:18] + node _T_291 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 235:39] + node _T_292 = and(_T_291, io.dmi_reg_en) @[dbg.scala 235:52] + node _T_293 = and(_T_292, io.dmi_reg_wr_en) @[dbg.scala 235:68] + node _T_294 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 235:100] + node command_wren = and(_T_293, _T_294) @[dbg.scala 235:87] + node _T_295 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 236:41] + node _T_296 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 236:77] + node _T_297 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 236:113] + node _T_298 = cat(UInt<3>("h00"), _T_297) @[Cat.scala 29:58] + node _T_299 = cat(_T_295, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_300 = cat(_T_299, _T_296) @[Cat.scala 29:58] + node command_din = cat(_T_300, _T_298) @[Cat.scala 29:58] + node _T_301 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 237:32] + node _T_302 = asAsyncReset(_T_301) @[dbg.scala 237:59] + reg command_reg : UInt, clock with : (reset => (_T_302, UInt<1>("h00"))) @[Reg.scala 27:20] when command_wren : @[Reg.scala 28:19] command_reg <= command_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_286 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 241:39] - node _T_287 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 241:77] - node _T_288 = and(_T_286, _T_287) @[dbg.scala 241:58] - node _T_289 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 241:102] - node data0_reg_wren0 = and(_T_288, _T_289) @[dbg.scala 241:89] - node _T_290 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 242:59] - node _T_291 = and(io.core_dbg_cmd_done, _T_290) @[dbg.scala 242:46] - node _T_292 = bits(command_reg, 16, 16) @[dbg.scala 242:95] - node _T_293 = eq(_T_292, UInt<1>("h00")) @[dbg.scala 242:83] - node data0_reg_wren1 = and(_T_291, _T_293) @[dbg.scala 242:81] + node _T_303 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 241:39] + node _T_304 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 241:77] + node _T_305 = and(_T_303, _T_304) @[dbg.scala 241:58] + node _T_306 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 241:102] + node data0_reg_wren0 = and(_T_305, _T_306) @[dbg.scala 241:89] + node _T_307 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 242:59] + node _T_308 = and(io.core_dbg_cmd_done, _T_307) @[dbg.scala 242:46] + node _T_309 = bits(command_reg, 16, 16) @[dbg.scala 242:95] + node _T_310 = eq(_T_309, UInt<1>("h00")) @[dbg.scala 242:83] + node data0_reg_wren1 = and(_T_308, _T_310) @[dbg.scala 242:81] node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 244:40] - node _T_294 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_295 = mux(_T_294, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_296 = and(_T_295, io.dmi_reg_wdata) @[dbg.scala 245:45] - node _T_297 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_298 = mux(_T_297, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_299 = and(_T_298, io.core_dbg_rddata) @[dbg.scala 245:92] - node data0_din = or(_T_296, _T_299) @[dbg.scala 245:64] - node _T_300 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 246:29] - reg data0_reg : UInt, clock with : (reset => (_T_300, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_311 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_312 = mux(_T_311, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_313 = and(_T_312, io.dmi_reg_wdata) @[dbg.scala 245:45] + node _T_314 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_315 = mux(_T_314, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_316 = and(_T_315, io.core_dbg_rddata) @[dbg.scala 245:92] + node data0_din = or(_T_313, _T_316) @[dbg.scala 245:64] + node _T_317 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 246:30] + node _T_318 = asAsyncReset(_T_317) @[dbg.scala 246:57] + reg data0_reg : UInt, clock with : (reset => (_T_318, UInt<1>("h00"))) @[Reg.scala 27:20] when data0_reg_wren : @[Reg.scala 28:19] data0_reg <= data0_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_301 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 250:39] - node _T_302 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 250:77] - node _T_303 = and(_T_301, _T_302) @[dbg.scala 250:58] - node _T_304 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 250:102] - node data1_reg_wren = and(_T_303, _T_304) @[dbg.scala 250:89] - node _T_305 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] - node _T_306 = mux(_T_305, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node data1_din = and(_T_306, io.dmi_reg_wdata) @[dbg.scala 251:44] - node _T_307 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 252:26] + node _T_319 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 250:39] + node _T_320 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 250:77] + node _T_321 = and(_T_319, _T_320) @[dbg.scala 250:58] + node _T_322 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 250:102] + node data1_reg_wren = and(_T_321, _T_322) @[dbg.scala 250:89] + node _T_323 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] + node _T_324 = mux(_T_323, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node data1_din = and(_T_324, io.dmi_reg_wdata) @[dbg.scala 251:44] + node _T_325 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 252:27] + node _T_326 = asAsyncReset(_T_325) @[dbg.scala 252:54] inst rvclkhdr_5 of rvclkhdr_760 @[lib.scala 352:23] rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= _T_307 + rvclkhdr_5.reset <= _T_326 rvclkhdr_5.io.clk <= clock @[lib.scala 354:18] rvclkhdr_5.io.en <= data1_reg_wren @[lib.scala 355:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_308 : UInt, rvclkhdr_5.io.l1clk with : (reset => (_T_307, UInt<1>("h00"))) @[lib.scala 358:16] - _T_308 <= data1_din @[lib.scala 358:16] - data1_reg <= _T_308 @[dbg.scala 252:13] + reg _T_327 : UInt, rvclkhdr_5.io.l1clk with : (reset => (_T_326, UInt<1>("h00"))) @[lib.scala 358:16] + _T_327 <= data1_din @[lib.scala 358:16] + data1_reg <= _T_327 @[dbg.scala 252:13] wire dbg_nxtstate : UInt<3> dbg_nxtstate <= UInt<3>("h00") dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 257:16] @@ -82328,273 +82341,275 @@ circuit quasar_wrapper : abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 260:23] io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 261:19] io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 262:21] - node _T_309 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] - when _T_309 : @[Conditional.scala 40:58] - node _T_310 = bits(dmstatus_reg, 9, 9) @[dbg.scala 265:39] - node _T_311 = or(_T_310, io.dec_tlu_mpc_halted_only) @[dbg.scala 265:43] - node _T_312 = mux(_T_311, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 265:26] - dbg_nxtstate <= _T_312 @[dbg.scala 265:20] - node _T_313 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 266:38] - node _T_314 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 266:45] - node _T_315 = and(_T_313, _T_314) @[dbg.scala 266:43] - node _T_316 = bits(dmstatus_reg, 9, 9) @[dbg.scala 266:83] - node _T_317 = or(_T_315, _T_316) @[dbg.scala 266:69] - node _T_318 = or(_T_317, io.dec_tlu_mpc_halted_only) @[dbg.scala 266:87] - node _T_319 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 266:133] - node _T_320 = eq(_T_319, UInt<1>("h00")) @[dbg.scala 266:119] - node _T_321 = and(_T_318, _T_320) @[dbg.scala 266:117] - dbg_state_en <= _T_321 @[dbg.scala 266:20] - node _T_322 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 267:40] - node _T_323 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 267:61] - node _T_324 = eq(_T_323, UInt<1>("h00")) @[dbg.scala 267:47] - node _T_325 = and(_T_322, _T_324) @[dbg.scala 267:45] - node _T_326 = bits(_T_325, 0, 0) @[dbg.scala 267:72] - io.dbg_halt_req <= _T_326 @[dbg.scala 267:23] + node _T_328 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] + when _T_328 : @[Conditional.scala 40:58] + node _T_329 = bits(dmstatus_reg, 9, 9) @[dbg.scala 265:39] + node _T_330 = or(_T_329, io.dec_tlu_mpc_halted_only) @[dbg.scala 265:43] + node _T_331 = mux(_T_330, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 265:26] + dbg_nxtstate <= _T_331 @[dbg.scala 265:20] + node _T_332 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 266:38] + node _T_333 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 266:45] + node _T_334 = and(_T_332, _T_333) @[dbg.scala 266:43] + node _T_335 = bits(dmstatus_reg, 9, 9) @[dbg.scala 266:83] + node _T_336 = or(_T_334, _T_335) @[dbg.scala 266:69] + node _T_337 = or(_T_336, io.dec_tlu_mpc_halted_only) @[dbg.scala 266:87] + node _T_338 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 266:133] + node _T_339 = eq(_T_338, UInt<1>("h00")) @[dbg.scala 266:119] + node _T_340 = and(_T_337, _T_339) @[dbg.scala 266:117] + dbg_state_en <= _T_340 @[dbg.scala 266:20] + node _T_341 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 267:40] + node _T_342 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 267:61] + node _T_343 = eq(_T_342, UInt<1>("h00")) @[dbg.scala 267:47] + node _T_344 = and(_T_341, _T_343) @[dbg.scala 267:45] + node _T_345 = bits(_T_344, 0, 0) @[dbg.scala 267:72] + io.dbg_halt_req <= _T_345 @[dbg.scala 267:23] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_327 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] - when _T_327 : @[Conditional.scala 39:67] - node _T_328 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 270:40] - node _T_329 = mux(_T_328, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 270:26] - dbg_nxtstate <= _T_329 @[dbg.scala 270:20] - node _T_330 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:35] - node _T_331 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:54] - node _T_332 = or(_T_330, _T_331) @[dbg.scala 271:39] - dbg_state_en <= _T_332 @[dbg.scala 271:20] - node _T_333 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:59] - node _T_334 = and(dmcontrol_wren_Q, _T_333) @[dbg.scala 272:44] - node _T_335 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:81] - node _T_336 = not(_T_335) @[dbg.scala 272:67] - node _T_337 = and(_T_334, _T_336) @[dbg.scala 272:64] - node _T_338 = bits(_T_337, 0, 0) @[dbg.scala 272:102] - io.dbg_halt_req <= _T_338 @[dbg.scala 272:23] + node _T_346 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] + when _T_346 : @[Conditional.scala 39:67] + node _T_347 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 270:40] + node _T_348 = mux(_T_347, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 270:26] + dbg_nxtstate <= _T_348 @[dbg.scala 270:20] + node _T_349 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:35] + node _T_350 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:54] + node _T_351 = or(_T_349, _T_350) @[dbg.scala 271:39] + dbg_state_en <= _T_351 @[dbg.scala 271:20] + node _T_352 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:59] + node _T_353 = and(dmcontrol_wren_Q, _T_352) @[dbg.scala 272:44] + node _T_354 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:81] + node _T_355 = not(_T_354) @[dbg.scala 272:67] + node _T_356 = and(_T_353, _T_355) @[dbg.scala 272:64] + node _T_357 = bits(_T_356, 0, 0) @[dbg.scala 272:102] + io.dbg_halt_req <= _T_357 @[dbg.scala 272:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_339 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] - when _T_339 : @[Conditional.scala 39:67] - node _T_340 = bits(dmstatus_reg, 9, 9) @[dbg.scala 275:39] - node _T_341 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:59] - node _T_342 = eq(_T_341, UInt<1>("h00")) @[dbg.scala 275:45] - node _T_343 = and(_T_340, _T_342) @[dbg.scala 275:43] - node _T_344 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 276:26] - node _T_345 = bits(dmcontrol_reg, 3, 3) @[dbg.scala 276:47] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[dbg.scala 276:33] - node _T_347 = and(_T_344, _T_346) @[dbg.scala 276:31] - node _T_348 = mux(_T_347, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 276:12] - node _T_349 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:26] - node _T_350 = mux(_T_349, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 277:12] - node _T_351 = mux(_T_343, _T_348, _T_350) @[dbg.scala 275:26] - dbg_nxtstate <= _T_351 @[dbg.scala 275:20] - node _T_352 = bits(dmstatus_reg, 9, 9) @[dbg.scala 278:35] - node _T_353 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 278:54] - node _T_354 = and(_T_352, _T_353) @[dbg.scala 278:39] - node _T_355 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 278:75] - node _T_356 = eq(_T_355, UInt<1>("h00")) @[dbg.scala 278:61] - node _T_357 = and(_T_354, _T_356) @[dbg.scala 278:59] - node _T_358 = and(_T_357, dmcontrol_wren_Q) @[dbg.scala 278:80] - node _T_359 = or(_T_358, command_wren) @[dbg.scala 278:99] - node _T_360 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 279:22] - node _T_361 = or(_T_359, _T_360) @[dbg.scala 278:114] - node _T_362 = bits(dmstatus_reg, 9, 9) @[dbg.scala 279:42] - node _T_363 = or(_T_362, io.dec_tlu_mpc_halted_only) @[dbg.scala 279:46] - node _T_364 = eq(_T_363, UInt<1>("h00")) @[dbg.scala 279:28] - node _T_365 = or(_T_361, _T_364) @[dbg.scala 279:26] - dbg_state_en <= _T_365 @[dbg.scala 278:20] - node _T_366 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 280:60] - node _T_367 = and(dbg_state_en, _T_366) @[dbg.scala 280:44] - abstractcs_busy_wren <= _T_367 @[dbg.scala 280:28] + node _T_358 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] + when _T_358 : @[Conditional.scala 39:67] + node _T_359 = bits(dmstatus_reg, 9, 9) @[dbg.scala 275:39] + node _T_360 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:59] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[dbg.scala 275:45] + node _T_362 = and(_T_359, _T_361) @[dbg.scala 275:43] + node _T_363 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 276:26] + node _T_364 = bits(dmcontrol_reg, 3, 3) @[dbg.scala 276:47] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[dbg.scala 276:33] + node _T_366 = and(_T_363, _T_365) @[dbg.scala 276:31] + node _T_367 = mux(_T_366, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 276:12] + node _T_368 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:26] + node _T_369 = mux(_T_368, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 277:12] + node _T_370 = mux(_T_362, _T_367, _T_369) @[dbg.scala 275:26] + dbg_nxtstate <= _T_370 @[dbg.scala 275:20] + node _T_371 = bits(dmstatus_reg, 9, 9) @[dbg.scala 278:35] + node _T_372 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 278:54] + node _T_373 = and(_T_371, _T_372) @[dbg.scala 278:39] + node _T_374 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 278:75] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[dbg.scala 278:61] + node _T_376 = and(_T_373, _T_375) @[dbg.scala 278:59] + node _T_377 = and(_T_376, dmcontrol_wren_Q) @[dbg.scala 278:80] + node _T_378 = or(_T_377, command_wren) @[dbg.scala 278:99] + node _T_379 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 279:22] + node _T_380 = or(_T_378, _T_379) @[dbg.scala 278:114] + node _T_381 = bits(dmstatus_reg, 9, 9) @[dbg.scala 279:42] + node _T_382 = or(_T_381, io.dec_tlu_mpc_halted_only) @[dbg.scala 279:46] + node _T_383 = eq(_T_382, UInt<1>("h00")) @[dbg.scala 279:28] + node _T_384 = or(_T_380, _T_383) @[dbg.scala 279:26] + dbg_state_en <= _T_384 @[dbg.scala 278:20] + node _T_385 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 280:60] + node _T_386 = and(dbg_state_en, _T_385) @[dbg.scala 280:44] + abstractcs_busy_wren <= _T_386 @[dbg.scala 280:28] abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 281:27] - node _T_368 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 282:58] - node _T_369 = and(dbg_state_en, _T_368) @[dbg.scala 282:42] - node _T_370 = bits(_T_369, 0, 0) @[dbg.scala 282:87] - io.dbg_resume_req <= _T_370 @[dbg.scala 282:25] - node _T_371 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:59] - node _T_372 = and(dmcontrol_wren_Q, _T_371) @[dbg.scala 283:44] - node _T_373 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 283:81] - node _T_374 = not(_T_373) @[dbg.scala 283:67] - node _T_375 = and(_T_372, _T_374) @[dbg.scala 283:64] - node _T_376 = bits(_T_375, 0, 0) @[dbg.scala 283:102] - io.dbg_halt_req <= _T_376 @[dbg.scala 283:23] + node _T_387 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 282:58] + node _T_388 = and(dbg_state_en, _T_387) @[dbg.scala 282:42] + node _T_389 = bits(_T_388, 0, 0) @[dbg.scala 282:87] + io.dbg_resume_req <= _T_389 @[dbg.scala 282:25] + node _T_390 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:59] + node _T_391 = and(dmcontrol_wren_Q, _T_390) @[dbg.scala 283:44] + node _T_392 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 283:81] + node _T_393 = not(_T_392) @[dbg.scala 283:67] + node _T_394 = and(_T_391, _T_393) @[dbg.scala 283:64] + node _T_395 = bits(_T_394, 0, 0) @[dbg.scala 283:102] + io.dbg_halt_req <= _T_395 @[dbg.scala 283:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_377 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] - when _T_377 : @[Conditional.scala 39:67] - node _T_378 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 286:40] - node _T_379 = bits(abstractcs_reg, 10, 8) @[dbg.scala 286:77] - node _T_380 = orr(_T_379) @[dbg.scala 286:85] - node _T_381 = mux(_T_380, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 286:62] - node _T_382 = mux(_T_378, UInt<3>("h00"), _T_381) @[dbg.scala 286:26] - dbg_nxtstate <= _T_382 @[dbg.scala 286:20] - node _T_383 = bits(abstractcs_reg, 10, 8) @[dbg.scala 287:71] - node _T_384 = orr(_T_383) @[dbg.scala 287:79] - node _T_385 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_384) @[dbg.scala 287:55] - node _T_386 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 287:98] - node _T_387 = or(_T_385, _T_386) @[dbg.scala 287:83] - dbg_state_en <= _T_387 @[dbg.scala 287:20] - node _T_388 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] - node _T_389 = and(dmcontrol_wren_Q, _T_388) @[dbg.scala 288:44] - node _T_390 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] - node _T_391 = not(_T_390) @[dbg.scala 288:67] - node _T_392 = and(_T_389, _T_391) @[dbg.scala 288:64] - node _T_393 = bits(_T_392, 0, 0) @[dbg.scala 288:102] - io.dbg_halt_req <= _T_393 @[dbg.scala 288:23] + node _T_396 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] + when _T_396 : @[Conditional.scala 39:67] + node _T_397 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 286:40] + node _T_398 = bits(abstractcs_reg, 10, 8) @[dbg.scala 286:77] + node _T_399 = orr(_T_398) @[dbg.scala 286:85] + node _T_400 = mux(_T_399, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 286:62] + node _T_401 = mux(_T_397, UInt<3>("h00"), _T_400) @[dbg.scala 286:26] + dbg_nxtstate <= _T_401 @[dbg.scala 286:20] + node _T_402 = bits(abstractcs_reg, 10, 8) @[dbg.scala 287:71] + node _T_403 = orr(_T_402) @[dbg.scala 287:79] + node _T_404 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_403) @[dbg.scala 287:55] + node _T_405 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 287:98] + node _T_406 = or(_T_404, _T_405) @[dbg.scala 287:83] + dbg_state_en <= _T_406 @[dbg.scala 287:20] + node _T_407 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] + node _T_408 = and(dmcontrol_wren_Q, _T_407) @[dbg.scala 288:44] + node _T_409 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] + node _T_410 = not(_T_409) @[dbg.scala 288:67] + node _T_411 = and(_T_408, _T_410) @[dbg.scala 288:64] + node _T_412 = bits(_T_411, 0, 0) @[dbg.scala 288:102] + io.dbg_halt_req <= _T_412 @[dbg.scala 288:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_394 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] - when _T_394 : @[Conditional.scala 39:67] - node _T_395 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] - node _T_396 = mux(_T_395, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 291:26] - dbg_nxtstate <= _T_396 @[dbg.scala 291:20] - node _T_397 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:59] - node _T_398 = or(io.core_dbg_cmd_done, _T_397) @[dbg.scala 292:44] - dbg_state_en <= _T_398 @[dbg.scala 292:20] - node _T_399 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] - node _T_400 = and(dmcontrol_wren_Q, _T_399) @[dbg.scala 293:44] - node _T_401 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] - node _T_402 = not(_T_401) @[dbg.scala 293:67] - node _T_403 = and(_T_400, _T_402) @[dbg.scala 293:64] - node _T_404 = bits(_T_403, 0, 0) @[dbg.scala 293:102] - io.dbg_halt_req <= _T_404 @[dbg.scala 293:23] + node _T_413 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] + when _T_413 : @[Conditional.scala 39:67] + node _T_414 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] + node _T_415 = mux(_T_414, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 291:26] + dbg_nxtstate <= _T_415 @[dbg.scala 291:20] + node _T_416 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:59] + node _T_417 = or(io.core_dbg_cmd_done, _T_416) @[dbg.scala 292:44] + dbg_state_en <= _T_417 @[dbg.scala 292:20] + node _T_418 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] + node _T_419 = and(dmcontrol_wren_Q, _T_418) @[dbg.scala 293:44] + node _T_420 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] + node _T_421 = not(_T_420) @[dbg.scala 293:67] + node _T_422 = and(_T_419, _T_421) @[dbg.scala 293:64] + node _T_423 = bits(_T_422, 0, 0) @[dbg.scala 293:102] + io.dbg_halt_req <= _T_423 @[dbg.scala 293:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_405 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] - when _T_405 : @[Conditional.scala 39:67] - node _T_406 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] - node _T_407 = mux(_T_406, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 296:26] - dbg_nxtstate <= _T_407 @[dbg.scala 296:20] + node _T_424 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] + when _T_424 : @[Conditional.scala 39:67] + node _T_425 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] + node _T_426 = mux(_T_425, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 296:26] + dbg_nxtstate <= _T_426 @[dbg.scala 296:20] dbg_state_en <= UInt<1>("h01") @[dbg.scala 297:20] abstractcs_busy_wren <= dbg_state_en @[dbg.scala 298:28] abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 299:27] - node _T_408 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 300:59] - node _T_409 = and(dmcontrol_wren_Q, _T_408) @[dbg.scala 300:44] - node _T_410 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 300:81] - node _T_411 = not(_T_410) @[dbg.scala 300:67] - node _T_412 = and(_T_409, _T_411) @[dbg.scala 300:64] - node _T_413 = bits(_T_412, 0, 0) @[dbg.scala 300:102] - io.dbg_halt_req <= _T_413 @[dbg.scala 300:23] + node _T_427 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 300:59] + node _T_428 = and(dmcontrol_wren_Q, _T_427) @[dbg.scala 300:44] + node _T_429 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 300:81] + node _T_430 = not(_T_429) @[dbg.scala 300:67] + node _T_431 = and(_T_428, _T_430) @[dbg.scala 300:64] + node _T_432 = bits(_T_431, 0, 0) @[dbg.scala 300:102] + io.dbg_halt_req <= _T_432 @[dbg.scala 300:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_414 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] - when _T_414 : @[Conditional.scala 39:67] + node _T_433 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] + when _T_433 : @[Conditional.scala 39:67] dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 303:20] - node _T_415 = bits(dmstatus_reg, 17, 17) @[dbg.scala 304:35] - node _T_416 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 304:55] - node _T_417 = or(_T_415, _T_416) @[dbg.scala 304:40] - dbg_state_en <= _T_417 @[dbg.scala 304:20] - node _T_418 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] - node _T_419 = and(dmcontrol_wren_Q, _T_418) @[dbg.scala 305:44] - node _T_420 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] - node _T_421 = not(_T_420) @[dbg.scala 305:67] - node _T_422 = and(_T_419, _T_421) @[dbg.scala 305:64] - node _T_423 = bits(_T_422, 0, 0) @[dbg.scala 305:102] - io.dbg_halt_req <= _T_423 @[dbg.scala 305:23] + node _T_434 = bits(dmstatus_reg, 17, 17) @[dbg.scala 304:35] + node _T_435 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 304:55] + node _T_436 = or(_T_434, _T_435) @[dbg.scala 304:40] + dbg_state_en <= _T_436 @[dbg.scala 304:20] + node _T_437 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] + node _T_438 = and(dmcontrol_wren_Q, _T_437) @[dbg.scala 305:44] + node _T_439 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] + node _T_440 = not(_T_439) @[dbg.scala 305:67] + node _T_441 = and(_T_438, _T_440) @[dbg.scala 305:64] + node _T_442 = bits(_T_441, 0, 0) @[dbg.scala 305:102] + io.dbg_halt_req <= _T_442 @[dbg.scala 305:23] skip @[Conditional.scala 39:67] - node _T_424 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 308:52] - node _T_425 = bits(_T_424, 0, 0) @[Bitwise.scala 72:15] - node _T_426 = mux(_T_425, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_427 = and(_T_426, data0_reg) @[dbg.scala 308:71] - node _T_428 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 308:110] - node _T_429 = bits(_T_428, 0, 0) @[Bitwise.scala 72:15] - node _T_430 = mux(_T_429, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_431 = and(_T_430, data1_reg) @[dbg.scala 308:122] - node _T_432 = or(_T_427, _T_431) @[dbg.scala 308:83] - node _T_433 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 309:30] - node _T_434 = bits(_T_433, 0, 0) @[Bitwise.scala 72:15] - node _T_435 = mux(_T_434, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_436 = and(_T_435, dmcontrol_reg) @[dbg.scala 309:43] - node _T_437 = or(_T_432, _T_436) @[dbg.scala 308:134] - node _T_438 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 309:86] - node _T_439 = bits(_T_438, 0, 0) @[Bitwise.scala 72:15] - node _T_440 = mux(_T_439, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_441 = and(_T_440, dmstatus_reg) @[dbg.scala 309:99] - node _T_442 = or(_T_437, _T_441) @[dbg.scala 309:59] - node _T_443 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 310:30] + node _T_443 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 308:52] node _T_444 = bits(_T_443, 0, 0) @[Bitwise.scala 72:15] node _T_445 = mux(_T_444, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_446 = and(_T_445, abstractcs_reg) @[dbg.scala 310:43] - node _T_447 = or(_T_442, _T_446) @[dbg.scala 309:114] - node _T_448 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 310:87] - node _T_449 = bits(_T_448, 0, 0) @[Bitwise.scala 72:15] - node _T_450 = mux(_T_449, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_451 = and(_T_450, command_reg) @[dbg.scala 310:100] - node _T_452 = or(_T_447, _T_451) @[dbg.scala 310:60] - node _T_453 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 311:30] - node _T_454 = bits(_T_453, 0, 0) @[Bitwise.scala 72:15] - node _T_455 = mux(_T_454, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_456 = and(_T_455, haltsum0_reg) @[dbg.scala 311:43] - node _T_457 = or(_T_452, _T_456) @[dbg.scala 310:114] - node _T_458 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 311:85] - node _T_459 = bits(_T_458, 0, 0) @[Bitwise.scala 72:15] - node _T_460 = mux(_T_459, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_461 = and(_T_460, sbcs_reg) @[dbg.scala 311:98] - node _T_462 = or(_T_457, _T_461) @[dbg.scala 311:58] - node _T_463 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 312:30] - node _T_464 = bits(_T_463, 0, 0) @[Bitwise.scala 72:15] - node _T_465 = mux(_T_464, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_466 = and(_T_465, sbaddress0_reg) @[dbg.scala 312:43] - node _T_467 = or(_T_462, _T_466) @[dbg.scala 311:109] - node _T_468 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 312:87] - node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] - node _T_470 = mux(_T_469, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_471 = and(_T_470, sbdata0_reg) @[dbg.scala 312:100] - node _T_472 = or(_T_467, _T_471) @[dbg.scala 312:60] - node _T_473 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 313:30] - node _T_474 = bits(_T_473, 0, 0) @[Bitwise.scala 72:15] - node _T_475 = mux(_T_474, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_476 = and(_T_475, sbdata1_reg) @[dbg.scala 313:43] - node dmi_reg_rdata_din = or(_T_472, _T_476) @[dbg.scala 312:114] - node _T_477 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 315:48] - node _T_478 = and(_T_477, temp_rst) @[dbg.scala 315:62] - reg _T_479 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_478, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_446 = and(_T_445, data0_reg) @[dbg.scala 308:71] + node _T_447 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 308:110] + node _T_448 = bits(_T_447, 0, 0) @[Bitwise.scala 72:15] + node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_450 = and(_T_449, data1_reg) @[dbg.scala 308:122] + node _T_451 = or(_T_446, _T_450) @[dbg.scala 308:83] + node _T_452 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 309:30] + node _T_453 = bits(_T_452, 0, 0) @[Bitwise.scala 72:15] + node _T_454 = mux(_T_453, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_455 = and(_T_454, dmcontrol_reg) @[dbg.scala 309:43] + node _T_456 = or(_T_451, _T_455) @[dbg.scala 308:134] + node _T_457 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 309:86] + node _T_458 = bits(_T_457, 0, 0) @[Bitwise.scala 72:15] + node _T_459 = mux(_T_458, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_460 = and(_T_459, dmstatus_reg) @[dbg.scala 309:99] + node _T_461 = or(_T_456, _T_460) @[dbg.scala 309:59] + node _T_462 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 310:30] + node _T_463 = bits(_T_462, 0, 0) @[Bitwise.scala 72:15] + node _T_464 = mux(_T_463, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_465 = and(_T_464, abstractcs_reg) @[dbg.scala 310:43] + node _T_466 = or(_T_461, _T_465) @[dbg.scala 309:114] + node _T_467 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 310:87] + node _T_468 = bits(_T_467, 0, 0) @[Bitwise.scala 72:15] + node _T_469 = mux(_T_468, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_470 = and(_T_469, command_reg) @[dbg.scala 310:100] + node _T_471 = or(_T_466, _T_470) @[dbg.scala 310:60] + node _T_472 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 311:30] + node _T_473 = bits(_T_472, 0, 0) @[Bitwise.scala 72:15] + node _T_474 = mux(_T_473, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_475 = and(_T_474, haltsum0_reg) @[dbg.scala 311:43] + node _T_476 = or(_T_471, _T_475) @[dbg.scala 310:114] + node _T_477 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 311:85] + node _T_478 = bits(_T_477, 0, 0) @[Bitwise.scala 72:15] + node _T_479 = mux(_T_478, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_480 = and(_T_479, sbcs_reg) @[dbg.scala 311:98] + node _T_481 = or(_T_476, _T_480) @[dbg.scala 311:58] + node _T_482 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 312:30] + node _T_483 = bits(_T_482, 0, 0) @[Bitwise.scala 72:15] + node _T_484 = mux(_T_483, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_485 = and(_T_484, sbaddress0_reg) @[dbg.scala 312:43] + node _T_486 = or(_T_481, _T_485) @[dbg.scala 311:109] + node _T_487 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 312:87] + node _T_488 = bits(_T_487, 0, 0) @[Bitwise.scala 72:15] + node _T_489 = mux(_T_488, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_490 = and(_T_489, sbdata0_reg) @[dbg.scala 312:100] + node _T_491 = or(_T_486, _T_490) @[dbg.scala 312:60] + node _T_492 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 313:30] + node _T_493 = bits(_T_492, 0, 0) @[Bitwise.scala 72:15] + node _T_494 = mux(_T_493, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_495 = and(_T_494, sbdata1_reg) @[dbg.scala 313:43] + node dmi_reg_rdata_din = or(_T_491, _T_495) @[dbg.scala 312:114] + node _T_496 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 315:49] + node _T_497 = and(_T_496, temp_rst) @[dbg.scala 315:63] + node _T_498 = asAsyncReset(_T_497) @[dbg.scala 315:87] + reg _T_499 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_498, UInt<1>("h00"))) @[Reg.scala 27:20] when dbg_state_en : @[Reg.scala 28:19] - _T_479 <= dbg_nxtstate @[Reg.scala 28:23] + _T_499 <= dbg_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dbg_state <= _T_479 @[dbg.scala 315:13] - node _T_480 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 320:55] - reg _T_481 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_480, UInt<1>("h00"))) @[Reg.scala 27:20] + dbg_state <= _T_499 @[dbg.scala 315:13] + node _T_500 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 320:56] + node _T_501 = asAsyncReset(_T_500) @[dbg.scala 320:83] + reg _T_502 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_501, UInt<1>("h00"))) @[Reg.scala 27:20] when io.dmi_reg_en : @[Reg.scala 28:19] - _T_481 <= dmi_reg_rdata_din @[Reg.scala 28:23] + _T_502 <= dmi_reg_rdata_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.dmi_reg_rdata <= _T_481 @[dbg.scala 320:20] - node _T_482 = bits(command_reg, 31, 24) @[dbg.scala 324:53] - node _T_483 = eq(_T_482, UInt<2>("h02")) @[dbg.scala 324:62] - node _T_484 = bits(data1_reg, 31, 2) @[dbg.scala 324:88] - node _T_485 = cat(_T_484, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_486 = bits(command_reg, 11, 0) @[dbg.scala 324:133] - node _T_487 = cat(UInt<20>("h00"), _T_486) @[Cat.scala 29:58] - node _T_488 = mux(_T_483, _T_485, _T_487) @[dbg.scala 324:40] - io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_488 @[dbg.scala 324:34] - node _T_489 = bits(data0_reg, 31, 0) @[dbg.scala 325:50] - io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_489 @[dbg.scala 325:38] - node _T_490 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 326:50] - node _T_491 = bits(abstractcs_reg, 10, 8) @[dbg.scala 326:91] - node _T_492 = orr(_T_491) @[dbg.scala 326:99] - node _T_493 = eq(_T_492, UInt<1>("h00")) @[dbg.scala 326:75] - node _T_494 = and(_T_490, _T_493) @[dbg.scala 326:73] - node _T_495 = and(_T_494, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 326:104] - node _T_496 = bits(_T_495, 0, 0) @[dbg.scala 326:141] - io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_496 @[dbg.scala 326:35] - node _T_497 = bits(command_reg, 16, 16) @[dbg.scala 327:49] - node _T_498 = bits(_T_497, 0, 0) @[dbg.scala 327:60] - io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_498 @[dbg.scala 327:35] - node _T_499 = bits(command_reg, 31, 24) @[dbg.scala 328:53] - node _T_500 = eq(_T_499, UInt<2>("h02")) @[dbg.scala 328:62] - node _T_501 = bits(command_reg, 15, 12) @[dbg.scala 328:108] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[dbg.scala 328:117] - node _T_503 = cat(UInt<1>("h00"), _T_502) @[Cat.scala 29:58] - node _T_504 = mux(_T_500, UInt<2>("h02"), _T_503) @[dbg.scala 328:40] - io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_504 @[dbg.scala 328:34] - node _T_505 = bits(command_reg, 21, 20) @[dbg.scala 329:33] - io.dbg_cmd_size <= _T_505 @[dbg.scala 329:19] - node _T_506 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 330:47] - node _T_507 = bits(abstractcs_reg, 10, 8) @[dbg.scala 330:88] - node _T_508 = orr(_T_507) @[dbg.scala 330:96] - node _T_509 = eq(_T_508, UInt<1>("h00")) @[dbg.scala 330:72] - node _T_510 = and(_T_506, _T_509) @[dbg.scala 330:70] - node _T_511 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 330:114] - node _T_512 = or(_T_510, _T_511) @[dbg.scala 330:101] - node _T_513 = bits(_T_512, 0, 0) @[dbg.scala 330:143] - io.dbg_dma_io.dbg_dma_bubble <= _T_513 @[dbg.scala 330:32] + io.dmi_reg_rdata <= _T_502 @[dbg.scala 320:20] + node _T_503 = bits(command_reg, 31, 24) @[dbg.scala 324:53] + node _T_504 = eq(_T_503, UInt<2>("h02")) @[dbg.scala 324:62] + node _T_505 = bits(data1_reg, 31, 2) @[dbg.scala 324:88] + node _T_506 = cat(_T_505, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_507 = bits(command_reg, 11, 0) @[dbg.scala 324:133] + node _T_508 = cat(UInt<20>("h00"), _T_507) @[Cat.scala 29:58] + node _T_509 = mux(_T_504, _T_506, _T_508) @[dbg.scala 324:40] + io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_509 @[dbg.scala 324:34] + node _T_510 = bits(data0_reg, 31, 0) @[dbg.scala 325:50] + io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_510 @[dbg.scala 325:38] + node _T_511 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 326:50] + node _T_512 = bits(abstractcs_reg, 10, 8) @[dbg.scala 326:91] + node _T_513 = orr(_T_512) @[dbg.scala 326:99] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[dbg.scala 326:75] + node _T_515 = and(_T_511, _T_514) @[dbg.scala 326:73] + node _T_516 = and(_T_515, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 326:104] + node _T_517 = bits(_T_516, 0, 0) @[dbg.scala 326:141] + io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_517 @[dbg.scala 326:35] + node _T_518 = bits(command_reg, 16, 16) @[dbg.scala 327:49] + node _T_519 = bits(_T_518, 0, 0) @[dbg.scala 327:60] + io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_519 @[dbg.scala 327:35] + node _T_520 = bits(command_reg, 31, 24) @[dbg.scala 328:53] + node _T_521 = eq(_T_520, UInt<2>("h02")) @[dbg.scala 328:62] + node _T_522 = bits(command_reg, 15, 12) @[dbg.scala 328:108] + node _T_523 = eq(_T_522, UInt<1>("h00")) @[dbg.scala 328:117] + node _T_524 = cat(UInt<1>("h00"), _T_523) @[Cat.scala 29:58] + node _T_525 = mux(_T_521, UInt<2>("h02"), _T_524) @[dbg.scala 328:40] + io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_525 @[dbg.scala 328:34] + node _T_526 = bits(command_reg, 21, 20) @[dbg.scala 329:33] + io.dbg_cmd_size <= _T_526 @[dbg.scala 329:19] + node _T_527 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 330:47] + node _T_528 = bits(abstractcs_reg, 10, 8) @[dbg.scala 330:88] + node _T_529 = orr(_T_528) @[dbg.scala 330:96] + node _T_530 = eq(_T_529, UInt<1>("h00")) @[dbg.scala 330:72] + node _T_531 = and(_T_527, _T_530) @[dbg.scala 330:70] + node _T_532 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 330:114] + node _T_533 = or(_T_531, _T_532) @[dbg.scala 330:101] + node _T_534 = bits(_T_533, 0, 0) @[dbg.scala 330:143] + io.dbg_dma_io.dbg_dma_bubble <= _T_534 @[dbg.scala 330:32] wire sb_nxtstate : UInt<4> sb_nxtstate <= UInt<4>("h00") sb_nxtstate <= UInt<4>("h00") @[dbg.scala 333:15] @@ -82603,287 +82618,288 @@ circuit quasar_wrapper : sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 337:21] sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 338:20] sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 339:24] - node _T_514 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] - when _T_514 : @[Conditional.scala 40:58] - node _T_515 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 342:25] - sb_nxtstate <= _T_515 @[dbg.scala 342:19] - node _T_516 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 343:39] - node _T_517 = or(_T_516, sbreadonaddr_access) @[dbg.scala 343:61] - sb_state_en <= _T_517 @[dbg.scala 343:19] + node _T_535 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] + when _T_535 : @[Conditional.scala 40:58] + node _T_536 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 342:25] + sb_nxtstate <= _T_536 @[dbg.scala 342:19] + node _T_537 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 343:39] + node _T_538 = or(_T_537, sbreadonaddr_access) @[dbg.scala 343:61] + sb_state_en <= _T_538 @[dbg.scala 343:19] sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 344:24] sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 345:23] - node _T_518 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 346:56] - node _T_519 = orr(_T_518) @[dbg.scala 346:65] - node _T_520 = and(sbcs_wren, _T_519) @[dbg.scala 346:38] - sbcs_sberror_wren <= _T_520 @[dbg.scala 346:25] - node _T_521 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 347:44] - node _T_522 = eq(_T_521, UInt<1>("h00")) @[dbg.scala 347:27] - node _T_523 = bits(sbcs_reg, 14, 12) @[dbg.scala 347:63] - node _T_524 = and(_T_522, _T_523) @[dbg.scala 347:53] - sbcs_sberror_din <= _T_524 @[dbg.scala 347:24] + node _T_539 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 346:56] + node _T_540 = orr(_T_539) @[dbg.scala 346:65] + node _T_541 = and(sbcs_wren, _T_540) @[dbg.scala 346:38] + sbcs_sberror_wren <= _T_541 @[dbg.scala 346:25] + node _T_542 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 347:44] + node _T_543 = eq(_T_542, UInt<1>("h00")) @[dbg.scala 347:27] + node _T_544 = bits(sbcs_reg, 14, 12) @[dbg.scala 347:63] + node _T_545 = and(_T_543, _T_544) @[dbg.scala 347:53] + sbcs_sberror_din <= _T_545 @[dbg.scala 347:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_525 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] - when _T_525 : @[Conditional.scala 39:67] - node _T_526 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 350:41] - node _T_527 = mux(_T_526, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 350:25] - sb_nxtstate <= _T_527 @[dbg.scala 350:19] - node _T_528 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 351:40] - node _T_529 = or(_T_528, sbcs_illegal_size) @[dbg.scala 351:57] - sb_state_en <= _T_529 @[dbg.scala 351:19] - node _T_530 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 352:43] - sbcs_sberror_wren <= _T_530 @[dbg.scala 352:25] - node _T_531 = mux(sbcs_unaligned, UInt<2>("h03"), UInt<3>("h04")) @[dbg.scala 353:30] - sbcs_sberror_din <= _T_531 @[dbg.scala 353:24] + node _T_546 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] + when _T_546 : @[Conditional.scala 39:67] + node _T_547 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 350:41] + node _T_548 = mux(_T_547, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 350:25] + sb_nxtstate <= _T_548 @[dbg.scala 350:19] + node _T_549 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 351:40] + node _T_550 = or(_T_549, sbcs_illegal_size) @[dbg.scala 351:57] + sb_state_en <= _T_550 @[dbg.scala 351:19] + node _T_551 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 352:43] + sbcs_sberror_wren <= _T_551 @[dbg.scala 352:25] + node _T_552 = mux(sbcs_unaligned, UInt<2>("h03"), UInt<3>("h04")) @[dbg.scala 353:30] + sbcs_sberror_din <= _T_552 @[dbg.scala 353:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_532 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] - when _T_532 : @[Conditional.scala 39:67] - node _T_533 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 356:41] - node _T_534 = mux(_T_533, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 356:25] - sb_nxtstate <= _T_534 @[dbg.scala 356:19] - node _T_535 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 357:40] - node _T_536 = or(_T_535, sbcs_illegal_size) @[dbg.scala 357:57] - sb_state_en <= _T_536 @[dbg.scala 357:19] - node _T_537 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 358:43] - sbcs_sberror_wren <= _T_537 @[dbg.scala 358:25] - node _T_538 = mux(sbcs_unaligned, UInt<2>("h03"), UInt<3>("h04")) @[dbg.scala 359:30] - sbcs_sberror_din <= _T_538 @[dbg.scala 359:24] + node _T_553 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] + when _T_553 : @[Conditional.scala 39:67] + node _T_554 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 356:41] + node _T_555 = mux(_T_554, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 356:25] + sb_nxtstate <= _T_555 @[dbg.scala 356:19] + node _T_556 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 357:40] + node _T_557 = or(_T_556, sbcs_illegal_size) @[dbg.scala 357:57] + sb_state_en <= _T_557 @[dbg.scala 357:19] + node _T_558 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 358:43] + sbcs_sberror_wren <= _T_558 @[dbg.scala 358:25] + node _T_559 = mux(sbcs_unaligned, UInt<2>("h03"), UInt<3>("h04")) @[dbg.scala 359:30] + sbcs_sberror_din <= _T_559 @[dbg.scala 359:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_539 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] - when _T_539 : @[Conditional.scala 39:67] + node _T_560 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] + when _T_560 : @[Conditional.scala 39:67] sb_nxtstate <= UInt<4>("h07") @[dbg.scala 362:19] - node _T_540 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 363:38] - sb_state_en <= _T_540 @[dbg.scala 363:19] + node _T_561 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 363:38] + sb_state_en <= _T_561 @[dbg.scala 363:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_541 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] - when _T_541 : @[Conditional.scala 39:67] - node _T_542 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 366:48] - node _T_543 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 366:95] - node _T_544 = mux(_T_542, UInt<4>("h08"), _T_543) @[dbg.scala 366:25] - sb_nxtstate <= _T_544 @[dbg.scala 366:19] - node _T_545 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 367:45] - node _T_546 = and(_T_545, io.dbg_bus_clk_en) @[dbg.scala 367:70] - sb_state_en <= _T_546 @[dbg.scala 367:19] + node _T_562 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] + when _T_562 : @[Conditional.scala 39:67] + node _T_563 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 366:48] + node _T_564 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 366:95] + node _T_565 = mux(_T_563, UInt<4>("h08"), _T_564) @[dbg.scala 366:25] + sb_nxtstate <= _T_565 @[dbg.scala 366:19] + node _T_566 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 367:45] + node _T_567 = and(_T_566, io.dbg_bus_clk_en) @[dbg.scala 367:70] + sb_state_en <= _T_567 @[dbg.scala 367:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_547 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] - when _T_547 : @[Conditional.scala 39:67] + node _T_568 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] + when _T_568 : @[Conditional.scala 39:67] sb_nxtstate <= UInt<4>("h08") @[dbg.scala 370:19] - node _T_548 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 371:44] - sb_state_en <= _T_548 @[dbg.scala 371:19] + node _T_569 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 371:44] + sb_state_en <= _T_569 @[dbg.scala 371:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_549 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] - when _T_549 : @[Conditional.scala 39:67] + node _T_570 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] + when _T_570 : @[Conditional.scala 39:67] sb_nxtstate <= UInt<4>("h08") @[dbg.scala 374:19] - node _T_550 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 375:44] - sb_state_en <= _T_550 @[dbg.scala 375:19] + node _T_571 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 375:44] + sb_state_en <= _T_571 @[dbg.scala 375:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_551 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] - when _T_551 : @[Conditional.scala 39:67] + node _T_572 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] + when _T_572 : @[Conditional.scala 39:67] sb_nxtstate <= UInt<4>("h09") @[dbg.scala 378:19] - node _T_552 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 379:38] - sb_state_en <= _T_552 @[dbg.scala 379:19] - node _T_553 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 380:40] - sbcs_sberror_wren <= _T_553 @[dbg.scala 380:25] + node _T_573 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 379:38] + sb_state_en <= _T_573 @[dbg.scala 379:19] + node _T_574 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 380:40] + sbcs_sberror_wren <= _T_574 @[dbg.scala 380:25] sbcs_sberror_din <= UInt<2>("h02") @[dbg.scala 381:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_554 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] - when _T_554 : @[Conditional.scala 39:67] + node _T_575 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] + when _T_575 : @[Conditional.scala 39:67] sb_nxtstate <= UInt<4>("h09") @[dbg.scala 384:19] - node _T_555 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 385:39] - sb_state_en <= _T_555 @[dbg.scala 385:19] - node _T_556 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 386:40] - sbcs_sberror_wren <= _T_556 @[dbg.scala 386:25] + node _T_576 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 385:39] + sb_state_en <= _T_576 @[dbg.scala 385:19] + node _T_577 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 386:40] + sbcs_sberror_wren <= _T_577 @[dbg.scala 386:25] sbcs_sberror_din <= UInt<2>("h02") @[dbg.scala 387:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_557 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] - when _T_557 : @[Conditional.scala 39:67] + node _T_578 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] + when _T_578 : @[Conditional.scala 39:67] sb_nxtstate <= UInt<4>("h00") @[dbg.scala 390:19] sb_state_en <= UInt<1>("h01") @[dbg.scala 391:19] sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 392:24] sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 393:23] - node _T_558 = bits(sbcs_reg, 16, 16) @[dbg.scala 394:39] - sbaddress0_reg_wren1 <= _T_558 @[dbg.scala 394:28] + node _T_579 = bits(sbcs_reg, 16, 16) @[dbg.scala 394:39] + sbaddress0_reg_wren1 <= _T_579 @[dbg.scala 394:28] skip @[Conditional.scala 39:67] - node _T_559 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 397:46] - reg _T_560 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_559, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_580 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 397:47] + node _T_581 = asAsyncReset(_T_580) @[dbg.scala 397:74] + reg _T_582 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_581, UInt<1>("h00"))) @[Reg.scala 27:20] when sb_state_en : @[Reg.scala 28:19] - _T_560 <= sb_nxtstate @[Reg.scala 28:23] + _T_582 <= sb_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - sb_state <= _T_560 @[dbg.scala 397:12] - node _T_561 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 401:41] - sb_bus_cmd_read <= _T_561 @[dbg.scala 401:19] - node _T_562 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 402:47] - sb_bus_cmd_write_addr <= _T_562 @[dbg.scala 402:25] - node _T_563 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 403:46] - sb_bus_cmd_write_data <= _T_563 @[dbg.scala 403:25] - node _T_564 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 404:40] - sb_bus_rsp_read <= _T_564 @[dbg.scala 404:19] - node _T_565 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 405:41] - sb_bus_rsp_write <= _T_565 @[dbg.scala 405:20] - node _T_566 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 406:62] - node _T_567 = orr(_T_566) @[dbg.scala 406:69] - node _T_568 = and(sb_bus_rsp_read, _T_567) @[dbg.scala 406:39] - node _T_569 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 406:115] - node _T_570 = orr(_T_569) @[dbg.scala 406:122] - node _T_571 = and(sb_bus_rsp_write, _T_570) @[dbg.scala 406:92] - node _T_572 = or(_T_568, _T_571) @[dbg.scala 406:73] - sb_bus_rsp_error <= _T_572 @[dbg.scala 406:20] - node _T_573 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 407:36] - node _T_574 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 407:71] - node _T_575 = or(_T_573, _T_574) @[dbg.scala 407:59] - node _T_576 = bits(_T_575, 0, 0) @[dbg.scala 407:106] - io.sb_axi.aw.valid <= _T_576 @[dbg.scala 407:22] + sb_state <= _T_582 @[dbg.scala 397:12] + node _T_583 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 401:41] + sb_bus_cmd_read <= _T_583 @[dbg.scala 401:19] + node _T_584 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 402:47] + sb_bus_cmd_write_addr <= _T_584 @[dbg.scala 402:25] + node _T_585 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 403:46] + sb_bus_cmd_write_data <= _T_585 @[dbg.scala 403:25] + node _T_586 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 404:40] + sb_bus_rsp_read <= _T_586 @[dbg.scala 404:19] + node _T_587 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 405:41] + sb_bus_rsp_write <= _T_587 @[dbg.scala 405:20] + node _T_588 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 406:62] + node _T_589 = orr(_T_588) @[dbg.scala 406:69] + node _T_590 = and(sb_bus_rsp_read, _T_589) @[dbg.scala 406:39] + node _T_591 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 406:115] + node _T_592 = orr(_T_591) @[dbg.scala 406:122] + node _T_593 = and(sb_bus_rsp_write, _T_592) @[dbg.scala 406:92] + node _T_594 = or(_T_590, _T_593) @[dbg.scala 406:73] + sb_bus_rsp_error <= _T_594 @[dbg.scala 406:20] + node _T_595 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 407:36] + node _T_596 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 407:71] + node _T_597 = or(_T_595, _T_596) @[dbg.scala 407:59] + node _T_598 = bits(_T_597, 0, 0) @[dbg.scala 407:106] + io.sb_axi.aw.valid <= _T_598 @[dbg.scala 407:22] io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 408:26] io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 409:24] - node _T_577 = bits(sbcs_reg, 19, 17) @[dbg.scala 410:37] - io.sb_axi.aw.bits.size <= _T_577 @[dbg.scala 410:26] + node _T_599 = bits(sbcs_reg, 19, 17) @[dbg.scala 410:37] + io.sb_axi.aw.bits.size <= _T_599 @[dbg.scala 410:26] io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 411:26] io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 412:27] - node _T_578 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 413:45] - io.sb_axi.aw.bits.region <= _T_578 @[dbg.scala 413:28] + node _T_600 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 413:45] + io.sb_axi.aw.bits.region <= _T_600 @[dbg.scala 413:28] io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 414:25] io.sb_axi.aw.bits.burst <= UInt<1>("h01") @[dbg.scala 415:27] io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 416:25] io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 417:26] - node _T_579 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 418:35] - node _T_580 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 418:70] - node _T_581 = or(_T_579, _T_580) @[dbg.scala 418:58] - node _T_582 = bits(_T_581, 0, 0) @[dbg.scala 418:105] - io.sb_axi.w.valid <= _T_582 @[dbg.scala 418:21] - node _T_583 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:46] - node _T_584 = eq(_T_583, UInt<1>("h00")) @[dbg.scala 419:55] - node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] - node _T_586 = mux(_T_585, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_587 = bits(sbdata0_reg, 7, 0) @[dbg.scala 419:87] - node _T_588 = cat(_T_587, _T_587) @[Cat.scala 29:58] - node _T_589 = cat(_T_588, _T_588) @[Cat.scala 29:58] - node _T_590 = cat(_T_589, _T_589) @[Cat.scala 29:58] - node _T_591 = and(_T_586, _T_590) @[dbg.scala 419:65] - node _T_592 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:116] - node _T_593 = eq(_T_592, UInt<1>("h01")) @[dbg.scala 419:125] - node _T_594 = bits(_T_593, 0, 0) @[Bitwise.scala 72:15] - node _T_595 = mux(_T_594, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_596 = bits(sbdata0_reg, 15, 0) @[dbg.scala 419:159] - node _T_597 = cat(_T_596, _T_596) @[Cat.scala 29:58] - node _T_598 = cat(_T_597, _T_597) @[Cat.scala 29:58] - node _T_599 = and(_T_595, _T_598) @[dbg.scala 419:138] - node _T_600 = or(_T_591, _T_599) @[dbg.scala 419:96] - node _T_601 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:23] - node _T_602 = eq(_T_601, UInt<2>("h02")) @[dbg.scala 420:32] - node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] - node _T_604 = mux(_T_603, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_605 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:67] - node _T_606 = cat(_T_605, _T_605) @[Cat.scala 29:58] - node _T_607 = and(_T_604, _T_606) @[dbg.scala 420:45] - node _T_608 = or(_T_600, _T_607) @[dbg.scala 419:168] - node _T_609 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:97] - node _T_610 = eq(_T_609, UInt<2>("h03")) @[dbg.scala 420:106] - node _T_611 = bits(_T_610, 0, 0) @[Bitwise.scala 72:15] - node _T_612 = mux(_T_611, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_613 = bits(sbdata1_reg, 31, 0) @[dbg.scala 420:136] - node _T_614 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:156] - node _T_615 = cat(_T_613, _T_614) @[Cat.scala 29:58] - node _T_616 = and(_T_612, _T_615) @[dbg.scala 420:119] - node _T_617 = or(_T_608, _T_616) @[dbg.scala 420:77] - io.sb_axi.w.bits.data <= _T_617 @[dbg.scala 419:25] - node _T_618 = bits(sbcs_reg, 19, 17) @[dbg.scala 422:45] - node _T_619 = eq(_T_618, UInt<1>("h00")) @[dbg.scala 422:54] - node _T_620 = bits(_T_619, 0, 0) @[Bitwise.scala 72:15] - node _T_621 = mux(_T_620, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_622 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 422:99] - node _T_623 = dshl(UInt<8>("h01"), _T_622) @[dbg.scala 422:82] - node _T_624 = and(_T_621, _T_623) @[dbg.scala 422:67] - node _T_625 = bits(sbcs_reg, 19, 17) @[dbg.scala 423:22] - node _T_626 = eq(_T_625, UInt<1>("h01")) @[dbg.scala 423:31] - node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] - node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 423:80] - node _T_630 = cat(_T_629, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_631 = dshl(UInt<8>("h03"), _T_630) @[dbg.scala 423:59] - node _T_632 = and(_T_628, _T_631) @[dbg.scala 423:44] - node _T_633 = or(_T_624, _T_632) @[dbg.scala 422:107] - node _T_634 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:22] - node _T_635 = eq(_T_634, UInt<2>("h02")) @[dbg.scala 424:31] - node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] - node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_638 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 424:80] - node _T_639 = cat(_T_638, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_640 = dshl(UInt<8>("h0f"), _T_639) @[dbg.scala 424:59] - node _T_641 = and(_T_637, _T_640) @[dbg.scala 424:44] - node _T_642 = or(_T_633, _T_641) @[dbg.scala 423:97] - node _T_643 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:22] - node _T_644 = eq(_T_643, UInt<2>("h03")) @[dbg.scala 425:31] - node _T_645 = bits(_T_644, 0, 0) @[Bitwise.scala 72:15] - node _T_646 = mux(_T_645, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_647 = and(_T_646, UInt<8>("h0ff")) @[dbg.scala 425:44] - node _T_648 = or(_T_642, _T_647) @[dbg.scala 424:95] - io.sb_axi.w.bits.strb <= _T_648 @[dbg.scala 422:25] + node _T_601 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 418:35] + node _T_602 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 418:70] + node _T_603 = or(_T_601, _T_602) @[dbg.scala 418:58] + node _T_604 = bits(_T_603, 0, 0) @[dbg.scala 418:105] + io.sb_axi.w.valid <= _T_604 @[dbg.scala 418:21] + node _T_605 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:46] + node _T_606 = eq(_T_605, UInt<1>("h00")) @[dbg.scala 419:55] + node _T_607 = bits(_T_606, 0, 0) @[Bitwise.scala 72:15] + node _T_608 = mux(_T_607, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_609 = bits(sbdata0_reg, 7, 0) @[dbg.scala 419:87] + node _T_610 = cat(_T_609, _T_609) @[Cat.scala 29:58] + node _T_611 = cat(_T_610, _T_610) @[Cat.scala 29:58] + node _T_612 = cat(_T_611, _T_611) @[Cat.scala 29:58] + node _T_613 = and(_T_608, _T_612) @[dbg.scala 419:65] + node _T_614 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:116] + node _T_615 = eq(_T_614, UInt<1>("h01")) @[dbg.scala 419:125] + node _T_616 = bits(_T_615, 0, 0) @[Bitwise.scala 72:15] + node _T_617 = mux(_T_616, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_618 = bits(sbdata0_reg, 15, 0) @[dbg.scala 419:159] + node _T_619 = cat(_T_618, _T_618) @[Cat.scala 29:58] + node _T_620 = cat(_T_619, _T_619) @[Cat.scala 29:58] + node _T_621 = and(_T_617, _T_620) @[dbg.scala 419:138] + node _T_622 = or(_T_613, _T_621) @[dbg.scala 419:96] + node _T_623 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:23] + node _T_624 = eq(_T_623, UInt<2>("h02")) @[dbg.scala 420:32] + node _T_625 = bits(_T_624, 0, 0) @[Bitwise.scala 72:15] + node _T_626 = mux(_T_625, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_627 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:67] + node _T_628 = cat(_T_627, _T_627) @[Cat.scala 29:58] + node _T_629 = and(_T_626, _T_628) @[dbg.scala 420:45] + node _T_630 = or(_T_622, _T_629) @[dbg.scala 419:168] + node _T_631 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:97] + node _T_632 = eq(_T_631, UInt<2>("h03")) @[dbg.scala 420:106] + node _T_633 = bits(_T_632, 0, 0) @[Bitwise.scala 72:15] + node _T_634 = mux(_T_633, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_635 = bits(sbdata1_reg, 31, 0) @[dbg.scala 420:136] + node _T_636 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:156] + node _T_637 = cat(_T_635, _T_636) @[Cat.scala 29:58] + node _T_638 = and(_T_634, _T_637) @[dbg.scala 420:119] + node _T_639 = or(_T_630, _T_638) @[dbg.scala 420:77] + io.sb_axi.w.bits.data <= _T_639 @[dbg.scala 419:25] + node _T_640 = bits(sbcs_reg, 19, 17) @[dbg.scala 422:45] + node _T_641 = eq(_T_640, UInt<1>("h00")) @[dbg.scala 422:54] + node _T_642 = bits(_T_641, 0, 0) @[Bitwise.scala 72:15] + node _T_643 = mux(_T_642, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_644 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 422:99] + node _T_645 = dshl(UInt<8>("h01"), _T_644) @[dbg.scala 422:82] + node _T_646 = and(_T_643, _T_645) @[dbg.scala 422:67] + node _T_647 = bits(sbcs_reg, 19, 17) @[dbg.scala 423:22] + node _T_648 = eq(_T_647, UInt<1>("h01")) @[dbg.scala 423:31] + node _T_649 = bits(_T_648, 0, 0) @[Bitwise.scala 72:15] + node _T_650 = mux(_T_649, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_651 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 423:80] + node _T_652 = cat(_T_651, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_653 = dshl(UInt<8>("h03"), _T_652) @[dbg.scala 423:59] + node _T_654 = and(_T_650, _T_653) @[dbg.scala 423:44] + node _T_655 = or(_T_646, _T_654) @[dbg.scala 422:107] + node _T_656 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:22] + node _T_657 = eq(_T_656, UInt<2>("h02")) @[dbg.scala 424:31] + node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] + node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_660 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 424:80] + node _T_661 = cat(_T_660, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_662 = dshl(UInt<8>("h0f"), _T_661) @[dbg.scala 424:59] + node _T_663 = and(_T_659, _T_662) @[dbg.scala 424:44] + node _T_664 = or(_T_655, _T_663) @[dbg.scala 423:97] + node _T_665 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:22] + node _T_666 = eq(_T_665, UInt<2>("h03")) @[dbg.scala 425:31] + node _T_667 = bits(_T_666, 0, 0) @[Bitwise.scala 72:15] + node _T_668 = mux(_T_667, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_669 = and(_T_668, UInt<8>("h0ff")) @[dbg.scala 425:44] + node _T_670 = or(_T_664, _T_669) @[dbg.scala 424:95] + io.sb_axi.w.bits.strb <= _T_670 @[dbg.scala 422:25] io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 427:25] - node _T_649 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 428:35] - node _T_650 = bits(_T_649, 0, 0) @[dbg.scala 428:64] - io.sb_axi.ar.valid <= _T_650 @[dbg.scala 428:22] + node _T_671 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 428:35] + node _T_672 = bits(_T_671, 0, 0) @[dbg.scala 428:64] + io.sb_axi.ar.valid <= _T_672 @[dbg.scala 428:22] io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 429:26] io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 430:24] - node _T_651 = bits(sbcs_reg, 19, 17) @[dbg.scala 431:37] - io.sb_axi.ar.bits.size <= _T_651 @[dbg.scala 431:26] + node _T_673 = bits(sbcs_reg, 19, 17) @[dbg.scala 431:37] + io.sb_axi.ar.bits.size <= _T_673 @[dbg.scala 431:26] io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 432:26] io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 433:27] - node _T_652 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 434:45] - io.sb_axi.ar.bits.region <= _T_652 @[dbg.scala 434:28] + node _T_674 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 434:45] + io.sb_axi.ar.bits.region <= _T_674 @[dbg.scala 434:28] io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 435:25] io.sb_axi.ar.bits.burst <= UInt<1>("h01") @[dbg.scala 436:27] io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 437:25] io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 438:26] io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 439:21] io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 440:21] - node _T_653 = bits(sbcs_reg, 19, 17) @[dbg.scala 441:37] - node _T_654 = eq(_T_653, UInt<1>("h00")) @[dbg.scala 441:46] - node _T_655 = bits(_T_654, 0, 0) @[Bitwise.scala 72:15] - node _T_656 = mux(_T_655, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_657 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 441:84] - node _T_658 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 441:115] - node _T_659 = mul(UInt<4>("h08"), _T_658) @[dbg.scala 441:99] - node _T_660 = dshr(_T_657, _T_659) @[dbg.scala 441:92] - node _T_661 = and(_T_660, UInt<64>("h0ff")) @[dbg.scala 441:123] - node _T_662 = and(_T_656, _T_661) @[dbg.scala 441:59] - node _T_663 = bits(sbcs_reg, 19, 17) @[dbg.scala 442:23] - node _T_664 = eq(_T_663, UInt<1>("h01")) @[dbg.scala 442:32] - node _T_665 = bits(_T_664, 0, 0) @[Bitwise.scala 72:15] - node _T_666 = mux(_T_665, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_667 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 442:70] - node _T_668 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 442:102] - node _T_669 = mul(UInt<5>("h010"), _T_668) @[dbg.scala 442:86] - node _T_670 = dshr(_T_667, _T_669) @[dbg.scala 442:78] - node _T_671 = and(_T_670, UInt<64>("h0ffff")) @[dbg.scala 442:110] - node _T_672 = and(_T_666, _T_671) @[dbg.scala 442:45] - node _T_673 = or(_T_662, _T_672) @[dbg.scala 441:140] - node _T_674 = bits(sbcs_reg, 19, 17) @[dbg.scala 443:23] - node _T_675 = eq(_T_674, UInt<2>("h02")) @[dbg.scala 443:32] - node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] - node _T_677 = mux(_T_676, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 443:70] - node _T_679 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 443:102] - node _T_680 = mul(UInt<6>("h020"), _T_679) @[dbg.scala 443:86] - node _T_681 = dshr(_T_678, _T_680) @[dbg.scala 443:78] - node _T_682 = and(_T_681, UInt<64>("h0ffffffff")) @[dbg.scala 443:107] - node _T_683 = and(_T_677, _T_682) @[dbg.scala 443:45] - node _T_684 = or(_T_673, _T_683) @[dbg.scala 442:129] - node _T_685 = bits(sbcs_reg, 19, 17) @[dbg.scala 444:23] - node _T_686 = eq(_T_685, UInt<2>("h03")) @[dbg.scala 444:32] + node _T_675 = bits(sbcs_reg, 19, 17) @[dbg.scala 441:37] + node _T_676 = eq(_T_675, UInt<1>("h00")) @[dbg.scala 441:46] + node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] + node _T_678 = mux(_T_677, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_679 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 441:84] + node _T_680 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 441:115] + node _T_681 = mul(UInt<4>("h08"), _T_680) @[dbg.scala 441:99] + node _T_682 = dshr(_T_679, _T_681) @[dbg.scala 441:92] + node _T_683 = and(_T_682, UInt<64>("h0ff")) @[dbg.scala 441:123] + node _T_684 = and(_T_678, _T_683) @[dbg.scala 441:59] + node _T_685 = bits(sbcs_reg, 19, 17) @[dbg.scala 442:23] + node _T_686 = eq(_T_685, UInt<1>("h01")) @[dbg.scala 442:32] node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] node _T_688 = mux(_T_687, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_689 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 444:68] - node _T_690 = and(_T_688, _T_689) @[dbg.scala 444:45] - node _T_691 = or(_T_684, _T_690) @[dbg.scala 443:131] - sb_bus_rdata <= _T_691 @[dbg.scala 441:16] + node _T_689 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 442:70] + node _T_690 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 442:102] + node _T_691 = mul(UInt<5>("h010"), _T_690) @[dbg.scala 442:86] + node _T_692 = dshr(_T_689, _T_691) @[dbg.scala 442:78] + node _T_693 = and(_T_692, UInt<64>("h0ffff")) @[dbg.scala 442:110] + node _T_694 = and(_T_688, _T_693) @[dbg.scala 442:45] + node _T_695 = or(_T_684, _T_694) @[dbg.scala 441:140] + node _T_696 = bits(sbcs_reg, 19, 17) @[dbg.scala 443:23] + node _T_697 = eq(_T_696, UInt<2>("h02")) @[dbg.scala 443:32] + node _T_698 = bits(_T_697, 0, 0) @[Bitwise.scala 72:15] + node _T_699 = mux(_T_698, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_700 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 443:70] + node _T_701 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 443:102] + node _T_702 = mul(UInt<6>("h020"), _T_701) @[dbg.scala 443:86] + node _T_703 = dshr(_T_700, _T_702) @[dbg.scala 443:78] + node _T_704 = and(_T_703, UInt<64>("h0ffffffff")) @[dbg.scala 443:107] + node _T_705 = and(_T_699, _T_704) @[dbg.scala 443:45] + node _T_706 = or(_T_695, _T_705) @[dbg.scala 442:129] + node _T_707 = bits(sbcs_reg, 19, 17) @[dbg.scala 444:23] + node _T_708 = eq(_T_707, UInt<2>("h03")) @[dbg.scala 444:32] + node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] + node _T_710 = mux(_T_709, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_711 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 444:68] + node _T_712 = and(_T_710, _T_711) @[dbg.scala 444:45] + node _T_713 = or(_T_706, _T_712) @[dbg.scala 443:131] + sb_bus_rdata <= _T_713 @[dbg.scala 441:16] io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 447:39] io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 448:39] io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 449:39] @@ -93267,909 +93283,905 @@ circuit quasar_wrapper : node _T_301 = cat(_T_300, _T_297) @[lib.scala 89:14] node _T_302 = cat(_T_301, _T_294) @[lib.scala 89:14] node _T_303 = cat(_T_302, _T_287) @[lib.scala 89:14] - node _T_304 = andr(_T_303) @[lib.scala 89:25] - node _T_305 = and(_T_46, _T_304) @[lsu_trigger.scala 19:92] - node _T_306 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] - node _T_307 = and(io.lsu_pkt_m.valid, _T_306) @[lsu_trigger.scala 18:69] - node _T_308 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] - node _T_309 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] - node _T_310 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] - node _T_311 = and(_T_309, _T_310) @[lsu_trigger.scala 19:58] - node _T_312 = or(_T_308, _T_311) @[lsu_trigger.scala 18:152] - node _T_313 = and(_T_307, _T_312) @[lsu_trigger.scala 18:94] - node _T_314 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] - wire _T_315 : UInt<1>[32] @[lib.scala 84:24] - node _T_316 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 85:45] - node _T_317 = not(_T_316) @[lib.scala 85:39] - node _T_318 = and(_T_314, _T_317) @[lib.scala 85:37] - node _T_319 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 86:48] - node _T_320 = bits(lsu_match_data_1, 0, 0) @[lib.scala 86:60] - node _T_321 = eq(_T_319, _T_320) @[lib.scala 86:52] - node _T_322 = or(_T_318, _T_321) @[lib.scala 86:41] - _T_315[0] <= _T_322 @[lib.scala 86:18] - node _T_323 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 88:28] - node _T_324 = andr(_T_323) @[lib.scala 88:36] - node _T_325 = and(_T_324, _T_318) @[lib.scala 88:41] - node _T_326 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 88:74] - node _T_327 = bits(lsu_match_data_1, 1, 1) @[lib.scala 88:86] - node _T_328 = eq(_T_326, _T_327) @[lib.scala 88:78] - node _T_329 = mux(_T_325, UInt<1>("h01"), _T_328) @[lib.scala 88:23] - _T_315[1] <= _T_329 @[lib.scala 88:17] - node _T_330 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 88:28] - node _T_331 = andr(_T_330) @[lib.scala 88:36] - node _T_332 = and(_T_331, _T_318) @[lib.scala 88:41] - node _T_333 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 88:74] - node _T_334 = bits(lsu_match_data_1, 2, 2) @[lib.scala 88:86] - node _T_335 = eq(_T_333, _T_334) @[lib.scala 88:78] - node _T_336 = mux(_T_332, UInt<1>("h01"), _T_335) @[lib.scala 88:23] - _T_315[2] <= _T_336 @[lib.scala 88:17] - node _T_337 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 88:28] - node _T_338 = andr(_T_337) @[lib.scala 88:36] - node _T_339 = and(_T_338, _T_318) @[lib.scala 88:41] - node _T_340 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 88:74] - node _T_341 = bits(lsu_match_data_1, 3, 3) @[lib.scala 88:86] - node _T_342 = eq(_T_340, _T_341) @[lib.scala 88:78] - node _T_343 = mux(_T_339, UInt<1>("h01"), _T_342) @[lib.scala 88:23] - _T_315[3] <= _T_343 @[lib.scala 88:17] - node _T_344 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 88:28] - node _T_345 = andr(_T_344) @[lib.scala 88:36] - node _T_346 = and(_T_345, _T_318) @[lib.scala 88:41] - node _T_347 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 88:74] - node _T_348 = bits(lsu_match_data_1, 4, 4) @[lib.scala 88:86] - node _T_349 = eq(_T_347, _T_348) @[lib.scala 88:78] - node _T_350 = mux(_T_346, UInt<1>("h01"), _T_349) @[lib.scala 88:23] - _T_315[4] <= _T_350 @[lib.scala 88:17] - node _T_351 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 88:28] - node _T_352 = andr(_T_351) @[lib.scala 88:36] - node _T_353 = and(_T_352, _T_318) @[lib.scala 88:41] - node _T_354 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 88:74] - node _T_355 = bits(lsu_match_data_1, 5, 5) @[lib.scala 88:86] - node _T_356 = eq(_T_354, _T_355) @[lib.scala 88:78] - node _T_357 = mux(_T_353, UInt<1>("h01"), _T_356) @[lib.scala 88:23] - _T_315[5] <= _T_357 @[lib.scala 88:17] - node _T_358 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 88:28] - node _T_359 = andr(_T_358) @[lib.scala 88:36] - node _T_360 = and(_T_359, _T_318) @[lib.scala 88:41] - node _T_361 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 88:74] - node _T_362 = bits(lsu_match_data_1, 6, 6) @[lib.scala 88:86] - node _T_363 = eq(_T_361, _T_362) @[lib.scala 88:78] - node _T_364 = mux(_T_360, UInt<1>("h01"), _T_363) @[lib.scala 88:23] - _T_315[6] <= _T_364 @[lib.scala 88:17] - node _T_365 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 88:28] - node _T_366 = andr(_T_365) @[lib.scala 88:36] - node _T_367 = and(_T_366, _T_318) @[lib.scala 88:41] - node _T_368 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 88:74] - node _T_369 = bits(lsu_match_data_1, 7, 7) @[lib.scala 88:86] - node _T_370 = eq(_T_368, _T_369) @[lib.scala 88:78] - node _T_371 = mux(_T_367, UInt<1>("h01"), _T_370) @[lib.scala 88:23] - _T_315[7] <= _T_371 @[lib.scala 88:17] - node _T_372 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 88:28] - node _T_373 = andr(_T_372) @[lib.scala 88:36] - node _T_374 = and(_T_373, _T_318) @[lib.scala 88:41] - node _T_375 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 88:74] - node _T_376 = bits(lsu_match_data_1, 8, 8) @[lib.scala 88:86] - node _T_377 = eq(_T_375, _T_376) @[lib.scala 88:78] - node _T_378 = mux(_T_374, UInt<1>("h01"), _T_377) @[lib.scala 88:23] - _T_315[8] <= _T_378 @[lib.scala 88:17] - node _T_379 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 88:28] - node _T_380 = andr(_T_379) @[lib.scala 88:36] - node _T_381 = and(_T_380, _T_318) @[lib.scala 88:41] - node _T_382 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 88:74] - node _T_383 = bits(lsu_match_data_1, 9, 9) @[lib.scala 88:86] - node _T_384 = eq(_T_382, _T_383) @[lib.scala 88:78] - node _T_385 = mux(_T_381, UInt<1>("h01"), _T_384) @[lib.scala 88:23] - _T_315[9] <= _T_385 @[lib.scala 88:17] - node _T_386 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 88:28] - node _T_387 = andr(_T_386) @[lib.scala 88:36] - node _T_388 = and(_T_387, _T_318) @[lib.scala 88:41] - node _T_389 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 88:74] - node _T_390 = bits(lsu_match_data_1, 10, 10) @[lib.scala 88:86] - node _T_391 = eq(_T_389, _T_390) @[lib.scala 88:78] - node _T_392 = mux(_T_388, UInt<1>("h01"), _T_391) @[lib.scala 88:23] - _T_315[10] <= _T_392 @[lib.scala 88:17] - node _T_393 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 88:28] - node _T_394 = andr(_T_393) @[lib.scala 88:36] - node _T_395 = and(_T_394, _T_318) @[lib.scala 88:41] - node _T_396 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 88:74] - node _T_397 = bits(lsu_match_data_1, 11, 11) @[lib.scala 88:86] - node _T_398 = eq(_T_396, _T_397) @[lib.scala 88:78] - node _T_399 = mux(_T_395, UInt<1>("h01"), _T_398) @[lib.scala 88:23] - _T_315[11] <= _T_399 @[lib.scala 88:17] - node _T_400 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 88:28] - node _T_401 = andr(_T_400) @[lib.scala 88:36] - node _T_402 = and(_T_401, _T_318) @[lib.scala 88:41] - node _T_403 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 88:74] - node _T_404 = bits(lsu_match_data_1, 12, 12) @[lib.scala 88:86] - node _T_405 = eq(_T_403, _T_404) @[lib.scala 88:78] - node _T_406 = mux(_T_402, UInt<1>("h01"), _T_405) @[lib.scala 88:23] - _T_315[12] <= _T_406 @[lib.scala 88:17] - node _T_407 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 88:28] - node _T_408 = andr(_T_407) @[lib.scala 88:36] - node _T_409 = and(_T_408, _T_318) @[lib.scala 88:41] - node _T_410 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 88:74] - node _T_411 = bits(lsu_match_data_1, 13, 13) @[lib.scala 88:86] - node _T_412 = eq(_T_410, _T_411) @[lib.scala 88:78] - node _T_413 = mux(_T_409, UInt<1>("h01"), _T_412) @[lib.scala 88:23] - _T_315[13] <= _T_413 @[lib.scala 88:17] - node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 88:28] - node _T_415 = andr(_T_414) @[lib.scala 88:36] - node _T_416 = and(_T_415, _T_318) @[lib.scala 88:41] - node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 88:74] - node _T_418 = bits(lsu_match_data_1, 14, 14) @[lib.scala 88:86] - node _T_419 = eq(_T_417, _T_418) @[lib.scala 88:78] - node _T_420 = mux(_T_416, UInt<1>("h01"), _T_419) @[lib.scala 88:23] - _T_315[14] <= _T_420 @[lib.scala 88:17] - node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 88:28] - node _T_422 = andr(_T_421) @[lib.scala 88:36] - node _T_423 = and(_T_422, _T_318) @[lib.scala 88:41] - node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 88:74] - node _T_425 = bits(lsu_match_data_1, 15, 15) @[lib.scala 88:86] - node _T_426 = eq(_T_424, _T_425) @[lib.scala 88:78] - node _T_427 = mux(_T_423, UInt<1>("h01"), _T_426) @[lib.scala 88:23] - _T_315[15] <= _T_427 @[lib.scala 88:17] - node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 88:28] - node _T_429 = andr(_T_428) @[lib.scala 88:36] - node _T_430 = and(_T_429, _T_318) @[lib.scala 88:41] - node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 88:74] - node _T_432 = bits(lsu_match_data_1, 16, 16) @[lib.scala 88:86] - node _T_433 = eq(_T_431, _T_432) @[lib.scala 88:78] - node _T_434 = mux(_T_430, UInt<1>("h01"), _T_433) @[lib.scala 88:23] - _T_315[16] <= _T_434 @[lib.scala 88:17] - node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 88:28] - node _T_436 = andr(_T_435) @[lib.scala 88:36] - node _T_437 = and(_T_436, _T_318) @[lib.scala 88:41] - node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 88:74] - node _T_439 = bits(lsu_match_data_1, 17, 17) @[lib.scala 88:86] - node _T_440 = eq(_T_438, _T_439) @[lib.scala 88:78] - node _T_441 = mux(_T_437, UInt<1>("h01"), _T_440) @[lib.scala 88:23] - _T_315[17] <= _T_441 @[lib.scala 88:17] - node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 88:28] - node _T_443 = andr(_T_442) @[lib.scala 88:36] - node _T_444 = and(_T_443, _T_318) @[lib.scala 88:41] - node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 88:74] - node _T_446 = bits(lsu_match_data_1, 18, 18) @[lib.scala 88:86] - node _T_447 = eq(_T_445, _T_446) @[lib.scala 88:78] - node _T_448 = mux(_T_444, UInt<1>("h01"), _T_447) @[lib.scala 88:23] - _T_315[18] <= _T_448 @[lib.scala 88:17] - node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 88:28] - node _T_450 = andr(_T_449) @[lib.scala 88:36] - node _T_451 = and(_T_450, _T_318) @[lib.scala 88:41] - node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 88:74] - node _T_453 = bits(lsu_match_data_1, 19, 19) @[lib.scala 88:86] - node _T_454 = eq(_T_452, _T_453) @[lib.scala 88:78] - node _T_455 = mux(_T_451, UInt<1>("h01"), _T_454) @[lib.scala 88:23] - _T_315[19] <= _T_455 @[lib.scala 88:17] - node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 88:28] - node _T_457 = andr(_T_456) @[lib.scala 88:36] - node _T_458 = and(_T_457, _T_318) @[lib.scala 88:41] - node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 88:74] - node _T_460 = bits(lsu_match_data_1, 20, 20) @[lib.scala 88:86] - node _T_461 = eq(_T_459, _T_460) @[lib.scala 88:78] - node _T_462 = mux(_T_458, UInt<1>("h01"), _T_461) @[lib.scala 88:23] - _T_315[20] <= _T_462 @[lib.scala 88:17] - node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 88:28] - node _T_464 = andr(_T_463) @[lib.scala 88:36] - node _T_465 = and(_T_464, _T_318) @[lib.scala 88:41] - node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 88:74] - node _T_467 = bits(lsu_match_data_1, 21, 21) @[lib.scala 88:86] - node _T_468 = eq(_T_466, _T_467) @[lib.scala 88:78] - node _T_469 = mux(_T_465, UInt<1>("h01"), _T_468) @[lib.scala 88:23] - _T_315[21] <= _T_469 @[lib.scala 88:17] - node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 88:28] - node _T_471 = andr(_T_470) @[lib.scala 88:36] - node _T_472 = and(_T_471, _T_318) @[lib.scala 88:41] - node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 88:74] - node _T_474 = bits(lsu_match_data_1, 22, 22) @[lib.scala 88:86] - node _T_475 = eq(_T_473, _T_474) @[lib.scala 88:78] - node _T_476 = mux(_T_472, UInt<1>("h01"), _T_475) @[lib.scala 88:23] - _T_315[22] <= _T_476 @[lib.scala 88:17] - node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 88:28] - node _T_478 = andr(_T_477) @[lib.scala 88:36] - node _T_479 = and(_T_478, _T_318) @[lib.scala 88:41] - node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 88:74] - node _T_481 = bits(lsu_match_data_1, 23, 23) @[lib.scala 88:86] - node _T_482 = eq(_T_480, _T_481) @[lib.scala 88:78] - node _T_483 = mux(_T_479, UInt<1>("h01"), _T_482) @[lib.scala 88:23] - _T_315[23] <= _T_483 @[lib.scala 88:17] - node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 88:28] - node _T_485 = andr(_T_484) @[lib.scala 88:36] - node _T_486 = and(_T_485, _T_318) @[lib.scala 88:41] - node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 88:74] - node _T_488 = bits(lsu_match_data_1, 24, 24) @[lib.scala 88:86] - node _T_489 = eq(_T_487, _T_488) @[lib.scala 88:78] - node _T_490 = mux(_T_486, UInt<1>("h01"), _T_489) @[lib.scala 88:23] - _T_315[24] <= _T_490 @[lib.scala 88:17] - node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 88:28] - node _T_492 = andr(_T_491) @[lib.scala 88:36] - node _T_493 = and(_T_492, _T_318) @[lib.scala 88:41] - node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 88:74] - node _T_495 = bits(lsu_match_data_1, 25, 25) @[lib.scala 88:86] - node _T_496 = eq(_T_494, _T_495) @[lib.scala 88:78] - node _T_497 = mux(_T_493, UInt<1>("h01"), _T_496) @[lib.scala 88:23] - _T_315[25] <= _T_497 @[lib.scala 88:17] - node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 88:28] - node _T_499 = andr(_T_498) @[lib.scala 88:36] - node _T_500 = and(_T_499, _T_318) @[lib.scala 88:41] - node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 88:74] - node _T_502 = bits(lsu_match_data_1, 26, 26) @[lib.scala 88:86] - node _T_503 = eq(_T_501, _T_502) @[lib.scala 88:78] - node _T_504 = mux(_T_500, UInt<1>("h01"), _T_503) @[lib.scala 88:23] - _T_315[26] <= _T_504 @[lib.scala 88:17] - node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 88:28] - node _T_506 = andr(_T_505) @[lib.scala 88:36] - node _T_507 = and(_T_506, _T_318) @[lib.scala 88:41] - node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 88:74] - node _T_509 = bits(lsu_match_data_1, 27, 27) @[lib.scala 88:86] - node _T_510 = eq(_T_508, _T_509) @[lib.scala 88:78] - node _T_511 = mux(_T_507, UInt<1>("h01"), _T_510) @[lib.scala 88:23] - _T_315[27] <= _T_511 @[lib.scala 88:17] - node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 88:28] - node _T_513 = andr(_T_512) @[lib.scala 88:36] - node _T_514 = and(_T_513, _T_318) @[lib.scala 88:41] - node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 88:74] - node _T_516 = bits(lsu_match_data_1, 28, 28) @[lib.scala 88:86] - node _T_517 = eq(_T_515, _T_516) @[lib.scala 88:78] - node _T_518 = mux(_T_514, UInt<1>("h01"), _T_517) @[lib.scala 88:23] - _T_315[28] <= _T_518 @[lib.scala 88:17] - node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 88:28] - node _T_520 = andr(_T_519) @[lib.scala 88:36] - node _T_521 = and(_T_520, _T_318) @[lib.scala 88:41] - node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 88:74] - node _T_523 = bits(lsu_match_data_1, 29, 29) @[lib.scala 88:86] - node _T_524 = eq(_T_522, _T_523) @[lib.scala 88:78] - node _T_525 = mux(_T_521, UInt<1>("h01"), _T_524) @[lib.scala 88:23] - _T_315[29] <= _T_525 @[lib.scala 88:17] - node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 88:28] - node _T_527 = andr(_T_526) @[lib.scala 88:36] - node _T_528 = and(_T_527, _T_318) @[lib.scala 88:41] - node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 88:74] - node _T_530 = bits(lsu_match_data_1, 30, 30) @[lib.scala 88:86] - node _T_531 = eq(_T_529, _T_530) @[lib.scala 88:78] - node _T_532 = mux(_T_528, UInt<1>("h01"), _T_531) @[lib.scala 88:23] - _T_315[30] <= _T_532 @[lib.scala 88:17] - node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 88:28] - node _T_534 = andr(_T_533) @[lib.scala 88:36] - node _T_535 = and(_T_534, _T_318) @[lib.scala 88:41] - node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 88:74] - node _T_537 = bits(lsu_match_data_1, 31, 31) @[lib.scala 88:86] - node _T_538 = eq(_T_536, _T_537) @[lib.scala 88:78] - node _T_539 = mux(_T_535, UInt<1>("h01"), _T_538) @[lib.scala 88:23] - _T_315[31] <= _T_539 @[lib.scala 88:17] - node _T_540 = cat(_T_315[1], _T_315[0]) @[lib.scala 89:14] - node _T_541 = cat(_T_315[3], _T_315[2]) @[lib.scala 89:14] - node _T_542 = cat(_T_541, _T_540) @[lib.scala 89:14] - node _T_543 = cat(_T_315[5], _T_315[4]) @[lib.scala 89:14] - node _T_544 = cat(_T_315[7], _T_315[6]) @[lib.scala 89:14] - node _T_545 = cat(_T_544, _T_543) @[lib.scala 89:14] - node _T_546 = cat(_T_545, _T_542) @[lib.scala 89:14] - node _T_547 = cat(_T_315[9], _T_315[8]) @[lib.scala 89:14] - node _T_548 = cat(_T_315[11], _T_315[10]) @[lib.scala 89:14] - node _T_549 = cat(_T_548, _T_547) @[lib.scala 89:14] - node _T_550 = cat(_T_315[13], _T_315[12]) @[lib.scala 89:14] - node _T_551 = cat(_T_315[15], _T_315[14]) @[lib.scala 89:14] - node _T_552 = cat(_T_551, _T_550) @[lib.scala 89:14] - node _T_553 = cat(_T_552, _T_549) @[lib.scala 89:14] - node _T_554 = cat(_T_553, _T_546) @[lib.scala 89:14] - node _T_555 = cat(_T_315[17], _T_315[16]) @[lib.scala 89:14] - node _T_556 = cat(_T_315[19], _T_315[18]) @[lib.scala 89:14] - node _T_557 = cat(_T_556, _T_555) @[lib.scala 89:14] - node _T_558 = cat(_T_315[21], _T_315[20]) @[lib.scala 89:14] - node _T_559 = cat(_T_315[23], _T_315[22]) @[lib.scala 89:14] - node _T_560 = cat(_T_559, _T_558) @[lib.scala 89:14] - node _T_561 = cat(_T_560, _T_557) @[lib.scala 89:14] - node _T_562 = cat(_T_315[25], _T_315[24]) @[lib.scala 89:14] - node _T_563 = cat(_T_315[27], _T_315[26]) @[lib.scala 89:14] - node _T_564 = cat(_T_563, _T_562) @[lib.scala 89:14] - node _T_565 = cat(_T_315[29], _T_315[28]) @[lib.scala 89:14] - node _T_566 = cat(_T_315[31], _T_315[30]) @[lib.scala 89:14] - node _T_567 = cat(_T_566, _T_565) @[lib.scala 89:14] - node _T_568 = cat(_T_567, _T_564) @[lib.scala 89:14] - node _T_569 = cat(_T_568, _T_561) @[lib.scala 89:14] - node _T_570 = cat(_T_569, _T_554) @[lib.scala 89:14] - node _T_571 = andr(_T_570) @[lib.scala 89:25] - node _T_572 = and(_T_313, _T_571) @[lsu_trigger.scala 19:92] - node _T_573 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] - node _T_574 = and(io.lsu_pkt_m.valid, _T_573) @[lsu_trigger.scala 18:69] - node _T_575 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] - node _T_576 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] - node _T_577 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] - node _T_578 = and(_T_576, _T_577) @[lsu_trigger.scala 19:58] - node _T_579 = or(_T_575, _T_578) @[lsu_trigger.scala 18:152] - node _T_580 = and(_T_574, _T_579) @[lsu_trigger.scala 18:94] - node _T_581 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] - wire _T_582 : UInt<1>[32] @[lib.scala 84:24] - node _T_583 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 85:45] - node _T_584 = not(_T_583) @[lib.scala 85:39] - node _T_585 = and(_T_581, _T_584) @[lib.scala 85:37] - node _T_586 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 86:48] - node _T_587 = bits(lsu_match_data_2, 0, 0) @[lib.scala 86:60] - node _T_588 = eq(_T_586, _T_587) @[lib.scala 86:52] - node _T_589 = or(_T_585, _T_588) @[lib.scala 86:41] - _T_582[0] <= _T_589 @[lib.scala 86:18] - node _T_590 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 88:28] - node _T_591 = andr(_T_590) @[lib.scala 88:36] - node _T_592 = and(_T_591, _T_585) @[lib.scala 88:41] - node _T_593 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 88:74] - node _T_594 = bits(lsu_match_data_2, 1, 1) @[lib.scala 88:86] - node _T_595 = eq(_T_593, _T_594) @[lib.scala 88:78] - node _T_596 = mux(_T_592, UInt<1>("h01"), _T_595) @[lib.scala 88:23] - _T_582[1] <= _T_596 @[lib.scala 88:17] - node _T_597 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 88:28] - node _T_598 = andr(_T_597) @[lib.scala 88:36] - node _T_599 = and(_T_598, _T_585) @[lib.scala 88:41] - node _T_600 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 88:74] - node _T_601 = bits(lsu_match_data_2, 2, 2) @[lib.scala 88:86] - node _T_602 = eq(_T_600, _T_601) @[lib.scala 88:78] - node _T_603 = mux(_T_599, UInt<1>("h01"), _T_602) @[lib.scala 88:23] - _T_582[2] <= _T_603 @[lib.scala 88:17] - node _T_604 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 88:28] - node _T_605 = andr(_T_604) @[lib.scala 88:36] - node _T_606 = and(_T_605, _T_585) @[lib.scala 88:41] - node _T_607 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 88:74] - node _T_608 = bits(lsu_match_data_2, 3, 3) @[lib.scala 88:86] - node _T_609 = eq(_T_607, _T_608) @[lib.scala 88:78] - node _T_610 = mux(_T_606, UInt<1>("h01"), _T_609) @[lib.scala 88:23] - _T_582[3] <= _T_610 @[lib.scala 88:17] - node _T_611 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 88:28] - node _T_612 = andr(_T_611) @[lib.scala 88:36] - node _T_613 = and(_T_612, _T_585) @[lib.scala 88:41] - node _T_614 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 88:74] - node _T_615 = bits(lsu_match_data_2, 4, 4) @[lib.scala 88:86] - node _T_616 = eq(_T_614, _T_615) @[lib.scala 88:78] - node _T_617 = mux(_T_613, UInt<1>("h01"), _T_616) @[lib.scala 88:23] - _T_582[4] <= _T_617 @[lib.scala 88:17] - node _T_618 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 88:28] - node _T_619 = andr(_T_618) @[lib.scala 88:36] - node _T_620 = and(_T_619, _T_585) @[lib.scala 88:41] - node _T_621 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 88:74] - node _T_622 = bits(lsu_match_data_2, 5, 5) @[lib.scala 88:86] - node _T_623 = eq(_T_621, _T_622) @[lib.scala 88:78] - node _T_624 = mux(_T_620, UInt<1>("h01"), _T_623) @[lib.scala 88:23] - _T_582[5] <= _T_624 @[lib.scala 88:17] - node _T_625 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 88:28] - node _T_626 = andr(_T_625) @[lib.scala 88:36] - node _T_627 = and(_T_626, _T_585) @[lib.scala 88:41] - node _T_628 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 88:74] - node _T_629 = bits(lsu_match_data_2, 6, 6) @[lib.scala 88:86] - node _T_630 = eq(_T_628, _T_629) @[lib.scala 88:78] - node _T_631 = mux(_T_627, UInt<1>("h01"), _T_630) @[lib.scala 88:23] - _T_582[6] <= _T_631 @[lib.scala 88:17] - node _T_632 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 88:28] - node _T_633 = andr(_T_632) @[lib.scala 88:36] - node _T_634 = and(_T_633, _T_585) @[lib.scala 88:41] - node _T_635 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 88:74] - node _T_636 = bits(lsu_match_data_2, 7, 7) @[lib.scala 88:86] - node _T_637 = eq(_T_635, _T_636) @[lib.scala 88:78] - node _T_638 = mux(_T_634, UInt<1>("h01"), _T_637) @[lib.scala 88:23] - _T_582[7] <= _T_638 @[lib.scala 88:17] - node _T_639 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 88:28] - node _T_640 = andr(_T_639) @[lib.scala 88:36] - node _T_641 = and(_T_640, _T_585) @[lib.scala 88:41] - node _T_642 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 88:74] - node _T_643 = bits(lsu_match_data_2, 8, 8) @[lib.scala 88:86] - node _T_644 = eq(_T_642, _T_643) @[lib.scala 88:78] - node _T_645 = mux(_T_641, UInt<1>("h01"), _T_644) @[lib.scala 88:23] - _T_582[8] <= _T_645 @[lib.scala 88:17] - node _T_646 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 88:28] - node _T_647 = andr(_T_646) @[lib.scala 88:36] - node _T_648 = and(_T_647, _T_585) @[lib.scala 88:41] - node _T_649 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 88:74] - node _T_650 = bits(lsu_match_data_2, 9, 9) @[lib.scala 88:86] - node _T_651 = eq(_T_649, _T_650) @[lib.scala 88:78] - node _T_652 = mux(_T_648, UInt<1>("h01"), _T_651) @[lib.scala 88:23] - _T_582[9] <= _T_652 @[lib.scala 88:17] - node _T_653 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 88:28] - node _T_654 = andr(_T_653) @[lib.scala 88:36] - node _T_655 = and(_T_654, _T_585) @[lib.scala 88:41] - node _T_656 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 88:74] - node _T_657 = bits(lsu_match_data_2, 10, 10) @[lib.scala 88:86] - node _T_658 = eq(_T_656, _T_657) @[lib.scala 88:78] - node _T_659 = mux(_T_655, UInt<1>("h01"), _T_658) @[lib.scala 88:23] - _T_582[10] <= _T_659 @[lib.scala 88:17] - node _T_660 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 88:28] - node _T_661 = andr(_T_660) @[lib.scala 88:36] - node _T_662 = and(_T_661, _T_585) @[lib.scala 88:41] - node _T_663 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 88:74] - node _T_664 = bits(lsu_match_data_2, 11, 11) @[lib.scala 88:86] - node _T_665 = eq(_T_663, _T_664) @[lib.scala 88:78] - node _T_666 = mux(_T_662, UInt<1>("h01"), _T_665) @[lib.scala 88:23] - _T_582[11] <= _T_666 @[lib.scala 88:17] - node _T_667 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 88:28] - node _T_668 = andr(_T_667) @[lib.scala 88:36] - node _T_669 = and(_T_668, _T_585) @[lib.scala 88:41] - node _T_670 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 88:74] - node _T_671 = bits(lsu_match_data_2, 12, 12) @[lib.scala 88:86] - node _T_672 = eq(_T_670, _T_671) @[lib.scala 88:78] - node _T_673 = mux(_T_669, UInt<1>("h01"), _T_672) @[lib.scala 88:23] - _T_582[12] <= _T_673 @[lib.scala 88:17] - node _T_674 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 88:28] - node _T_675 = andr(_T_674) @[lib.scala 88:36] - node _T_676 = and(_T_675, _T_585) @[lib.scala 88:41] - node _T_677 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 88:74] - node _T_678 = bits(lsu_match_data_2, 13, 13) @[lib.scala 88:86] - node _T_679 = eq(_T_677, _T_678) @[lib.scala 88:78] - node _T_680 = mux(_T_676, UInt<1>("h01"), _T_679) @[lib.scala 88:23] - _T_582[13] <= _T_680 @[lib.scala 88:17] - node _T_681 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 88:28] - node _T_682 = andr(_T_681) @[lib.scala 88:36] - node _T_683 = and(_T_682, _T_585) @[lib.scala 88:41] - node _T_684 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 88:74] - node _T_685 = bits(lsu_match_data_2, 14, 14) @[lib.scala 88:86] - node _T_686 = eq(_T_684, _T_685) @[lib.scala 88:78] - node _T_687 = mux(_T_683, UInt<1>("h01"), _T_686) @[lib.scala 88:23] - _T_582[14] <= _T_687 @[lib.scala 88:17] - node _T_688 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 88:28] - node _T_689 = andr(_T_688) @[lib.scala 88:36] - node _T_690 = and(_T_689, _T_585) @[lib.scala 88:41] - node _T_691 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 88:74] - node _T_692 = bits(lsu_match_data_2, 15, 15) @[lib.scala 88:86] - node _T_693 = eq(_T_691, _T_692) @[lib.scala 88:78] - node _T_694 = mux(_T_690, UInt<1>("h01"), _T_693) @[lib.scala 88:23] - _T_582[15] <= _T_694 @[lib.scala 88:17] - node _T_695 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 88:28] - node _T_696 = andr(_T_695) @[lib.scala 88:36] - node _T_697 = and(_T_696, _T_585) @[lib.scala 88:41] - node _T_698 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 88:74] - node _T_699 = bits(lsu_match_data_2, 16, 16) @[lib.scala 88:86] - node _T_700 = eq(_T_698, _T_699) @[lib.scala 88:78] - node _T_701 = mux(_T_697, UInt<1>("h01"), _T_700) @[lib.scala 88:23] - _T_582[16] <= _T_701 @[lib.scala 88:17] - node _T_702 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 88:28] - node _T_703 = andr(_T_702) @[lib.scala 88:36] - node _T_704 = and(_T_703, _T_585) @[lib.scala 88:41] - node _T_705 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 88:74] - node _T_706 = bits(lsu_match_data_2, 17, 17) @[lib.scala 88:86] - node _T_707 = eq(_T_705, _T_706) @[lib.scala 88:78] - node _T_708 = mux(_T_704, UInt<1>("h01"), _T_707) @[lib.scala 88:23] - _T_582[17] <= _T_708 @[lib.scala 88:17] - node _T_709 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 88:28] - node _T_710 = andr(_T_709) @[lib.scala 88:36] - node _T_711 = and(_T_710, _T_585) @[lib.scala 88:41] - node _T_712 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 88:74] - node _T_713 = bits(lsu_match_data_2, 18, 18) @[lib.scala 88:86] - node _T_714 = eq(_T_712, _T_713) @[lib.scala 88:78] - node _T_715 = mux(_T_711, UInt<1>("h01"), _T_714) @[lib.scala 88:23] - _T_582[18] <= _T_715 @[lib.scala 88:17] - node _T_716 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 88:28] - node _T_717 = andr(_T_716) @[lib.scala 88:36] - node _T_718 = and(_T_717, _T_585) @[lib.scala 88:41] - node _T_719 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 88:74] - node _T_720 = bits(lsu_match_data_2, 19, 19) @[lib.scala 88:86] - node _T_721 = eq(_T_719, _T_720) @[lib.scala 88:78] - node _T_722 = mux(_T_718, UInt<1>("h01"), _T_721) @[lib.scala 88:23] - _T_582[19] <= _T_722 @[lib.scala 88:17] - node _T_723 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 88:28] - node _T_724 = andr(_T_723) @[lib.scala 88:36] - node _T_725 = and(_T_724, _T_585) @[lib.scala 88:41] - node _T_726 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 88:74] - node _T_727 = bits(lsu_match_data_2, 20, 20) @[lib.scala 88:86] - node _T_728 = eq(_T_726, _T_727) @[lib.scala 88:78] - node _T_729 = mux(_T_725, UInt<1>("h01"), _T_728) @[lib.scala 88:23] - _T_582[20] <= _T_729 @[lib.scala 88:17] - node _T_730 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 88:28] - node _T_731 = andr(_T_730) @[lib.scala 88:36] - node _T_732 = and(_T_731, _T_585) @[lib.scala 88:41] - node _T_733 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 88:74] - node _T_734 = bits(lsu_match_data_2, 21, 21) @[lib.scala 88:86] - node _T_735 = eq(_T_733, _T_734) @[lib.scala 88:78] - node _T_736 = mux(_T_732, UInt<1>("h01"), _T_735) @[lib.scala 88:23] - _T_582[21] <= _T_736 @[lib.scala 88:17] - node _T_737 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 88:28] - node _T_738 = andr(_T_737) @[lib.scala 88:36] - node _T_739 = and(_T_738, _T_585) @[lib.scala 88:41] - node _T_740 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 88:74] - node _T_741 = bits(lsu_match_data_2, 22, 22) @[lib.scala 88:86] - node _T_742 = eq(_T_740, _T_741) @[lib.scala 88:78] - node _T_743 = mux(_T_739, UInt<1>("h01"), _T_742) @[lib.scala 88:23] - _T_582[22] <= _T_743 @[lib.scala 88:17] - node _T_744 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 88:28] - node _T_745 = andr(_T_744) @[lib.scala 88:36] - node _T_746 = and(_T_745, _T_585) @[lib.scala 88:41] - node _T_747 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 88:74] - node _T_748 = bits(lsu_match_data_2, 23, 23) @[lib.scala 88:86] - node _T_749 = eq(_T_747, _T_748) @[lib.scala 88:78] - node _T_750 = mux(_T_746, UInt<1>("h01"), _T_749) @[lib.scala 88:23] - _T_582[23] <= _T_750 @[lib.scala 88:17] - node _T_751 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 88:28] - node _T_752 = andr(_T_751) @[lib.scala 88:36] - node _T_753 = and(_T_752, _T_585) @[lib.scala 88:41] - node _T_754 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 88:74] - node _T_755 = bits(lsu_match_data_2, 24, 24) @[lib.scala 88:86] - node _T_756 = eq(_T_754, _T_755) @[lib.scala 88:78] - node _T_757 = mux(_T_753, UInt<1>("h01"), _T_756) @[lib.scala 88:23] - _T_582[24] <= _T_757 @[lib.scala 88:17] - node _T_758 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 88:28] - node _T_759 = andr(_T_758) @[lib.scala 88:36] - node _T_760 = and(_T_759, _T_585) @[lib.scala 88:41] - node _T_761 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 88:74] - node _T_762 = bits(lsu_match_data_2, 25, 25) @[lib.scala 88:86] - node _T_763 = eq(_T_761, _T_762) @[lib.scala 88:78] - node _T_764 = mux(_T_760, UInt<1>("h01"), _T_763) @[lib.scala 88:23] - _T_582[25] <= _T_764 @[lib.scala 88:17] - node _T_765 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 88:28] - node _T_766 = andr(_T_765) @[lib.scala 88:36] - node _T_767 = and(_T_766, _T_585) @[lib.scala 88:41] - node _T_768 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 88:74] - node _T_769 = bits(lsu_match_data_2, 26, 26) @[lib.scala 88:86] - node _T_770 = eq(_T_768, _T_769) @[lib.scala 88:78] - node _T_771 = mux(_T_767, UInt<1>("h01"), _T_770) @[lib.scala 88:23] - _T_582[26] <= _T_771 @[lib.scala 88:17] - node _T_772 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 88:28] - node _T_773 = andr(_T_772) @[lib.scala 88:36] - node _T_774 = and(_T_773, _T_585) @[lib.scala 88:41] - node _T_775 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 88:74] - node _T_776 = bits(lsu_match_data_2, 27, 27) @[lib.scala 88:86] - node _T_777 = eq(_T_775, _T_776) @[lib.scala 88:78] - node _T_778 = mux(_T_774, UInt<1>("h01"), _T_777) @[lib.scala 88:23] - _T_582[27] <= _T_778 @[lib.scala 88:17] - node _T_779 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 88:28] - node _T_780 = andr(_T_779) @[lib.scala 88:36] - node _T_781 = and(_T_780, _T_585) @[lib.scala 88:41] - node _T_782 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 88:74] - node _T_783 = bits(lsu_match_data_2, 28, 28) @[lib.scala 88:86] - node _T_784 = eq(_T_782, _T_783) @[lib.scala 88:78] - node _T_785 = mux(_T_781, UInt<1>("h01"), _T_784) @[lib.scala 88:23] - _T_582[28] <= _T_785 @[lib.scala 88:17] - node _T_786 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 88:28] - node _T_787 = andr(_T_786) @[lib.scala 88:36] - node _T_788 = and(_T_787, _T_585) @[lib.scala 88:41] - node _T_789 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 88:74] - node _T_790 = bits(lsu_match_data_2, 29, 29) @[lib.scala 88:86] - node _T_791 = eq(_T_789, _T_790) @[lib.scala 88:78] - node _T_792 = mux(_T_788, UInt<1>("h01"), _T_791) @[lib.scala 88:23] - _T_582[29] <= _T_792 @[lib.scala 88:17] - node _T_793 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 88:28] - node _T_794 = andr(_T_793) @[lib.scala 88:36] - node _T_795 = and(_T_794, _T_585) @[lib.scala 88:41] - node _T_796 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 88:74] - node _T_797 = bits(lsu_match_data_2, 30, 30) @[lib.scala 88:86] - node _T_798 = eq(_T_796, _T_797) @[lib.scala 88:78] - node _T_799 = mux(_T_795, UInt<1>("h01"), _T_798) @[lib.scala 88:23] - _T_582[30] <= _T_799 @[lib.scala 88:17] - node _T_800 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 88:28] - node _T_801 = andr(_T_800) @[lib.scala 88:36] - node _T_802 = and(_T_801, _T_585) @[lib.scala 88:41] - node _T_803 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 88:74] - node _T_804 = bits(lsu_match_data_2, 31, 31) @[lib.scala 88:86] - node _T_805 = eq(_T_803, _T_804) @[lib.scala 88:78] - node _T_806 = mux(_T_802, UInt<1>("h01"), _T_805) @[lib.scala 88:23] - _T_582[31] <= _T_806 @[lib.scala 88:17] - node _T_807 = cat(_T_582[1], _T_582[0]) @[lib.scala 89:14] - node _T_808 = cat(_T_582[3], _T_582[2]) @[lib.scala 89:14] - node _T_809 = cat(_T_808, _T_807) @[lib.scala 89:14] - node _T_810 = cat(_T_582[5], _T_582[4]) @[lib.scala 89:14] - node _T_811 = cat(_T_582[7], _T_582[6]) @[lib.scala 89:14] - node _T_812 = cat(_T_811, _T_810) @[lib.scala 89:14] - node _T_813 = cat(_T_812, _T_809) @[lib.scala 89:14] - node _T_814 = cat(_T_582[9], _T_582[8]) @[lib.scala 89:14] - node _T_815 = cat(_T_582[11], _T_582[10]) @[lib.scala 89:14] - node _T_816 = cat(_T_815, _T_814) @[lib.scala 89:14] - node _T_817 = cat(_T_582[13], _T_582[12]) @[lib.scala 89:14] - node _T_818 = cat(_T_582[15], _T_582[14]) @[lib.scala 89:14] - node _T_819 = cat(_T_818, _T_817) @[lib.scala 89:14] - node _T_820 = cat(_T_819, _T_816) @[lib.scala 89:14] - node _T_821 = cat(_T_820, _T_813) @[lib.scala 89:14] - node _T_822 = cat(_T_582[17], _T_582[16]) @[lib.scala 89:14] - node _T_823 = cat(_T_582[19], _T_582[18]) @[lib.scala 89:14] - node _T_824 = cat(_T_823, _T_822) @[lib.scala 89:14] - node _T_825 = cat(_T_582[21], _T_582[20]) @[lib.scala 89:14] - node _T_826 = cat(_T_582[23], _T_582[22]) @[lib.scala 89:14] - node _T_827 = cat(_T_826, _T_825) @[lib.scala 89:14] - node _T_828 = cat(_T_827, _T_824) @[lib.scala 89:14] - node _T_829 = cat(_T_582[25], _T_582[24]) @[lib.scala 89:14] - node _T_830 = cat(_T_582[27], _T_582[26]) @[lib.scala 89:14] - node _T_831 = cat(_T_830, _T_829) @[lib.scala 89:14] - node _T_832 = cat(_T_582[29], _T_582[28]) @[lib.scala 89:14] - node _T_833 = cat(_T_582[31], _T_582[30]) @[lib.scala 89:14] - node _T_834 = cat(_T_833, _T_832) @[lib.scala 89:14] - node _T_835 = cat(_T_834, _T_831) @[lib.scala 89:14] - node _T_836 = cat(_T_835, _T_828) @[lib.scala 89:14] - node _T_837 = cat(_T_836, _T_821) @[lib.scala 89:14] - node _T_838 = andr(_T_837) @[lib.scala 89:25] - node _T_839 = and(_T_580, _T_838) @[lsu_trigger.scala 19:92] - node _T_840 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] - node _T_841 = and(io.lsu_pkt_m.valid, _T_840) @[lsu_trigger.scala 18:69] - node _T_842 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] - node _T_843 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] - node _T_844 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] - node _T_845 = and(_T_843, _T_844) @[lsu_trigger.scala 19:58] - node _T_846 = or(_T_842, _T_845) @[lsu_trigger.scala 18:152] - node _T_847 = and(_T_841, _T_846) @[lsu_trigger.scala 18:94] - node _T_848 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] - wire _T_849 : UInt<1>[32] @[lib.scala 84:24] - node _T_850 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 85:45] - node _T_851 = not(_T_850) @[lib.scala 85:39] - node _T_852 = and(_T_848, _T_851) @[lib.scala 85:37] - node _T_853 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 86:48] - node _T_854 = bits(lsu_match_data_3, 0, 0) @[lib.scala 86:60] - node _T_855 = eq(_T_853, _T_854) @[lib.scala 86:52] - node _T_856 = or(_T_852, _T_855) @[lib.scala 86:41] - _T_849[0] <= _T_856 @[lib.scala 86:18] - node _T_857 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 88:28] - node _T_858 = andr(_T_857) @[lib.scala 88:36] - node _T_859 = and(_T_858, _T_852) @[lib.scala 88:41] - node _T_860 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 88:74] - node _T_861 = bits(lsu_match_data_3, 1, 1) @[lib.scala 88:86] - node _T_862 = eq(_T_860, _T_861) @[lib.scala 88:78] - node _T_863 = mux(_T_859, UInt<1>("h01"), _T_862) @[lib.scala 88:23] - _T_849[1] <= _T_863 @[lib.scala 88:17] - node _T_864 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 88:28] - node _T_865 = andr(_T_864) @[lib.scala 88:36] - node _T_866 = and(_T_865, _T_852) @[lib.scala 88:41] - node _T_867 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 88:74] - node _T_868 = bits(lsu_match_data_3, 2, 2) @[lib.scala 88:86] - node _T_869 = eq(_T_867, _T_868) @[lib.scala 88:78] - node _T_870 = mux(_T_866, UInt<1>("h01"), _T_869) @[lib.scala 88:23] - _T_849[2] <= _T_870 @[lib.scala 88:17] - node _T_871 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 88:28] - node _T_872 = andr(_T_871) @[lib.scala 88:36] - node _T_873 = and(_T_872, _T_852) @[lib.scala 88:41] - node _T_874 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 88:74] - node _T_875 = bits(lsu_match_data_3, 3, 3) @[lib.scala 88:86] - node _T_876 = eq(_T_874, _T_875) @[lib.scala 88:78] - node _T_877 = mux(_T_873, UInt<1>("h01"), _T_876) @[lib.scala 88:23] - _T_849[3] <= _T_877 @[lib.scala 88:17] - node _T_878 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 88:28] - node _T_879 = andr(_T_878) @[lib.scala 88:36] - node _T_880 = and(_T_879, _T_852) @[lib.scala 88:41] - node _T_881 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 88:74] - node _T_882 = bits(lsu_match_data_3, 4, 4) @[lib.scala 88:86] - node _T_883 = eq(_T_881, _T_882) @[lib.scala 88:78] - node _T_884 = mux(_T_880, UInt<1>("h01"), _T_883) @[lib.scala 88:23] - _T_849[4] <= _T_884 @[lib.scala 88:17] - node _T_885 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 88:28] - node _T_886 = andr(_T_885) @[lib.scala 88:36] - node _T_887 = and(_T_886, _T_852) @[lib.scala 88:41] - node _T_888 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 88:74] - node _T_889 = bits(lsu_match_data_3, 5, 5) @[lib.scala 88:86] - node _T_890 = eq(_T_888, _T_889) @[lib.scala 88:78] - node _T_891 = mux(_T_887, UInt<1>("h01"), _T_890) @[lib.scala 88:23] - _T_849[5] <= _T_891 @[lib.scala 88:17] - node _T_892 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 88:28] - node _T_893 = andr(_T_892) @[lib.scala 88:36] - node _T_894 = and(_T_893, _T_852) @[lib.scala 88:41] - node _T_895 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 88:74] - node _T_896 = bits(lsu_match_data_3, 6, 6) @[lib.scala 88:86] - node _T_897 = eq(_T_895, _T_896) @[lib.scala 88:78] - node _T_898 = mux(_T_894, UInt<1>("h01"), _T_897) @[lib.scala 88:23] - _T_849[6] <= _T_898 @[lib.scala 88:17] - node _T_899 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 88:28] - node _T_900 = andr(_T_899) @[lib.scala 88:36] - node _T_901 = and(_T_900, _T_852) @[lib.scala 88:41] - node _T_902 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 88:74] - node _T_903 = bits(lsu_match_data_3, 7, 7) @[lib.scala 88:86] - node _T_904 = eq(_T_902, _T_903) @[lib.scala 88:78] - node _T_905 = mux(_T_901, UInt<1>("h01"), _T_904) @[lib.scala 88:23] - _T_849[7] <= _T_905 @[lib.scala 88:17] - node _T_906 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 88:28] - node _T_907 = andr(_T_906) @[lib.scala 88:36] - node _T_908 = and(_T_907, _T_852) @[lib.scala 88:41] - node _T_909 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 88:74] - node _T_910 = bits(lsu_match_data_3, 8, 8) @[lib.scala 88:86] - node _T_911 = eq(_T_909, _T_910) @[lib.scala 88:78] - node _T_912 = mux(_T_908, UInt<1>("h01"), _T_911) @[lib.scala 88:23] - _T_849[8] <= _T_912 @[lib.scala 88:17] - node _T_913 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 88:28] - node _T_914 = andr(_T_913) @[lib.scala 88:36] - node _T_915 = and(_T_914, _T_852) @[lib.scala 88:41] - node _T_916 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 88:74] - node _T_917 = bits(lsu_match_data_3, 9, 9) @[lib.scala 88:86] - node _T_918 = eq(_T_916, _T_917) @[lib.scala 88:78] - node _T_919 = mux(_T_915, UInt<1>("h01"), _T_918) @[lib.scala 88:23] - _T_849[9] <= _T_919 @[lib.scala 88:17] - node _T_920 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 88:28] - node _T_921 = andr(_T_920) @[lib.scala 88:36] - node _T_922 = and(_T_921, _T_852) @[lib.scala 88:41] - node _T_923 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 88:74] - node _T_924 = bits(lsu_match_data_3, 10, 10) @[lib.scala 88:86] - node _T_925 = eq(_T_923, _T_924) @[lib.scala 88:78] - node _T_926 = mux(_T_922, UInt<1>("h01"), _T_925) @[lib.scala 88:23] - _T_849[10] <= _T_926 @[lib.scala 88:17] - node _T_927 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 88:28] - node _T_928 = andr(_T_927) @[lib.scala 88:36] - node _T_929 = and(_T_928, _T_852) @[lib.scala 88:41] - node _T_930 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 88:74] - node _T_931 = bits(lsu_match_data_3, 11, 11) @[lib.scala 88:86] - node _T_932 = eq(_T_930, _T_931) @[lib.scala 88:78] - node _T_933 = mux(_T_929, UInt<1>("h01"), _T_932) @[lib.scala 88:23] - _T_849[11] <= _T_933 @[lib.scala 88:17] - node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 88:28] - node _T_935 = andr(_T_934) @[lib.scala 88:36] - node _T_936 = and(_T_935, _T_852) @[lib.scala 88:41] - node _T_937 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 88:74] - node _T_938 = bits(lsu_match_data_3, 12, 12) @[lib.scala 88:86] - node _T_939 = eq(_T_937, _T_938) @[lib.scala 88:78] - node _T_940 = mux(_T_936, UInt<1>("h01"), _T_939) @[lib.scala 88:23] - _T_849[12] <= _T_940 @[lib.scala 88:17] - node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 88:28] - node _T_942 = andr(_T_941) @[lib.scala 88:36] - node _T_943 = and(_T_942, _T_852) @[lib.scala 88:41] - node _T_944 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 88:74] - node _T_945 = bits(lsu_match_data_3, 13, 13) @[lib.scala 88:86] - node _T_946 = eq(_T_944, _T_945) @[lib.scala 88:78] - node _T_947 = mux(_T_943, UInt<1>("h01"), _T_946) @[lib.scala 88:23] - _T_849[13] <= _T_947 @[lib.scala 88:17] - node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 88:28] - node _T_949 = andr(_T_948) @[lib.scala 88:36] - node _T_950 = and(_T_949, _T_852) @[lib.scala 88:41] - node _T_951 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 88:74] - node _T_952 = bits(lsu_match_data_3, 14, 14) @[lib.scala 88:86] - node _T_953 = eq(_T_951, _T_952) @[lib.scala 88:78] - node _T_954 = mux(_T_950, UInt<1>("h01"), _T_953) @[lib.scala 88:23] - _T_849[14] <= _T_954 @[lib.scala 88:17] - node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 88:28] - node _T_956 = andr(_T_955) @[lib.scala 88:36] - node _T_957 = and(_T_956, _T_852) @[lib.scala 88:41] - node _T_958 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 88:74] - node _T_959 = bits(lsu_match_data_3, 15, 15) @[lib.scala 88:86] - node _T_960 = eq(_T_958, _T_959) @[lib.scala 88:78] - node _T_961 = mux(_T_957, UInt<1>("h01"), _T_960) @[lib.scala 88:23] - _T_849[15] <= _T_961 @[lib.scala 88:17] - node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 88:28] - node _T_963 = andr(_T_962) @[lib.scala 88:36] - node _T_964 = and(_T_963, _T_852) @[lib.scala 88:41] - node _T_965 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 88:74] - node _T_966 = bits(lsu_match_data_3, 16, 16) @[lib.scala 88:86] - node _T_967 = eq(_T_965, _T_966) @[lib.scala 88:78] - node _T_968 = mux(_T_964, UInt<1>("h01"), _T_967) @[lib.scala 88:23] - _T_849[16] <= _T_968 @[lib.scala 88:17] - node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 88:28] - node _T_970 = andr(_T_969) @[lib.scala 88:36] - node _T_971 = and(_T_970, _T_852) @[lib.scala 88:41] - node _T_972 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 88:74] - node _T_973 = bits(lsu_match_data_3, 17, 17) @[lib.scala 88:86] - node _T_974 = eq(_T_972, _T_973) @[lib.scala 88:78] - node _T_975 = mux(_T_971, UInt<1>("h01"), _T_974) @[lib.scala 88:23] - _T_849[17] <= _T_975 @[lib.scala 88:17] - node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 88:28] - node _T_977 = andr(_T_976) @[lib.scala 88:36] - node _T_978 = and(_T_977, _T_852) @[lib.scala 88:41] - node _T_979 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 88:74] - node _T_980 = bits(lsu_match_data_3, 18, 18) @[lib.scala 88:86] - node _T_981 = eq(_T_979, _T_980) @[lib.scala 88:78] - node _T_982 = mux(_T_978, UInt<1>("h01"), _T_981) @[lib.scala 88:23] - _T_849[18] <= _T_982 @[lib.scala 88:17] - node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 88:28] - node _T_984 = andr(_T_983) @[lib.scala 88:36] - node _T_985 = and(_T_984, _T_852) @[lib.scala 88:41] - node _T_986 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 88:74] - node _T_987 = bits(lsu_match_data_3, 19, 19) @[lib.scala 88:86] - node _T_988 = eq(_T_986, _T_987) @[lib.scala 88:78] - node _T_989 = mux(_T_985, UInt<1>("h01"), _T_988) @[lib.scala 88:23] - _T_849[19] <= _T_989 @[lib.scala 88:17] - node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 88:28] - node _T_991 = andr(_T_990) @[lib.scala 88:36] - node _T_992 = and(_T_991, _T_852) @[lib.scala 88:41] - node _T_993 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 88:74] - node _T_994 = bits(lsu_match_data_3, 20, 20) @[lib.scala 88:86] - node _T_995 = eq(_T_993, _T_994) @[lib.scala 88:78] - node _T_996 = mux(_T_992, UInt<1>("h01"), _T_995) @[lib.scala 88:23] - _T_849[20] <= _T_996 @[lib.scala 88:17] - node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 88:28] - node _T_998 = andr(_T_997) @[lib.scala 88:36] - node _T_999 = and(_T_998, _T_852) @[lib.scala 88:41] - node _T_1000 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 88:74] - node _T_1001 = bits(lsu_match_data_3, 21, 21) @[lib.scala 88:86] - node _T_1002 = eq(_T_1000, _T_1001) @[lib.scala 88:78] - node _T_1003 = mux(_T_999, UInt<1>("h01"), _T_1002) @[lib.scala 88:23] - _T_849[21] <= _T_1003 @[lib.scala 88:17] - node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 88:28] - node _T_1005 = andr(_T_1004) @[lib.scala 88:36] - node _T_1006 = and(_T_1005, _T_852) @[lib.scala 88:41] - node _T_1007 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 88:74] - node _T_1008 = bits(lsu_match_data_3, 22, 22) @[lib.scala 88:86] - node _T_1009 = eq(_T_1007, _T_1008) @[lib.scala 88:78] - node _T_1010 = mux(_T_1006, UInt<1>("h01"), _T_1009) @[lib.scala 88:23] - _T_849[22] <= _T_1010 @[lib.scala 88:17] - node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 88:28] - node _T_1012 = andr(_T_1011) @[lib.scala 88:36] - node _T_1013 = and(_T_1012, _T_852) @[lib.scala 88:41] - node _T_1014 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 88:74] - node _T_1015 = bits(lsu_match_data_3, 23, 23) @[lib.scala 88:86] - node _T_1016 = eq(_T_1014, _T_1015) @[lib.scala 88:78] - node _T_1017 = mux(_T_1013, UInt<1>("h01"), _T_1016) @[lib.scala 88:23] - _T_849[23] <= _T_1017 @[lib.scala 88:17] - node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 88:28] - node _T_1019 = andr(_T_1018) @[lib.scala 88:36] - node _T_1020 = and(_T_1019, _T_852) @[lib.scala 88:41] - node _T_1021 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 88:74] - node _T_1022 = bits(lsu_match_data_3, 24, 24) @[lib.scala 88:86] - node _T_1023 = eq(_T_1021, _T_1022) @[lib.scala 88:78] - node _T_1024 = mux(_T_1020, UInt<1>("h01"), _T_1023) @[lib.scala 88:23] - _T_849[24] <= _T_1024 @[lib.scala 88:17] - node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 88:28] - node _T_1026 = andr(_T_1025) @[lib.scala 88:36] - node _T_1027 = and(_T_1026, _T_852) @[lib.scala 88:41] - node _T_1028 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 88:74] - node _T_1029 = bits(lsu_match_data_3, 25, 25) @[lib.scala 88:86] - node _T_1030 = eq(_T_1028, _T_1029) @[lib.scala 88:78] - node _T_1031 = mux(_T_1027, UInt<1>("h01"), _T_1030) @[lib.scala 88:23] - _T_849[25] <= _T_1031 @[lib.scala 88:17] - node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 88:28] - node _T_1033 = andr(_T_1032) @[lib.scala 88:36] - node _T_1034 = and(_T_1033, _T_852) @[lib.scala 88:41] - node _T_1035 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 88:74] - node _T_1036 = bits(lsu_match_data_3, 26, 26) @[lib.scala 88:86] - node _T_1037 = eq(_T_1035, _T_1036) @[lib.scala 88:78] - node _T_1038 = mux(_T_1034, UInt<1>("h01"), _T_1037) @[lib.scala 88:23] - _T_849[26] <= _T_1038 @[lib.scala 88:17] - node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 88:28] - node _T_1040 = andr(_T_1039) @[lib.scala 88:36] - node _T_1041 = and(_T_1040, _T_852) @[lib.scala 88:41] - node _T_1042 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 88:74] - node _T_1043 = bits(lsu_match_data_3, 27, 27) @[lib.scala 88:86] - node _T_1044 = eq(_T_1042, _T_1043) @[lib.scala 88:78] - node _T_1045 = mux(_T_1041, UInt<1>("h01"), _T_1044) @[lib.scala 88:23] - _T_849[27] <= _T_1045 @[lib.scala 88:17] - node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 88:28] - node _T_1047 = andr(_T_1046) @[lib.scala 88:36] - node _T_1048 = and(_T_1047, _T_852) @[lib.scala 88:41] - node _T_1049 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 88:74] - node _T_1050 = bits(lsu_match_data_3, 28, 28) @[lib.scala 88:86] - node _T_1051 = eq(_T_1049, _T_1050) @[lib.scala 88:78] - node _T_1052 = mux(_T_1048, UInt<1>("h01"), _T_1051) @[lib.scala 88:23] - _T_849[28] <= _T_1052 @[lib.scala 88:17] - node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 88:28] - node _T_1054 = andr(_T_1053) @[lib.scala 88:36] - node _T_1055 = and(_T_1054, _T_852) @[lib.scala 88:41] - node _T_1056 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 88:74] - node _T_1057 = bits(lsu_match_data_3, 29, 29) @[lib.scala 88:86] - node _T_1058 = eq(_T_1056, _T_1057) @[lib.scala 88:78] - node _T_1059 = mux(_T_1055, UInt<1>("h01"), _T_1058) @[lib.scala 88:23] - _T_849[29] <= _T_1059 @[lib.scala 88:17] - node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 88:28] - node _T_1061 = andr(_T_1060) @[lib.scala 88:36] - node _T_1062 = and(_T_1061, _T_852) @[lib.scala 88:41] - node _T_1063 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 88:74] - node _T_1064 = bits(lsu_match_data_3, 30, 30) @[lib.scala 88:86] - node _T_1065 = eq(_T_1063, _T_1064) @[lib.scala 88:78] - node _T_1066 = mux(_T_1062, UInt<1>("h01"), _T_1065) @[lib.scala 88:23] - _T_849[30] <= _T_1066 @[lib.scala 88:17] - node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 88:28] - node _T_1068 = andr(_T_1067) @[lib.scala 88:36] - node _T_1069 = and(_T_1068, _T_852) @[lib.scala 88:41] - node _T_1070 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 88:74] - node _T_1071 = bits(lsu_match_data_3, 31, 31) @[lib.scala 88:86] - node _T_1072 = eq(_T_1070, _T_1071) @[lib.scala 88:78] - node _T_1073 = mux(_T_1069, UInt<1>("h01"), _T_1072) @[lib.scala 88:23] - _T_849[31] <= _T_1073 @[lib.scala 88:17] - node _T_1074 = cat(_T_849[1], _T_849[0]) @[lib.scala 89:14] - node _T_1075 = cat(_T_849[3], _T_849[2]) @[lib.scala 89:14] + node _T_304 = and(_T_46, _T_303) @[lsu_trigger.scala 19:92] + node _T_305 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_306 = and(io.lsu_pkt_m.valid, _T_305) @[lsu_trigger.scala 18:69] + node _T_307 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_308 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_309 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_310 = and(_T_308, _T_309) @[lsu_trigger.scala 19:58] + node _T_311 = or(_T_307, _T_310) @[lsu_trigger.scala 18:152] + node _T_312 = and(_T_306, _T_311) @[lsu_trigger.scala 18:94] + node _T_313 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_314 : UInt<1>[32] @[lib.scala 84:24] + node _T_315 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 85:45] + node _T_316 = not(_T_315) @[lib.scala 85:39] + node _T_317 = and(_T_313, _T_316) @[lib.scala 85:37] + node _T_318 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 86:48] + node _T_319 = bits(lsu_match_data_1, 0, 0) @[lib.scala 86:60] + node _T_320 = eq(_T_318, _T_319) @[lib.scala 86:52] + node _T_321 = or(_T_317, _T_320) @[lib.scala 86:41] + _T_314[0] <= _T_321 @[lib.scala 86:18] + node _T_322 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 88:28] + node _T_323 = andr(_T_322) @[lib.scala 88:36] + node _T_324 = and(_T_323, _T_317) @[lib.scala 88:41] + node _T_325 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 88:74] + node _T_326 = bits(lsu_match_data_1, 1, 1) @[lib.scala 88:86] + node _T_327 = eq(_T_325, _T_326) @[lib.scala 88:78] + node _T_328 = mux(_T_324, UInt<1>("h01"), _T_327) @[lib.scala 88:23] + _T_314[1] <= _T_328 @[lib.scala 88:17] + node _T_329 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 88:28] + node _T_330 = andr(_T_329) @[lib.scala 88:36] + node _T_331 = and(_T_330, _T_317) @[lib.scala 88:41] + node _T_332 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 88:74] + node _T_333 = bits(lsu_match_data_1, 2, 2) @[lib.scala 88:86] + node _T_334 = eq(_T_332, _T_333) @[lib.scala 88:78] + node _T_335 = mux(_T_331, UInt<1>("h01"), _T_334) @[lib.scala 88:23] + _T_314[2] <= _T_335 @[lib.scala 88:17] + node _T_336 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 88:28] + node _T_337 = andr(_T_336) @[lib.scala 88:36] + node _T_338 = and(_T_337, _T_317) @[lib.scala 88:41] + node _T_339 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 88:74] + node _T_340 = bits(lsu_match_data_1, 3, 3) @[lib.scala 88:86] + node _T_341 = eq(_T_339, _T_340) @[lib.scala 88:78] + node _T_342 = mux(_T_338, UInt<1>("h01"), _T_341) @[lib.scala 88:23] + _T_314[3] <= _T_342 @[lib.scala 88:17] + node _T_343 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 88:28] + node _T_344 = andr(_T_343) @[lib.scala 88:36] + node _T_345 = and(_T_344, _T_317) @[lib.scala 88:41] + node _T_346 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 88:74] + node _T_347 = bits(lsu_match_data_1, 4, 4) @[lib.scala 88:86] + node _T_348 = eq(_T_346, _T_347) @[lib.scala 88:78] + node _T_349 = mux(_T_345, UInt<1>("h01"), _T_348) @[lib.scala 88:23] + _T_314[4] <= _T_349 @[lib.scala 88:17] + node _T_350 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 88:28] + node _T_351 = andr(_T_350) @[lib.scala 88:36] + node _T_352 = and(_T_351, _T_317) @[lib.scala 88:41] + node _T_353 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 88:74] + node _T_354 = bits(lsu_match_data_1, 5, 5) @[lib.scala 88:86] + node _T_355 = eq(_T_353, _T_354) @[lib.scala 88:78] + node _T_356 = mux(_T_352, UInt<1>("h01"), _T_355) @[lib.scala 88:23] + _T_314[5] <= _T_356 @[lib.scala 88:17] + node _T_357 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 88:28] + node _T_358 = andr(_T_357) @[lib.scala 88:36] + node _T_359 = and(_T_358, _T_317) @[lib.scala 88:41] + node _T_360 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 88:74] + node _T_361 = bits(lsu_match_data_1, 6, 6) @[lib.scala 88:86] + node _T_362 = eq(_T_360, _T_361) @[lib.scala 88:78] + node _T_363 = mux(_T_359, UInt<1>("h01"), _T_362) @[lib.scala 88:23] + _T_314[6] <= _T_363 @[lib.scala 88:17] + node _T_364 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 88:28] + node _T_365 = andr(_T_364) @[lib.scala 88:36] + node _T_366 = and(_T_365, _T_317) @[lib.scala 88:41] + node _T_367 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 88:74] + node _T_368 = bits(lsu_match_data_1, 7, 7) @[lib.scala 88:86] + node _T_369 = eq(_T_367, _T_368) @[lib.scala 88:78] + node _T_370 = mux(_T_366, UInt<1>("h01"), _T_369) @[lib.scala 88:23] + _T_314[7] <= _T_370 @[lib.scala 88:17] + node _T_371 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 88:28] + node _T_372 = andr(_T_371) @[lib.scala 88:36] + node _T_373 = and(_T_372, _T_317) @[lib.scala 88:41] + node _T_374 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 88:74] + node _T_375 = bits(lsu_match_data_1, 8, 8) @[lib.scala 88:86] + node _T_376 = eq(_T_374, _T_375) @[lib.scala 88:78] + node _T_377 = mux(_T_373, UInt<1>("h01"), _T_376) @[lib.scala 88:23] + _T_314[8] <= _T_377 @[lib.scala 88:17] + node _T_378 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 88:28] + node _T_379 = andr(_T_378) @[lib.scala 88:36] + node _T_380 = and(_T_379, _T_317) @[lib.scala 88:41] + node _T_381 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 88:74] + node _T_382 = bits(lsu_match_data_1, 9, 9) @[lib.scala 88:86] + node _T_383 = eq(_T_381, _T_382) @[lib.scala 88:78] + node _T_384 = mux(_T_380, UInt<1>("h01"), _T_383) @[lib.scala 88:23] + _T_314[9] <= _T_384 @[lib.scala 88:17] + node _T_385 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 88:28] + node _T_386 = andr(_T_385) @[lib.scala 88:36] + node _T_387 = and(_T_386, _T_317) @[lib.scala 88:41] + node _T_388 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 88:74] + node _T_389 = bits(lsu_match_data_1, 10, 10) @[lib.scala 88:86] + node _T_390 = eq(_T_388, _T_389) @[lib.scala 88:78] + node _T_391 = mux(_T_387, UInt<1>("h01"), _T_390) @[lib.scala 88:23] + _T_314[10] <= _T_391 @[lib.scala 88:17] + node _T_392 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 88:28] + node _T_393 = andr(_T_392) @[lib.scala 88:36] + node _T_394 = and(_T_393, _T_317) @[lib.scala 88:41] + node _T_395 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 88:74] + node _T_396 = bits(lsu_match_data_1, 11, 11) @[lib.scala 88:86] + node _T_397 = eq(_T_395, _T_396) @[lib.scala 88:78] + node _T_398 = mux(_T_394, UInt<1>("h01"), _T_397) @[lib.scala 88:23] + _T_314[11] <= _T_398 @[lib.scala 88:17] + node _T_399 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 88:28] + node _T_400 = andr(_T_399) @[lib.scala 88:36] + node _T_401 = and(_T_400, _T_317) @[lib.scala 88:41] + node _T_402 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 88:74] + node _T_403 = bits(lsu_match_data_1, 12, 12) @[lib.scala 88:86] + node _T_404 = eq(_T_402, _T_403) @[lib.scala 88:78] + node _T_405 = mux(_T_401, UInt<1>("h01"), _T_404) @[lib.scala 88:23] + _T_314[12] <= _T_405 @[lib.scala 88:17] + node _T_406 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 88:28] + node _T_407 = andr(_T_406) @[lib.scala 88:36] + node _T_408 = and(_T_407, _T_317) @[lib.scala 88:41] + node _T_409 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 88:74] + node _T_410 = bits(lsu_match_data_1, 13, 13) @[lib.scala 88:86] + node _T_411 = eq(_T_409, _T_410) @[lib.scala 88:78] + node _T_412 = mux(_T_408, UInt<1>("h01"), _T_411) @[lib.scala 88:23] + _T_314[13] <= _T_412 @[lib.scala 88:17] + node _T_413 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 88:28] + node _T_414 = andr(_T_413) @[lib.scala 88:36] + node _T_415 = and(_T_414, _T_317) @[lib.scala 88:41] + node _T_416 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 88:74] + node _T_417 = bits(lsu_match_data_1, 14, 14) @[lib.scala 88:86] + node _T_418 = eq(_T_416, _T_417) @[lib.scala 88:78] + node _T_419 = mux(_T_415, UInt<1>("h01"), _T_418) @[lib.scala 88:23] + _T_314[14] <= _T_419 @[lib.scala 88:17] + node _T_420 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 88:28] + node _T_421 = andr(_T_420) @[lib.scala 88:36] + node _T_422 = and(_T_421, _T_317) @[lib.scala 88:41] + node _T_423 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 88:74] + node _T_424 = bits(lsu_match_data_1, 15, 15) @[lib.scala 88:86] + node _T_425 = eq(_T_423, _T_424) @[lib.scala 88:78] + node _T_426 = mux(_T_422, UInt<1>("h01"), _T_425) @[lib.scala 88:23] + _T_314[15] <= _T_426 @[lib.scala 88:17] + node _T_427 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 88:28] + node _T_428 = andr(_T_427) @[lib.scala 88:36] + node _T_429 = and(_T_428, _T_317) @[lib.scala 88:41] + node _T_430 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 88:74] + node _T_431 = bits(lsu_match_data_1, 16, 16) @[lib.scala 88:86] + node _T_432 = eq(_T_430, _T_431) @[lib.scala 88:78] + node _T_433 = mux(_T_429, UInt<1>("h01"), _T_432) @[lib.scala 88:23] + _T_314[16] <= _T_433 @[lib.scala 88:17] + node _T_434 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 88:28] + node _T_435 = andr(_T_434) @[lib.scala 88:36] + node _T_436 = and(_T_435, _T_317) @[lib.scala 88:41] + node _T_437 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 88:74] + node _T_438 = bits(lsu_match_data_1, 17, 17) @[lib.scala 88:86] + node _T_439 = eq(_T_437, _T_438) @[lib.scala 88:78] + node _T_440 = mux(_T_436, UInt<1>("h01"), _T_439) @[lib.scala 88:23] + _T_314[17] <= _T_440 @[lib.scala 88:17] + node _T_441 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 88:28] + node _T_442 = andr(_T_441) @[lib.scala 88:36] + node _T_443 = and(_T_442, _T_317) @[lib.scala 88:41] + node _T_444 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 88:74] + node _T_445 = bits(lsu_match_data_1, 18, 18) @[lib.scala 88:86] + node _T_446 = eq(_T_444, _T_445) @[lib.scala 88:78] + node _T_447 = mux(_T_443, UInt<1>("h01"), _T_446) @[lib.scala 88:23] + _T_314[18] <= _T_447 @[lib.scala 88:17] + node _T_448 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 88:28] + node _T_449 = andr(_T_448) @[lib.scala 88:36] + node _T_450 = and(_T_449, _T_317) @[lib.scala 88:41] + node _T_451 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 88:74] + node _T_452 = bits(lsu_match_data_1, 19, 19) @[lib.scala 88:86] + node _T_453 = eq(_T_451, _T_452) @[lib.scala 88:78] + node _T_454 = mux(_T_450, UInt<1>("h01"), _T_453) @[lib.scala 88:23] + _T_314[19] <= _T_454 @[lib.scala 88:17] + node _T_455 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 88:28] + node _T_456 = andr(_T_455) @[lib.scala 88:36] + node _T_457 = and(_T_456, _T_317) @[lib.scala 88:41] + node _T_458 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 88:74] + node _T_459 = bits(lsu_match_data_1, 20, 20) @[lib.scala 88:86] + node _T_460 = eq(_T_458, _T_459) @[lib.scala 88:78] + node _T_461 = mux(_T_457, UInt<1>("h01"), _T_460) @[lib.scala 88:23] + _T_314[20] <= _T_461 @[lib.scala 88:17] + node _T_462 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 88:28] + node _T_463 = andr(_T_462) @[lib.scala 88:36] + node _T_464 = and(_T_463, _T_317) @[lib.scala 88:41] + node _T_465 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 88:74] + node _T_466 = bits(lsu_match_data_1, 21, 21) @[lib.scala 88:86] + node _T_467 = eq(_T_465, _T_466) @[lib.scala 88:78] + node _T_468 = mux(_T_464, UInt<1>("h01"), _T_467) @[lib.scala 88:23] + _T_314[21] <= _T_468 @[lib.scala 88:17] + node _T_469 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 88:28] + node _T_470 = andr(_T_469) @[lib.scala 88:36] + node _T_471 = and(_T_470, _T_317) @[lib.scala 88:41] + node _T_472 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 88:74] + node _T_473 = bits(lsu_match_data_1, 22, 22) @[lib.scala 88:86] + node _T_474 = eq(_T_472, _T_473) @[lib.scala 88:78] + node _T_475 = mux(_T_471, UInt<1>("h01"), _T_474) @[lib.scala 88:23] + _T_314[22] <= _T_475 @[lib.scala 88:17] + node _T_476 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 88:28] + node _T_477 = andr(_T_476) @[lib.scala 88:36] + node _T_478 = and(_T_477, _T_317) @[lib.scala 88:41] + node _T_479 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 88:74] + node _T_480 = bits(lsu_match_data_1, 23, 23) @[lib.scala 88:86] + node _T_481 = eq(_T_479, _T_480) @[lib.scala 88:78] + node _T_482 = mux(_T_478, UInt<1>("h01"), _T_481) @[lib.scala 88:23] + _T_314[23] <= _T_482 @[lib.scala 88:17] + node _T_483 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 88:28] + node _T_484 = andr(_T_483) @[lib.scala 88:36] + node _T_485 = and(_T_484, _T_317) @[lib.scala 88:41] + node _T_486 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 88:74] + node _T_487 = bits(lsu_match_data_1, 24, 24) @[lib.scala 88:86] + node _T_488 = eq(_T_486, _T_487) @[lib.scala 88:78] + node _T_489 = mux(_T_485, UInt<1>("h01"), _T_488) @[lib.scala 88:23] + _T_314[24] <= _T_489 @[lib.scala 88:17] + node _T_490 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 88:28] + node _T_491 = andr(_T_490) @[lib.scala 88:36] + node _T_492 = and(_T_491, _T_317) @[lib.scala 88:41] + node _T_493 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 88:74] + node _T_494 = bits(lsu_match_data_1, 25, 25) @[lib.scala 88:86] + node _T_495 = eq(_T_493, _T_494) @[lib.scala 88:78] + node _T_496 = mux(_T_492, UInt<1>("h01"), _T_495) @[lib.scala 88:23] + _T_314[25] <= _T_496 @[lib.scala 88:17] + node _T_497 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 88:28] + node _T_498 = andr(_T_497) @[lib.scala 88:36] + node _T_499 = and(_T_498, _T_317) @[lib.scala 88:41] + node _T_500 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 88:74] + node _T_501 = bits(lsu_match_data_1, 26, 26) @[lib.scala 88:86] + node _T_502 = eq(_T_500, _T_501) @[lib.scala 88:78] + node _T_503 = mux(_T_499, UInt<1>("h01"), _T_502) @[lib.scala 88:23] + _T_314[26] <= _T_503 @[lib.scala 88:17] + node _T_504 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 88:28] + node _T_505 = andr(_T_504) @[lib.scala 88:36] + node _T_506 = and(_T_505, _T_317) @[lib.scala 88:41] + node _T_507 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 88:74] + node _T_508 = bits(lsu_match_data_1, 27, 27) @[lib.scala 88:86] + node _T_509 = eq(_T_507, _T_508) @[lib.scala 88:78] + node _T_510 = mux(_T_506, UInt<1>("h01"), _T_509) @[lib.scala 88:23] + _T_314[27] <= _T_510 @[lib.scala 88:17] + node _T_511 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 88:28] + node _T_512 = andr(_T_511) @[lib.scala 88:36] + node _T_513 = and(_T_512, _T_317) @[lib.scala 88:41] + node _T_514 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 88:74] + node _T_515 = bits(lsu_match_data_1, 28, 28) @[lib.scala 88:86] + node _T_516 = eq(_T_514, _T_515) @[lib.scala 88:78] + node _T_517 = mux(_T_513, UInt<1>("h01"), _T_516) @[lib.scala 88:23] + _T_314[28] <= _T_517 @[lib.scala 88:17] + node _T_518 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 88:28] + node _T_519 = andr(_T_518) @[lib.scala 88:36] + node _T_520 = and(_T_519, _T_317) @[lib.scala 88:41] + node _T_521 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 88:74] + node _T_522 = bits(lsu_match_data_1, 29, 29) @[lib.scala 88:86] + node _T_523 = eq(_T_521, _T_522) @[lib.scala 88:78] + node _T_524 = mux(_T_520, UInt<1>("h01"), _T_523) @[lib.scala 88:23] + _T_314[29] <= _T_524 @[lib.scala 88:17] + node _T_525 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 88:28] + node _T_526 = andr(_T_525) @[lib.scala 88:36] + node _T_527 = and(_T_526, _T_317) @[lib.scala 88:41] + node _T_528 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 88:74] + node _T_529 = bits(lsu_match_data_1, 30, 30) @[lib.scala 88:86] + node _T_530 = eq(_T_528, _T_529) @[lib.scala 88:78] + node _T_531 = mux(_T_527, UInt<1>("h01"), _T_530) @[lib.scala 88:23] + _T_314[30] <= _T_531 @[lib.scala 88:17] + node _T_532 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 88:28] + node _T_533 = andr(_T_532) @[lib.scala 88:36] + node _T_534 = and(_T_533, _T_317) @[lib.scala 88:41] + node _T_535 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 88:74] + node _T_536 = bits(lsu_match_data_1, 31, 31) @[lib.scala 88:86] + node _T_537 = eq(_T_535, _T_536) @[lib.scala 88:78] + node _T_538 = mux(_T_534, UInt<1>("h01"), _T_537) @[lib.scala 88:23] + _T_314[31] <= _T_538 @[lib.scala 88:17] + node _T_539 = cat(_T_314[1], _T_314[0]) @[lib.scala 89:14] + node _T_540 = cat(_T_314[3], _T_314[2]) @[lib.scala 89:14] + node _T_541 = cat(_T_540, _T_539) @[lib.scala 89:14] + node _T_542 = cat(_T_314[5], _T_314[4]) @[lib.scala 89:14] + node _T_543 = cat(_T_314[7], _T_314[6]) @[lib.scala 89:14] + node _T_544 = cat(_T_543, _T_542) @[lib.scala 89:14] + node _T_545 = cat(_T_544, _T_541) @[lib.scala 89:14] + node _T_546 = cat(_T_314[9], _T_314[8]) @[lib.scala 89:14] + node _T_547 = cat(_T_314[11], _T_314[10]) @[lib.scala 89:14] + node _T_548 = cat(_T_547, _T_546) @[lib.scala 89:14] + node _T_549 = cat(_T_314[13], _T_314[12]) @[lib.scala 89:14] + node _T_550 = cat(_T_314[15], _T_314[14]) @[lib.scala 89:14] + node _T_551 = cat(_T_550, _T_549) @[lib.scala 89:14] + node _T_552 = cat(_T_551, _T_548) @[lib.scala 89:14] + node _T_553 = cat(_T_552, _T_545) @[lib.scala 89:14] + node _T_554 = cat(_T_314[17], _T_314[16]) @[lib.scala 89:14] + node _T_555 = cat(_T_314[19], _T_314[18]) @[lib.scala 89:14] + node _T_556 = cat(_T_555, _T_554) @[lib.scala 89:14] + node _T_557 = cat(_T_314[21], _T_314[20]) @[lib.scala 89:14] + node _T_558 = cat(_T_314[23], _T_314[22]) @[lib.scala 89:14] + node _T_559 = cat(_T_558, _T_557) @[lib.scala 89:14] + node _T_560 = cat(_T_559, _T_556) @[lib.scala 89:14] + node _T_561 = cat(_T_314[25], _T_314[24]) @[lib.scala 89:14] + node _T_562 = cat(_T_314[27], _T_314[26]) @[lib.scala 89:14] + node _T_563 = cat(_T_562, _T_561) @[lib.scala 89:14] + node _T_564 = cat(_T_314[29], _T_314[28]) @[lib.scala 89:14] + node _T_565 = cat(_T_314[31], _T_314[30]) @[lib.scala 89:14] + node _T_566 = cat(_T_565, _T_564) @[lib.scala 89:14] + node _T_567 = cat(_T_566, _T_563) @[lib.scala 89:14] + node _T_568 = cat(_T_567, _T_560) @[lib.scala 89:14] + node _T_569 = cat(_T_568, _T_553) @[lib.scala 89:14] + node _T_570 = and(_T_312, _T_569) @[lsu_trigger.scala 19:92] + node _T_571 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_572 = and(io.lsu_pkt_m.valid, _T_571) @[lsu_trigger.scala 18:69] + node _T_573 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_574 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_575 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_576 = and(_T_574, _T_575) @[lsu_trigger.scala 19:58] + node _T_577 = or(_T_573, _T_576) @[lsu_trigger.scala 18:152] + node _T_578 = and(_T_572, _T_577) @[lsu_trigger.scala 18:94] + node _T_579 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_580 : UInt<1>[32] @[lib.scala 84:24] + node _T_581 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 85:45] + node _T_582 = not(_T_581) @[lib.scala 85:39] + node _T_583 = and(_T_579, _T_582) @[lib.scala 85:37] + node _T_584 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 86:48] + node _T_585 = bits(lsu_match_data_2, 0, 0) @[lib.scala 86:60] + node _T_586 = eq(_T_584, _T_585) @[lib.scala 86:52] + node _T_587 = or(_T_583, _T_586) @[lib.scala 86:41] + _T_580[0] <= _T_587 @[lib.scala 86:18] + node _T_588 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 88:28] + node _T_589 = andr(_T_588) @[lib.scala 88:36] + node _T_590 = and(_T_589, _T_583) @[lib.scala 88:41] + node _T_591 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 88:74] + node _T_592 = bits(lsu_match_data_2, 1, 1) @[lib.scala 88:86] + node _T_593 = eq(_T_591, _T_592) @[lib.scala 88:78] + node _T_594 = mux(_T_590, UInt<1>("h01"), _T_593) @[lib.scala 88:23] + _T_580[1] <= _T_594 @[lib.scala 88:17] + node _T_595 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 88:28] + node _T_596 = andr(_T_595) @[lib.scala 88:36] + node _T_597 = and(_T_596, _T_583) @[lib.scala 88:41] + node _T_598 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 88:74] + node _T_599 = bits(lsu_match_data_2, 2, 2) @[lib.scala 88:86] + node _T_600 = eq(_T_598, _T_599) @[lib.scala 88:78] + node _T_601 = mux(_T_597, UInt<1>("h01"), _T_600) @[lib.scala 88:23] + _T_580[2] <= _T_601 @[lib.scala 88:17] + node _T_602 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 88:28] + node _T_603 = andr(_T_602) @[lib.scala 88:36] + node _T_604 = and(_T_603, _T_583) @[lib.scala 88:41] + node _T_605 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 88:74] + node _T_606 = bits(lsu_match_data_2, 3, 3) @[lib.scala 88:86] + node _T_607 = eq(_T_605, _T_606) @[lib.scala 88:78] + node _T_608 = mux(_T_604, UInt<1>("h01"), _T_607) @[lib.scala 88:23] + _T_580[3] <= _T_608 @[lib.scala 88:17] + node _T_609 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 88:28] + node _T_610 = andr(_T_609) @[lib.scala 88:36] + node _T_611 = and(_T_610, _T_583) @[lib.scala 88:41] + node _T_612 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 88:74] + node _T_613 = bits(lsu_match_data_2, 4, 4) @[lib.scala 88:86] + node _T_614 = eq(_T_612, _T_613) @[lib.scala 88:78] + node _T_615 = mux(_T_611, UInt<1>("h01"), _T_614) @[lib.scala 88:23] + _T_580[4] <= _T_615 @[lib.scala 88:17] + node _T_616 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 88:28] + node _T_617 = andr(_T_616) @[lib.scala 88:36] + node _T_618 = and(_T_617, _T_583) @[lib.scala 88:41] + node _T_619 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 88:74] + node _T_620 = bits(lsu_match_data_2, 5, 5) @[lib.scala 88:86] + node _T_621 = eq(_T_619, _T_620) @[lib.scala 88:78] + node _T_622 = mux(_T_618, UInt<1>("h01"), _T_621) @[lib.scala 88:23] + _T_580[5] <= _T_622 @[lib.scala 88:17] + node _T_623 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 88:28] + node _T_624 = andr(_T_623) @[lib.scala 88:36] + node _T_625 = and(_T_624, _T_583) @[lib.scala 88:41] + node _T_626 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 88:74] + node _T_627 = bits(lsu_match_data_2, 6, 6) @[lib.scala 88:86] + node _T_628 = eq(_T_626, _T_627) @[lib.scala 88:78] + node _T_629 = mux(_T_625, UInt<1>("h01"), _T_628) @[lib.scala 88:23] + _T_580[6] <= _T_629 @[lib.scala 88:17] + node _T_630 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 88:28] + node _T_631 = andr(_T_630) @[lib.scala 88:36] + node _T_632 = and(_T_631, _T_583) @[lib.scala 88:41] + node _T_633 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 88:74] + node _T_634 = bits(lsu_match_data_2, 7, 7) @[lib.scala 88:86] + node _T_635 = eq(_T_633, _T_634) @[lib.scala 88:78] + node _T_636 = mux(_T_632, UInt<1>("h01"), _T_635) @[lib.scala 88:23] + _T_580[7] <= _T_636 @[lib.scala 88:17] + node _T_637 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 88:28] + node _T_638 = andr(_T_637) @[lib.scala 88:36] + node _T_639 = and(_T_638, _T_583) @[lib.scala 88:41] + node _T_640 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 88:74] + node _T_641 = bits(lsu_match_data_2, 8, 8) @[lib.scala 88:86] + node _T_642 = eq(_T_640, _T_641) @[lib.scala 88:78] + node _T_643 = mux(_T_639, UInt<1>("h01"), _T_642) @[lib.scala 88:23] + _T_580[8] <= _T_643 @[lib.scala 88:17] + node _T_644 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 88:28] + node _T_645 = andr(_T_644) @[lib.scala 88:36] + node _T_646 = and(_T_645, _T_583) @[lib.scala 88:41] + node _T_647 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 88:74] + node _T_648 = bits(lsu_match_data_2, 9, 9) @[lib.scala 88:86] + node _T_649 = eq(_T_647, _T_648) @[lib.scala 88:78] + node _T_650 = mux(_T_646, UInt<1>("h01"), _T_649) @[lib.scala 88:23] + _T_580[9] <= _T_650 @[lib.scala 88:17] + node _T_651 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 88:28] + node _T_652 = andr(_T_651) @[lib.scala 88:36] + node _T_653 = and(_T_652, _T_583) @[lib.scala 88:41] + node _T_654 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 88:74] + node _T_655 = bits(lsu_match_data_2, 10, 10) @[lib.scala 88:86] + node _T_656 = eq(_T_654, _T_655) @[lib.scala 88:78] + node _T_657 = mux(_T_653, UInt<1>("h01"), _T_656) @[lib.scala 88:23] + _T_580[10] <= _T_657 @[lib.scala 88:17] + node _T_658 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 88:28] + node _T_659 = andr(_T_658) @[lib.scala 88:36] + node _T_660 = and(_T_659, _T_583) @[lib.scala 88:41] + node _T_661 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 88:74] + node _T_662 = bits(lsu_match_data_2, 11, 11) @[lib.scala 88:86] + node _T_663 = eq(_T_661, _T_662) @[lib.scala 88:78] + node _T_664 = mux(_T_660, UInt<1>("h01"), _T_663) @[lib.scala 88:23] + _T_580[11] <= _T_664 @[lib.scala 88:17] + node _T_665 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 88:28] + node _T_666 = andr(_T_665) @[lib.scala 88:36] + node _T_667 = and(_T_666, _T_583) @[lib.scala 88:41] + node _T_668 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 88:74] + node _T_669 = bits(lsu_match_data_2, 12, 12) @[lib.scala 88:86] + node _T_670 = eq(_T_668, _T_669) @[lib.scala 88:78] + node _T_671 = mux(_T_667, UInt<1>("h01"), _T_670) @[lib.scala 88:23] + _T_580[12] <= _T_671 @[lib.scala 88:17] + node _T_672 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 88:28] + node _T_673 = andr(_T_672) @[lib.scala 88:36] + node _T_674 = and(_T_673, _T_583) @[lib.scala 88:41] + node _T_675 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 88:74] + node _T_676 = bits(lsu_match_data_2, 13, 13) @[lib.scala 88:86] + node _T_677 = eq(_T_675, _T_676) @[lib.scala 88:78] + node _T_678 = mux(_T_674, UInt<1>("h01"), _T_677) @[lib.scala 88:23] + _T_580[13] <= _T_678 @[lib.scala 88:17] + node _T_679 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 88:28] + node _T_680 = andr(_T_679) @[lib.scala 88:36] + node _T_681 = and(_T_680, _T_583) @[lib.scala 88:41] + node _T_682 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 88:74] + node _T_683 = bits(lsu_match_data_2, 14, 14) @[lib.scala 88:86] + node _T_684 = eq(_T_682, _T_683) @[lib.scala 88:78] + node _T_685 = mux(_T_681, UInt<1>("h01"), _T_684) @[lib.scala 88:23] + _T_580[14] <= _T_685 @[lib.scala 88:17] + node _T_686 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 88:28] + node _T_687 = andr(_T_686) @[lib.scala 88:36] + node _T_688 = and(_T_687, _T_583) @[lib.scala 88:41] + node _T_689 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 88:74] + node _T_690 = bits(lsu_match_data_2, 15, 15) @[lib.scala 88:86] + node _T_691 = eq(_T_689, _T_690) @[lib.scala 88:78] + node _T_692 = mux(_T_688, UInt<1>("h01"), _T_691) @[lib.scala 88:23] + _T_580[15] <= _T_692 @[lib.scala 88:17] + node _T_693 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 88:28] + node _T_694 = andr(_T_693) @[lib.scala 88:36] + node _T_695 = and(_T_694, _T_583) @[lib.scala 88:41] + node _T_696 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 88:74] + node _T_697 = bits(lsu_match_data_2, 16, 16) @[lib.scala 88:86] + node _T_698 = eq(_T_696, _T_697) @[lib.scala 88:78] + node _T_699 = mux(_T_695, UInt<1>("h01"), _T_698) @[lib.scala 88:23] + _T_580[16] <= _T_699 @[lib.scala 88:17] + node _T_700 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 88:28] + node _T_701 = andr(_T_700) @[lib.scala 88:36] + node _T_702 = and(_T_701, _T_583) @[lib.scala 88:41] + node _T_703 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 88:74] + node _T_704 = bits(lsu_match_data_2, 17, 17) @[lib.scala 88:86] + node _T_705 = eq(_T_703, _T_704) @[lib.scala 88:78] + node _T_706 = mux(_T_702, UInt<1>("h01"), _T_705) @[lib.scala 88:23] + _T_580[17] <= _T_706 @[lib.scala 88:17] + node _T_707 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 88:28] + node _T_708 = andr(_T_707) @[lib.scala 88:36] + node _T_709 = and(_T_708, _T_583) @[lib.scala 88:41] + node _T_710 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 88:74] + node _T_711 = bits(lsu_match_data_2, 18, 18) @[lib.scala 88:86] + node _T_712 = eq(_T_710, _T_711) @[lib.scala 88:78] + node _T_713 = mux(_T_709, UInt<1>("h01"), _T_712) @[lib.scala 88:23] + _T_580[18] <= _T_713 @[lib.scala 88:17] + node _T_714 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 88:28] + node _T_715 = andr(_T_714) @[lib.scala 88:36] + node _T_716 = and(_T_715, _T_583) @[lib.scala 88:41] + node _T_717 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 88:74] + node _T_718 = bits(lsu_match_data_2, 19, 19) @[lib.scala 88:86] + node _T_719 = eq(_T_717, _T_718) @[lib.scala 88:78] + node _T_720 = mux(_T_716, UInt<1>("h01"), _T_719) @[lib.scala 88:23] + _T_580[19] <= _T_720 @[lib.scala 88:17] + node _T_721 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 88:28] + node _T_722 = andr(_T_721) @[lib.scala 88:36] + node _T_723 = and(_T_722, _T_583) @[lib.scala 88:41] + node _T_724 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 88:74] + node _T_725 = bits(lsu_match_data_2, 20, 20) @[lib.scala 88:86] + node _T_726 = eq(_T_724, _T_725) @[lib.scala 88:78] + node _T_727 = mux(_T_723, UInt<1>("h01"), _T_726) @[lib.scala 88:23] + _T_580[20] <= _T_727 @[lib.scala 88:17] + node _T_728 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 88:28] + node _T_729 = andr(_T_728) @[lib.scala 88:36] + node _T_730 = and(_T_729, _T_583) @[lib.scala 88:41] + node _T_731 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 88:74] + node _T_732 = bits(lsu_match_data_2, 21, 21) @[lib.scala 88:86] + node _T_733 = eq(_T_731, _T_732) @[lib.scala 88:78] + node _T_734 = mux(_T_730, UInt<1>("h01"), _T_733) @[lib.scala 88:23] + _T_580[21] <= _T_734 @[lib.scala 88:17] + node _T_735 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 88:28] + node _T_736 = andr(_T_735) @[lib.scala 88:36] + node _T_737 = and(_T_736, _T_583) @[lib.scala 88:41] + node _T_738 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 88:74] + node _T_739 = bits(lsu_match_data_2, 22, 22) @[lib.scala 88:86] + node _T_740 = eq(_T_738, _T_739) @[lib.scala 88:78] + node _T_741 = mux(_T_737, UInt<1>("h01"), _T_740) @[lib.scala 88:23] + _T_580[22] <= _T_741 @[lib.scala 88:17] + node _T_742 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 88:28] + node _T_743 = andr(_T_742) @[lib.scala 88:36] + node _T_744 = and(_T_743, _T_583) @[lib.scala 88:41] + node _T_745 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 88:74] + node _T_746 = bits(lsu_match_data_2, 23, 23) @[lib.scala 88:86] + node _T_747 = eq(_T_745, _T_746) @[lib.scala 88:78] + node _T_748 = mux(_T_744, UInt<1>("h01"), _T_747) @[lib.scala 88:23] + _T_580[23] <= _T_748 @[lib.scala 88:17] + node _T_749 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 88:28] + node _T_750 = andr(_T_749) @[lib.scala 88:36] + node _T_751 = and(_T_750, _T_583) @[lib.scala 88:41] + node _T_752 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 88:74] + node _T_753 = bits(lsu_match_data_2, 24, 24) @[lib.scala 88:86] + node _T_754 = eq(_T_752, _T_753) @[lib.scala 88:78] + node _T_755 = mux(_T_751, UInt<1>("h01"), _T_754) @[lib.scala 88:23] + _T_580[24] <= _T_755 @[lib.scala 88:17] + node _T_756 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 88:28] + node _T_757 = andr(_T_756) @[lib.scala 88:36] + node _T_758 = and(_T_757, _T_583) @[lib.scala 88:41] + node _T_759 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 88:74] + node _T_760 = bits(lsu_match_data_2, 25, 25) @[lib.scala 88:86] + node _T_761 = eq(_T_759, _T_760) @[lib.scala 88:78] + node _T_762 = mux(_T_758, UInt<1>("h01"), _T_761) @[lib.scala 88:23] + _T_580[25] <= _T_762 @[lib.scala 88:17] + node _T_763 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 88:28] + node _T_764 = andr(_T_763) @[lib.scala 88:36] + node _T_765 = and(_T_764, _T_583) @[lib.scala 88:41] + node _T_766 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 88:74] + node _T_767 = bits(lsu_match_data_2, 26, 26) @[lib.scala 88:86] + node _T_768 = eq(_T_766, _T_767) @[lib.scala 88:78] + node _T_769 = mux(_T_765, UInt<1>("h01"), _T_768) @[lib.scala 88:23] + _T_580[26] <= _T_769 @[lib.scala 88:17] + node _T_770 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 88:28] + node _T_771 = andr(_T_770) @[lib.scala 88:36] + node _T_772 = and(_T_771, _T_583) @[lib.scala 88:41] + node _T_773 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 88:74] + node _T_774 = bits(lsu_match_data_2, 27, 27) @[lib.scala 88:86] + node _T_775 = eq(_T_773, _T_774) @[lib.scala 88:78] + node _T_776 = mux(_T_772, UInt<1>("h01"), _T_775) @[lib.scala 88:23] + _T_580[27] <= _T_776 @[lib.scala 88:17] + node _T_777 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 88:28] + node _T_778 = andr(_T_777) @[lib.scala 88:36] + node _T_779 = and(_T_778, _T_583) @[lib.scala 88:41] + node _T_780 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 88:74] + node _T_781 = bits(lsu_match_data_2, 28, 28) @[lib.scala 88:86] + node _T_782 = eq(_T_780, _T_781) @[lib.scala 88:78] + node _T_783 = mux(_T_779, UInt<1>("h01"), _T_782) @[lib.scala 88:23] + _T_580[28] <= _T_783 @[lib.scala 88:17] + node _T_784 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 88:28] + node _T_785 = andr(_T_784) @[lib.scala 88:36] + node _T_786 = and(_T_785, _T_583) @[lib.scala 88:41] + node _T_787 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 88:74] + node _T_788 = bits(lsu_match_data_2, 29, 29) @[lib.scala 88:86] + node _T_789 = eq(_T_787, _T_788) @[lib.scala 88:78] + node _T_790 = mux(_T_786, UInt<1>("h01"), _T_789) @[lib.scala 88:23] + _T_580[29] <= _T_790 @[lib.scala 88:17] + node _T_791 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 88:28] + node _T_792 = andr(_T_791) @[lib.scala 88:36] + node _T_793 = and(_T_792, _T_583) @[lib.scala 88:41] + node _T_794 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 88:74] + node _T_795 = bits(lsu_match_data_2, 30, 30) @[lib.scala 88:86] + node _T_796 = eq(_T_794, _T_795) @[lib.scala 88:78] + node _T_797 = mux(_T_793, UInt<1>("h01"), _T_796) @[lib.scala 88:23] + _T_580[30] <= _T_797 @[lib.scala 88:17] + node _T_798 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 88:28] + node _T_799 = andr(_T_798) @[lib.scala 88:36] + node _T_800 = and(_T_799, _T_583) @[lib.scala 88:41] + node _T_801 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 88:74] + node _T_802 = bits(lsu_match_data_2, 31, 31) @[lib.scala 88:86] + node _T_803 = eq(_T_801, _T_802) @[lib.scala 88:78] + node _T_804 = mux(_T_800, UInt<1>("h01"), _T_803) @[lib.scala 88:23] + _T_580[31] <= _T_804 @[lib.scala 88:17] + node _T_805 = cat(_T_580[1], _T_580[0]) @[lib.scala 89:14] + node _T_806 = cat(_T_580[3], _T_580[2]) @[lib.scala 89:14] + node _T_807 = cat(_T_806, _T_805) @[lib.scala 89:14] + node _T_808 = cat(_T_580[5], _T_580[4]) @[lib.scala 89:14] + node _T_809 = cat(_T_580[7], _T_580[6]) @[lib.scala 89:14] + node _T_810 = cat(_T_809, _T_808) @[lib.scala 89:14] + node _T_811 = cat(_T_810, _T_807) @[lib.scala 89:14] + node _T_812 = cat(_T_580[9], _T_580[8]) @[lib.scala 89:14] + node _T_813 = cat(_T_580[11], _T_580[10]) @[lib.scala 89:14] + node _T_814 = cat(_T_813, _T_812) @[lib.scala 89:14] + node _T_815 = cat(_T_580[13], _T_580[12]) @[lib.scala 89:14] + node _T_816 = cat(_T_580[15], _T_580[14]) @[lib.scala 89:14] + node _T_817 = cat(_T_816, _T_815) @[lib.scala 89:14] + node _T_818 = cat(_T_817, _T_814) @[lib.scala 89:14] + node _T_819 = cat(_T_818, _T_811) @[lib.scala 89:14] + node _T_820 = cat(_T_580[17], _T_580[16]) @[lib.scala 89:14] + node _T_821 = cat(_T_580[19], _T_580[18]) @[lib.scala 89:14] + node _T_822 = cat(_T_821, _T_820) @[lib.scala 89:14] + node _T_823 = cat(_T_580[21], _T_580[20]) @[lib.scala 89:14] + node _T_824 = cat(_T_580[23], _T_580[22]) @[lib.scala 89:14] + node _T_825 = cat(_T_824, _T_823) @[lib.scala 89:14] + node _T_826 = cat(_T_825, _T_822) @[lib.scala 89:14] + node _T_827 = cat(_T_580[25], _T_580[24]) @[lib.scala 89:14] + node _T_828 = cat(_T_580[27], _T_580[26]) @[lib.scala 89:14] + node _T_829 = cat(_T_828, _T_827) @[lib.scala 89:14] + node _T_830 = cat(_T_580[29], _T_580[28]) @[lib.scala 89:14] + node _T_831 = cat(_T_580[31], _T_580[30]) @[lib.scala 89:14] + node _T_832 = cat(_T_831, _T_830) @[lib.scala 89:14] + node _T_833 = cat(_T_832, _T_829) @[lib.scala 89:14] + node _T_834 = cat(_T_833, _T_826) @[lib.scala 89:14] + node _T_835 = cat(_T_834, _T_819) @[lib.scala 89:14] + node _T_836 = and(_T_578, _T_835) @[lsu_trigger.scala 19:92] + node _T_837 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_838 = and(io.lsu_pkt_m.valid, _T_837) @[lsu_trigger.scala 18:69] + node _T_839 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_840 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_841 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_842 = and(_T_840, _T_841) @[lsu_trigger.scala 19:58] + node _T_843 = or(_T_839, _T_842) @[lsu_trigger.scala 18:152] + node _T_844 = and(_T_838, _T_843) @[lsu_trigger.scala 18:94] + node _T_845 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_846 : UInt<1>[32] @[lib.scala 84:24] + node _T_847 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 85:45] + node _T_848 = not(_T_847) @[lib.scala 85:39] + node _T_849 = and(_T_845, _T_848) @[lib.scala 85:37] + node _T_850 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 86:48] + node _T_851 = bits(lsu_match_data_3, 0, 0) @[lib.scala 86:60] + node _T_852 = eq(_T_850, _T_851) @[lib.scala 86:52] + node _T_853 = or(_T_849, _T_852) @[lib.scala 86:41] + _T_846[0] <= _T_853 @[lib.scala 86:18] + node _T_854 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 88:28] + node _T_855 = andr(_T_854) @[lib.scala 88:36] + node _T_856 = and(_T_855, _T_849) @[lib.scala 88:41] + node _T_857 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 88:74] + node _T_858 = bits(lsu_match_data_3, 1, 1) @[lib.scala 88:86] + node _T_859 = eq(_T_857, _T_858) @[lib.scala 88:78] + node _T_860 = mux(_T_856, UInt<1>("h01"), _T_859) @[lib.scala 88:23] + _T_846[1] <= _T_860 @[lib.scala 88:17] + node _T_861 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 88:28] + node _T_862 = andr(_T_861) @[lib.scala 88:36] + node _T_863 = and(_T_862, _T_849) @[lib.scala 88:41] + node _T_864 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 88:74] + node _T_865 = bits(lsu_match_data_3, 2, 2) @[lib.scala 88:86] + node _T_866 = eq(_T_864, _T_865) @[lib.scala 88:78] + node _T_867 = mux(_T_863, UInt<1>("h01"), _T_866) @[lib.scala 88:23] + _T_846[2] <= _T_867 @[lib.scala 88:17] + node _T_868 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 88:28] + node _T_869 = andr(_T_868) @[lib.scala 88:36] + node _T_870 = and(_T_869, _T_849) @[lib.scala 88:41] + node _T_871 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 88:74] + node _T_872 = bits(lsu_match_data_3, 3, 3) @[lib.scala 88:86] + node _T_873 = eq(_T_871, _T_872) @[lib.scala 88:78] + node _T_874 = mux(_T_870, UInt<1>("h01"), _T_873) @[lib.scala 88:23] + _T_846[3] <= _T_874 @[lib.scala 88:17] + node _T_875 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 88:28] + node _T_876 = andr(_T_875) @[lib.scala 88:36] + node _T_877 = and(_T_876, _T_849) @[lib.scala 88:41] + node _T_878 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 88:74] + node _T_879 = bits(lsu_match_data_3, 4, 4) @[lib.scala 88:86] + node _T_880 = eq(_T_878, _T_879) @[lib.scala 88:78] + node _T_881 = mux(_T_877, UInt<1>("h01"), _T_880) @[lib.scala 88:23] + _T_846[4] <= _T_881 @[lib.scala 88:17] + node _T_882 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 88:28] + node _T_883 = andr(_T_882) @[lib.scala 88:36] + node _T_884 = and(_T_883, _T_849) @[lib.scala 88:41] + node _T_885 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 88:74] + node _T_886 = bits(lsu_match_data_3, 5, 5) @[lib.scala 88:86] + node _T_887 = eq(_T_885, _T_886) @[lib.scala 88:78] + node _T_888 = mux(_T_884, UInt<1>("h01"), _T_887) @[lib.scala 88:23] + _T_846[5] <= _T_888 @[lib.scala 88:17] + node _T_889 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 88:28] + node _T_890 = andr(_T_889) @[lib.scala 88:36] + node _T_891 = and(_T_890, _T_849) @[lib.scala 88:41] + node _T_892 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 88:74] + node _T_893 = bits(lsu_match_data_3, 6, 6) @[lib.scala 88:86] + node _T_894 = eq(_T_892, _T_893) @[lib.scala 88:78] + node _T_895 = mux(_T_891, UInt<1>("h01"), _T_894) @[lib.scala 88:23] + _T_846[6] <= _T_895 @[lib.scala 88:17] + node _T_896 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 88:28] + node _T_897 = andr(_T_896) @[lib.scala 88:36] + node _T_898 = and(_T_897, _T_849) @[lib.scala 88:41] + node _T_899 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 88:74] + node _T_900 = bits(lsu_match_data_3, 7, 7) @[lib.scala 88:86] + node _T_901 = eq(_T_899, _T_900) @[lib.scala 88:78] + node _T_902 = mux(_T_898, UInt<1>("h01"), _T_901) @[lib.scala 88:23] + _T_846[7] <= _T_902 @[lib.scala 88:17] + node _T_903 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 88:28] + node _T_904 = andr(_T_903) @[lib.scala 88:36] + node _T_905 = and(_T_904, _T_849) @[lib.scala 88:41] + node _T_906 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 88:74] + node _T_907 = bits(lsu_match_data_3, 8, 8) @[lib.scala 88:86] + node _T_908 = eq(_T_906, _T_907) @[lib.scala 88:78] + node _T_909 = mux(_T_905, UInt<1>("h01"), _T_908) @[lib.scala 88:23] + _T_846[8] <= _T_909 @[lib.scala 88:17] + node _T_910 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 88:28] + node _T_911 = andr(_T_910) @[lib.scala 88:36] + node _T_912 = and(_T_911, _T_849) @[lib.scala 88:41] + node _T_913 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 88:74] + node _T_914 = bits(lsu_match_data_3, 9, 9) @[lib.scala 88:86] + node _T_915 = eq(_T_913, _T_914) @[lib.scala 88:78] + node _T_916 = mux(_T_912, UInt<1>("h01"), _T_915) @[lib.scala 88:23] + _T_846[9] <= _T_916 @[lib.scala 88:17] + node _T_917 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 88:28] + node _T_918 = andr(_T_917) @[lib.scala 88:36] + node _T_919 = and(_T_918, _T_849) @[lib.scala 88:41] + node _T_920 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 88:74] + node _T_921 = bits(lsu_match_data_3, 10, 10) @[lib.scala 88:86] + node _T_922 = eq(_T_920, _T_921) @[lib.scala 88:78] + node _T_923 = mux(_T_919, UInt<1>("h01"), _T_922) @[lib.scala 88:23] + _T_846[10] <= _T_923 @[lib.scala 88:17] + node _T_924 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 88:28] + node _T_925 = andr(_T_924) @[lib.scala 88:36] + node _T_926 = and(_T_925, _T_849) @[lib.scala 88:41] + node _T_927 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 88:74] + node _T_928 = bits(lsu_match_data_3, 11, 11) @[lib.scala 88:86] + node _T_929 = eq(_T_927, _T_928) @[lib.scala 88:78] + node _T_930 = mux(_T_926, UInt<1>("h01"), _T_929) @[lib.scala 88:23] + _T_846[11] <= _T_930 @[lib.scala 88:17] + node _T_931 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 88:28] + node _T_932 = andr(_T_931) @[lib.scala 88:36] + node _T_933 = and(_T_932, _T_849) @[lib.scala 88:41] + node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 88:74] + node _T_935 = bits(lsu_match_data_3, 12, 12) @[lib.scala 88:86] + node _T_936 = eq(_T_934, _T_935) @[lib.scala 88:78] + node _T_937 = mux(_T_933, UInt<1>("h01"), _T_936) @[lib.scala 88:23] + _T_846[12] <= _T_937 @[lib.scala 88:17] + node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 88:28] + node _T_939 = andr(_T_938) @[lib.scala 88:36] + node _T_940 = and(_T_939, _T_849) @[lib.scala 88:41] + node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 88:74] + node _T_942 = bits(lsu_match_data_3, 13, 13) @[lib.scala 88:86] + node _T_943 = eq(_T_941, _T_942) @[lib.scala 88:78] + node _T_944 = mux(_T_940, UInt<1>("h01"), _T_943) @[lib.scala 88:23] + _T_846[13] <= _T_944 @[lib.scala 88:17] + node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 88:28] + node _T_946 = andr(_T_945) @[lib.scala 88:36] + node _T_947 = and(_T_946, _T_849) @[lib.scala 88:41] + node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 88:74] + node _T_949 = bits(lsu_match_data_3, 14, 14) @[lib.scala 88:86] + node _T_950 = eq(_T_948, _T_949) @[lib.scala 88:78] + node _T_951 = mux(_T_947, UInt<1>("h01"), _T_950) @[lib.scala 88:23] + _T_846[14] <= _T_951 @[lib.scala 88:17] + node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 88:28] + node _T_953 = andr(_T_952) @[lib.scala 88:36] + node _T_954 = and(_T_953, _T_849) @[lib.scala 88:41] + node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 88:74] + node _T_956 = bits(lsu_match_data_3, 15, 15) @[lib.scala 88:86] + node _T_957 = eq(_T_955, _T_956) @[lib.scala 88:78] + node _T_958 = mux(_T_954, UInt<1>("h01"), _T_957) @[lib.scala 88:23] + _T_846[15] <= _T_958 @[lib.scala 88:17] + node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 88:28] + node _T_960 = andr(_T_959) @[lib.scala 88:36] + node _T_961 = and(_T_960, _T_849) @[lib.scala 88:41] + node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 88:74] + node _T_963 = bits(lsu_match_data_3, 16, 16) @[lib.scala 88:86] + node _T_964 = eq(_T_962, _T_963) @[lib.scala 88:78] + node _T_965 = mux(_T_961, UInt<1>("h01"), _T_964) @[lib.scala 88:23] + _T_846[16] <= _T_965 @[lib.scala 88:17] + node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 88:28] + node _T_967 = andr(_T_966) @[lib.scala 88:36] + node _T_968 = and(_T_967, _T_849) @[lib.scala 88:41] + node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 88:74] + node _T_970 = bits(lsu_match_data_3, 17, 17) @[lib.scala 88:86] + node _T_971 = eq(_T_969, _T_970) @[lib.scala 88:78] + node _T_972 = mux(_T_968, UInt<1>("h01"), _T_971) @[lib.scala 88:23] + _T_846[17] <= _T_972 @[lib.scala 88:17] + node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 88:28] + node _T_974 = andr(_T_973) @[lib.scala 88:36] + node _T_975 = and(_T_974, _T_849) @[lib.scala 88:41] + node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 88:74] + node _T_977 = bits(lsu_match_data_3, 18, 18) @[lib.scala 88:86] + node _T_978 = eq(_T_976, _T_977) @[lib.scala 88:78] + node _T_979 = mux(_T_975, UInt<1>("h01"), _T_978) @[lib.scala 88:23] + _T_846[18] <= _T_979 @[lib.scala 88:17] + node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 88:28] + node _T_981 = andr(_T_980) @[lib.scala 88:36] + node _T_982 = and(_T_981, _T_849) @[lib.scala 88:41] + node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 88:74] + node _T_984 = bits(lsu_match_data_3, 19, 19) @[lib.scala 88:86] + node _T_985 = eq(_T_983, _T_984) @[lib.scala 88:78] + node _T_986 = mux(_T_982, UInt<1>("h01"), _T_985) @[lib.scala 88:23] + _T_846[19] <= _T_986 @[lib.scala 88:17] + node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 88:28] + node _T_988 = andr(_T_987) @[lib.scala 88:36] + node _T_989 = and(_T_988, _T_849) @[lib.scala 88:41] + node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 88:74] + node _T_991 = bits(lsu_match_data_3, 20, 20) @[lib.scala 88:86] + node _T_992 = eq(_T_990, _T_991) @[lib.scala 88:78] + node _T_993 = mux(_T_989, UInt<1>("h01"), _T_992) @[lib.scala 88:23] + _T_846[20] <= _T_993 @[lib.scala 88:17] + node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 88:28] + node _T_995 = andr(_T_994) @[lib.scala 88:36] + node _T_996 = and(_T_995, _T_849) @[lib.scala 88:41] + node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 88:74] + node _T_998 = bits(lsu_match_data_3, 21, 21) @[lib.scala 88:86] + node _T_999 = eq(_T_997, _T_998) @[lib.scala 88:78] + node _T_1000 = mux(_T_996, UInt<1>("h01"), _T_999) @[lib.scala 88:23] + _T_846[21] <= _T_1000 @[lib.scala 88:17] + node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 88:28] + node _T_1002 = andr(_T_1001) @[lib.scala 88:36] + node _T_1003 = and(_T_1002, _T_849) @[lib.scala 88:41] + node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 88:74] + node _T_1005 = bits(lsu_match_data_3, 22, 22) @[lib.scala 88:86] + node _T_1006 = eq(_T_1004, _T_1005) @[lib.scala 88:78] + node _T_1007 = mux(_T_1003, UInt<1>("h01"), _T_1006) @[lib.scala 88:23] + _T_846[22] <= _T_1007 @[lib.scala 88:17] + node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 88:28] + node _T_1009 = andr(_T_1008) @[lib.scala 88:36] + node _T_1010 = and(_T_1009, _T_849) @[lib.scala 88:41] + node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 88:74] + node _T_1012 = bits(lsu_match_data_3, 23, 23) @[lib.scala 88:86] + node _T_1013 = eq(_T_1011, _T_1012) @[lib.scala 88:78] + node _T_1014 = mux(_T_1010, UInt<1>("h01"), _T_1013) @[lib.scala 88:23] + _T_846[23] <= _T_1014 @[lib.scala 88:17] + node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 88:28] + node _T_1016 = andr(_T_1015) @[lib.scala 88:36] + node _T_1017 = and(_T_1016, _T_849) @[lib.scala 88:41] + node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 88:74] + node _T_1019 = bits(lsu_match_data_3, 24, 24) @[lib.scala 88:86] + node _T_1020 = eq(_T_1018, _T_1019) @[lib.scala 88:78] + node _T_1021 = mux(_T_1017, UInt<1>("h01"), _T_1020) @[lib.scala 88:23] + _T_846[24] <= _T_1021 @[lib.scala 88:17] + node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 88:28] + node _T_1023 = andr(_T_1022) @[lib.scala 88:36] + node _T_1024 = and(_T_1023, _T_849) @[lib.scala 88:41] + node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 88:74] + node _T_1026 = bits(lsu_match_data_3, 25, 25) @[lib.scala 88:86] + node _T_1027 = eq(_T_1025, _T_1026) @[lib.scala 88:78] + node _T_1028 = mux(_T_1024, UInt<1>("h01"), _T_1027) @[lib.scala 88:23] + _T_846[25] <= _T_1028 @[lib.scala 88:17] + node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 88:28] + node _T_1030 = andr(_T_1029) @[lib.scala 88:36] + node _T_1031 = and(_T_1030, _T_849) @[lib.scala 88:41] + node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 88:74] + node _T_1033 = bits(lsu_match_data_3, 26, 26) @[lib.scala 88:86] + node _T_1034 = eq(_T_1032, _T_1033) @[lib.scala 88:78] + node _T_1035 = mux(_T_1031, UInt<1>("h01"), _T_1034) @[lib.scala 88:23] + _T_846[26] <= _T_1035 @[lib.scala 88:17] + node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 88:28] + node _T_1037 = andr(_T_1036) @[lib.scala 88:36] + node _T_1038 = and(_T_1037, _T_849) @[lib.scala 88:41] + node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 88:74] + node _T_1040 = bits(lsu_match_data_3, 27, 27) @[lib.scala 88:86] + node _T_1041 = eq(_T_1039, _T_1040) @[lib.scala 88:78] + node _T_1042 = mux(_T_1038, UInt<1>("h01"), _T_1041) @[lib.scala 88:23] + _T_846[27] <= _T_1042 @[lib.scala 88:17] + node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 88:28] + node _T_1044 = andr(_T_1043) @[lib.scala 88:36] + node _T_1045 = and(_T_1044, _T_849) @[lib.scala 88:41] + node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 88:74] + node _T_1047 = bits(lsu_match_data_3, 28, 28) @[lib.scala 88:86] + node _T_1048 = eq(_T_1046, _T_1047) @[lib.scala 88:78] + node _T_1049 = mux(_T_1045, UInt<1>("h01"), _T_1048) @[lib.scala 88:23] + _T_846[28] <= _T_1049 @[lib.scala 88:17] + node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 88:28] + node _T_1051 = andr(_T_1050) @[lib.scala 88:36] + node _T_1052 = and(_T_1051, _T_849) @[lib.scala 88:41] + node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 88:74] + node _T_1054 = bits(lsu_match_data_3, 29, 29) @[lib.scala 88:86] + node _T_1055 = eq(_T_1053, _T_1054) @[lib.scala 88:78] + node _T_1056 = mux(_T_1052, UInt<1>("h01"), _T_1055) @[lib.scala 88:23] + _T_846[29] <= _T_1056 @[lib.scala 88:17] + node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 88:28] + node _T_1058 = andr(_T_1057) @[lib.scala 88:36] + node _T_1059 = and(_T_1058, _T_849) @[lib.scala 88:41] + node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 88:74] + node _T_1061 = bits(lsu_match_data_3, 30, 30) @[lib.scala 88:86] + node _T_1062 = eq(_T_1060, _T_1061) @[lib.scala 88:78] + node _T_1063 = mux(_T_1059, UInt<1>("h01"), _T_1062) @[lib.scala 88:23] + _T_846[30] <= _T_1063 @[lib.scala 88:17] + node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 88:28] + node _T_1065 = andr(_T_1064) @[lib.scala 88:36] + node _T_1066 = and(_T_1065, _T_849) @[lib.scala 88:41] + node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 88:74] + node _T_1068 = bits(lsu_match_data_3, 31, 31) @[lib.scala 88:86] + node _T_1069 = eq(_T_1067, _T_1068) @[lib.scala 88:78] + node _T_1070 = mux(_T_1066, UInt<1>("h01"), _T_1069) @[lib.scala 88:23] + _T_846[31] <= _T_1070 @[lib.scala 88:17] + node _T_1071 = cat(_T_846[1], _T_846[0]) @[lib.scala 89:14] + node _T_1072 = cat(_T_846[3], _T_846[2]) @[lib.scala 89:14] + node _T_1073 = cat(_T_1072, _T_1071) @[lib.scala 89:14] + node _T_1074 = cat(_T_846[5], _T_846[4]) @[lib.scala 89:14] + node _T_1075 = cat(_T_846[7], _T_846[6]) @[lib.scala 89:14] node _T_1076 = cat(_T_1075, _T_1074) @[lib.scala 89:14] - node _T_1077 = cat(_T_849[5], _T_849[4]) @[lib.scala 89:14] - node _T_1078 = cat(_T_849[7], _T_849[6]) @[lib.scala 89:14] - node _T_1079 = cat(_T_1078, _T_1077) @[lib.scala 89:14] - node _T_1080 = cat(_T_1079, _T_1076) @[lib.scala 89:14] - node _T_1081 = cat(_T_849[9], _T_849[8]) @[lib.scala 89:14] - node _T_1082 = cat(_T_849[11], _T_849[10]) @[lib.scala 89:14] + node _T_1077 = cat(_T_1076, _T_1073) @[lib.scala 89:14] + node _T_1078 = cat(_T_846[9], _T_846[8]) @[lib.scala 89:14] + node _T_1079 = cat(_T_846[11], _T_846[10]) @[lib.scala 89:14] + node _T_1080 = cat(_T_1079, _T_1078) @[lib.scala 89:14] + node _T_1081 = cat(_T_846[13], _T_846[12]) @[lib.scala 89:14] + node _T_1082 = cat(_T_846[15], _T_846[14]) @[lib.scala 89:14] node _T_1083 = cat(_T_1082, _T_1081) @[lib.scala 89:14] - node _T_1084 = cat(_T_849[13], _T_849[12]) @[lib.scala 89:14] - node _T_1085 = cat(_T_849[15], _T_849[14]) @[lib.scala 89:14] - node _T_1086 = cat(_T_1085, _T_1084) @[lib.scala 89:14] - node _T_1087 = cat(_T_1086, _T_1083) @[lib.scala 89:14] - node _T_1088 = cat(_T_1087, _T_1080) @[lib.scala 89:14] - node _T_1089 = cat(_T_849[17], _T_849[16]) @[lib.scala 89:14] - node _T_1090 = cat(_T_849[19], _T_849[18]) @[lib.scala 89:14] + node _T_1084 = cat(_T_1083, _T_1080) @[lib.scala 89:14] + node _T_1085 = cat(_T_1084, _T_1077) @[lib.scala 89:14] + node _T_1086 = cat(_T_846[17], _T_846[16]) @[lib.scala 89:14] + node _T_1087 = cat(_T_846[19], _T_846[18]) @[lib.scala 89:14] + node _T_1088 = cat(_T_1087, _T_1086) @[lib.scala 89:14] + node _T_1089 = cat(_T_846[21], _T_846[20]) @[lib.scala 89:14] + node _T_1090 = cat(_T_846[23], _T_846[22]) @[lib.scala 89:14] node _T_1091 = cat(_T_1090, _T_1089) @[lib.scala 89:14] - node _T_1092 = cat(_T_849[21], _T_849[20]) @[lib.scala 89:14] - node _T_1093 = cat(_T_849[23], _T_849[22]) @[lib.scala 89:14] - node _T_1094 = cat(_T_1093, _T_1092) @[lib.scala 89:14] - node _T_1095 = cat(_T_1094, _T_1091) @[lib.scala 89:14] - node _T_1096 = cat(_T_849[25], _T_849[24]) @[lib.scala 89:14] - node _T_1097 = cat(_T_849[27], _T_849[26]) @[lib.scala 89:14] + node _T_1092 = cat(_T_1091, _T_1088) @[lib.scala 89:14] + node _T_1093 = cat(_T_846[25], _T_846[24]) @[lib.scala 89:14] + node _T_1094 = cat(_T_846[27], _T_846[26]) @[lib.scala 89:14] + node _T_1095 = cat(_T_1094, _T_1093) @[lib.scala 89:14] + node _T_1096 = cat(_T_846[29], _T_846[28]) @[lib.scala 89:14] + node _T_1097 = cat(_T_846[31], _T_846[30]) @[lib.scala 89:14] node _T_1098 = cat(_T_1097, _T_1096) @[lib.scala 89:14] - node _T_1099 = cat(_T_849[29], _T_849[28]) @[lib.scala 89:14] - node _T_1100 = cat(_T_849[31], _T_849[30]) @[lib.scala 89:14] - node _T_1101 = cat(_T_1100, _T_1099) @[lib.scala 89:14] - node _T_1102 = cat(_T_1101, _T_1098) @[lib.scala 89:14] - node _T_1103 = cat(_T_1102, _T_1095) @[lib.scala 89:14] - node _T_1104 = cat(_T_1103, _T_1088) @[lib.scala 89:14] - node _T_1105 = andr(_T_1104) @[lib.scala 89:25] - node _T_1106 = and(_T_847, _T_1105) @[lsu_trigger.scala 19:92] - node _T_1107 = cat(_T_1106, _T_839) @[Cat.scala 29:58] - node _T_1108 = cat(_T_1107, _T_572) @[Cat.scala 29:58] - node _T_1109 = cat(_T_1108, _T_305) @[Cat.scala 29:58] - io.lsu_trigger_match_m <= _T_1109 @[lsu_trigger.scala 18:26] + node _T_1099 = cat(_T_1098, _T_1095) @[lib.scala 89:14] + node _T_1100 = cat(_T_1099, _T_1092) @[lib.scala 89:14] + node _T_1101 = cat(_T_1100, _T_1085) @[lib.scala 89:14] + node _T_1102 = and(_T_844, _T_1101) @[lsu_trigger.scala 19:92] + node _T_1103 = cat(_T_1102, _T_836) @[Cat.scala 29:58] + node _T_1104 = cat(_T_1103, _T_570) @[Cat.scala 29:58] + node _T_1105 = cat(_T_1104, _T_304) @[Cat.scala 29:58] + io.lsu_trigger_match_m <= _T_1105 @[lsu_trigger.scala 18:26] extmodule gated_latch_800 : output Q : Clock @@ -94904,180 +94916,180 @@ circuit quasar_wrapper : input reset : AsyncReset output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>} - wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 67:22] - wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 68:23] + wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 66:22] + wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 67:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 73:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 74:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 76:89] - node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 76:74] - node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 76:109] - node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 76:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] - node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 76:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 76:89] - node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 76:74] - node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 76:109] - node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 76:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] - node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 76:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 76:89] - node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 76:74] - node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 76:109] - node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 76:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] - node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 76:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 76:89] - node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 76:74] - node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 76:109] - node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 76:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] - node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 76:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 77:89] - node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 77:74] - node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 77:109] - node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 77:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] - node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 77:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 77:89] - node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 77:74] - node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 77:109] - node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 77:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] - node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 77:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 77:89] - node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 77:74] - node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 77:109] - node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 77:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] - node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 77:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 77:89] - node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 77:74] - node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 77:109] - node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 77:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] - node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 77:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 78:33] + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 72:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 73:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 75:89] + node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 75:74] + node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 75:109] + node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 75:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129] + node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 75:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 75:89] + node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 75:74] + node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 75:109] + node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 75:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129] + node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 75:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 75:89] + node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 75:74] + node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 75:109] + node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 75:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129] + node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 75:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 75:89] + node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 75:74] + node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 75:109] + node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 75:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129] + node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 75:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 76:74] + node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 76:109] + node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 76:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 76:74] + node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 76:109] + node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 76:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 76:74] + node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 76:109] + node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 76:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 76:74] + node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 76:109] + node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 76:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 77:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 80:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 79:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 82:24] - buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] - buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] - buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] - buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] - wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 84:26] - buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] - buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] - buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] - buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] - wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 86:23] - buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] - buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] - buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] - buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] - wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 88:25] - buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] - buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] - buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] - buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] - wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 90:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] - wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 92:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] - wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 94:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] - wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 96:25] - buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] - buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] - buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] - buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 98:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] - wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 100:26] - buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] - buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] - buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] - buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 81:24] + buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14] + buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14] + buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14] + buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14] + wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 83:26] + buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16] + buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16] + buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16] + buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16] + wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 85:23] + buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13] + buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13] + buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13] + buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13] + wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 87:25] + buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15] + buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15] + buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15] + buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15] + wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 89:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20] + wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 91:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16] + wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 93:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16] + wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 95:25] + buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15] + buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15] + buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15] + buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 97:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19] + wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 99:26] + buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16] + buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16] + buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16] + buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 105:25] - buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] - buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] - buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] - buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 104:25] + buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15] + buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15] + buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15] + buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 108:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 107:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 113:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] - wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 115:21] - buf_rst[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] - buf_rst[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] - buf_rst[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] - buf_rst[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 112:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16] + wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 114:21] + buf_rst[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11] + buf_rst[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11] + buf_rst[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11] + buf_rst[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 118:27] - buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] - buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] - buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] - buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] - wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 120:25] - buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] - buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] - buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] - buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 117:27] + buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17] + buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17] + buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17] + buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17] + wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 119:25] + buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15] + buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15] + buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15] + buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -95086,20 +95098,20 @@ circuit quasar_wrapper : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 126:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 125:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 130:23] - buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] - buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] - buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] - buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 129:23] + buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13] + buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13] + buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13] + buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> @@ -95110,747 +95122,747 @@ circuit quasar_wrapper : CmdPtr1 <= UInt<1>("h00") wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 138:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 138:98] - node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 138:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 138:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 138:98] - node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 138:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 138:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 138:98] - node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 138:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 138:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 138:98] - node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 138:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 137:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 137:98] + node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 137:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 137:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 137:98] + node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 137:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 137:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 137:98] + node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 137:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 137:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 137:98] + node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 137:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 138:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 139:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 139:98] - node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 139:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 139:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 139:98] - node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 139:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 139:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 139:98] - node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 139:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 139:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 139:98] - node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 139:77] + io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 137:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 138:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 138:98] + node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 138:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 138:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 138:98] + node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 138:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 138:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 138:98] + node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 138:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 138:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 138:98] + node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 138:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 139:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 141:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 141:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] - node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 141:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 141:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 141:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] - node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 141:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 141:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 141:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] - node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 141:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 141:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 141:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] - node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 141:114] + io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 138:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 140:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 140:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132] + node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 140:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 140:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 140:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132] + node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 140:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 140:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 140:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132] + node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 140:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 140:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 140:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132] + node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 140:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 141:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 141:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] - node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 141:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 141:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 141:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] - node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 141:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 141:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 141:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] - node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 141:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 141:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 141:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] - node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 141:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 140:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 140:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132] + node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 140:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 140:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 140:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132] + node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 140:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 140:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 140:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132] + node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 140:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 140:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 140:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132] + node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 140:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 141:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 141:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] - node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 141:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 141:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 141:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] - node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 141:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 141:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 141:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] - node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 141:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 141:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 141:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] - node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 141:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 140:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 140:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 140:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 140:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 140:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 140:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 140:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 140:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 140:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 140:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 140:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 140:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 141:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 141:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] - node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 141:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 141:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 141:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] - node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 141:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 141:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 141:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] - node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 141:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 141:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 141:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] - node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 141:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 140:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 140:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132] + node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 140:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 140:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 140:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132] + node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 140:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 140:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 140:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132] + node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 140:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 140:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 140:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132] + node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 140:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 142:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 142:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] - node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 142:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 142:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 142:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] - node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 142:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 142:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 142:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] - node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 142:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 142:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 142:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] - node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 142:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 141:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 141:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 141:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 141:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 141:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 141:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 141:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 141:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 142:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 142:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] - node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 142:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 142:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 142:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] - node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 142:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 142:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 142:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] - node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 142:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 142:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 142:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] - node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 142:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 141:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 141:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 141:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 141:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 141:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 141:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 141:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 141:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 142:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 142:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] - node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 142:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 142:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 142:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] - node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 142:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 142:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 142:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] - node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 142:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 142:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 142:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] - node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 142:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 141:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 141:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 141:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 141:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 141:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 141:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 141:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 141:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 142:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 142:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] - node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 142:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 142:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 142:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] - node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 142:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 142:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 142:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] - node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 142:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 142:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 142:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] - node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 142:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 141:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 141:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 141:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 141:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 141:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 141:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 141:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 141:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 144:29] - buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] - buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] - buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] - buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 146:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] - node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 146:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 146:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 146:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 146:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] - node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 146:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 146:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 146:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 146:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] - node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 146:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 146:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 146:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 146:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] - node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 146:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 146:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 146:148] + wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 143:29] + buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19] + buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19] + buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19] + buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 145:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122] + node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 145:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 145:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 145:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 145:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122] + node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 145:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 145:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 145:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 145:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122] + node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 145:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 145:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 145:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 145:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122] + node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 145:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 145:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 145:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 146:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] - node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 146:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 146:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 146:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 146:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] - node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 146:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 146:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 146:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 146:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] - node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 146:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 146:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 146:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 146:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] - node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 146:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 146:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 146:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 145:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122] + node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 145:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 145:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 145:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 145:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122] + node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 145:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 145:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 145:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 145:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122] + node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 145:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 145:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 145:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 145:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122] + node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 145:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 145:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 145:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 146:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] - node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 146:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 146:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 146:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 146:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] - node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 146:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 146:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 146:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 146:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] - node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 146:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 146:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 146:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 146:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] - node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 146:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 146:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 146:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 145:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122] + node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 145:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 145:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 145:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 145:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122] + node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 145:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 145:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 145:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 145:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122] + node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 145:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 145:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 145:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 145:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122] + node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 145:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 145:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 145:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 146:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] - node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 146:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 146:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 146:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 146:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] - node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 146:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 146:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 146:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 146:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] - node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 146:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 146:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 146:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 146:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] - node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 146:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 146:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 146:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 145:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122] + node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 145:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 145:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 145:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 145:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122] + node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 145:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 145:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 145:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 145:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122] + node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 145:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 145:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 145:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 145:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122] + node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 145:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] + node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 145:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] + node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 145:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 146:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 146:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 146:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 146:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 147:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] - node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 147:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 147:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 147:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 147:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] - node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 147:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 147:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 147:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 147:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] - node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 147:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 147:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 147:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 147:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] - node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 147:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 147:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 147:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 145:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 145:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 145:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 145:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 146:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 146:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 146:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 146:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 146:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 146:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 146:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 146:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 146:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 146:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 146:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 146:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 147:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] - node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 147:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 147:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 147:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 147:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] - node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 147:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 147:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 147:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 147:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] - node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 147:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 147:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 147:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 147:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] - node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 147:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 147:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 147:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 146:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 146:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 146:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 146:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 146:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 146:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 146:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 146:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 146:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 146:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 146:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 146:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 147:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] - node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 147:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 147:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 147:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 147:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] - node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 147:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 147:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 147:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 147:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] - node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 147:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 147:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 147:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 147:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] - node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 147:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 147:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 147:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 146:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 146:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 146:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 146:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 146:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 146:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 146:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 146:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 146:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 146:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 146:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 146:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 147:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] - node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 147:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 147:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 147:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 147:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] - node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 147:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 147:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 147:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 147:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] - node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 147:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 147:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 147:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 147:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] - node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 147:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] - node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 147:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] - node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 147:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 146:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 146:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 146:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 146:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 146:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 146:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 146:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 146:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 146:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 146:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 146:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 146:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 147:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 147:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 147:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 146:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 152:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 152:64] - node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 152:51] - node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 152:73] - node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 152:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 152:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 153:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 153:64] - node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 153:51] - node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 153:73] - node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 153:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 153:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 151:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 151:64] + node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 151:51] + node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 151:73] + node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 151:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 151:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 152:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 152:64] + node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 152:51] + node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 152:73] + node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 152:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 152:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 157:55] - node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 157:69] - ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 157:23] + node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 156:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 156:69] + ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 156:23] node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 158:55] - node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 158:69] - ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 158:23] - wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 160:22] - buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] - buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] - buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] - buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 157:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 157:69] + ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 157:23] + wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 159:22] + buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12] + buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12] + buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12] + buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 163:81] + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 162:81] node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 163:81] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 162:81] node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 163:81] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 162:81] node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 163:81] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 162:81] node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] - node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 164:81] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 163:81] node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 164:81] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 163:81] node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 164:81] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 163:81] node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 164:81] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 163:81] node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] - node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 165:86] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 164:86] node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 165:104] - node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 165:91] - node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 165:86] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 164:104] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 164:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 164:86] node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 165:104] - node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 165:91] - node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 165:86] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 164:104] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 164:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 164:86] node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 165:104] - node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 165:91] - node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 165:86] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 164:104] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 164:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 164:86] node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 165:104] - node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 165:91] - node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 165:123] - node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 165:123] - node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 165:123] - node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 166:60] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 164:104] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 164:91] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 164:123] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 164:123] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 164:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 165:60] node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 166:78] - node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 166:65] - node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 166:60] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 165:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 165:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 165:60] node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 166:78] - node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 166:65] - node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 166:60] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 165:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 165:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 165:60] node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 166:78] - node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 166:65] - node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 166:60] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 165:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 165:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 165:60] node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 166:78] - node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 166:65] - node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 166:97] - node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 166:97] - node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 166:97] - node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 167:60] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 165:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 165:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 165:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 165:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 165:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 166:60] node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 167:78] - node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 167:65] - node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 167:60] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 166:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 166:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 166:60] node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 167:78] - node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 167:65] - node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 167:60] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 166:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 166:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 166:60] node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 167:78] - node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 167:65] - node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 167:60] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 166:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 166:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 166:60] node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 167:78] - node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 167:65] - node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 167:97] - node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 167:97] - node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 167:97] - node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 168:60] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 166:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 166:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 166:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 166:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 166:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 167:60] node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 168:78] - node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 168:65] - node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 168:60] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 167:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 167:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 167:60] node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 168:78] - node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 168:65] - node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 168:60] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 167:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 167:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 167:60] node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 168:78] - node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 168:65] - node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 168:60] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 167:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 167:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 167:60] node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 168:78] - node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 168:65] - node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 168:97] - node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 168:97] - node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 168:97] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 167:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 167:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 167:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 167:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 167:97] node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] - node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 169:32] - node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 168:103] - io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 165:24] - node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 171:86] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 168:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 167:103] + io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 164:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 170:86] node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 171:104] - node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 171:91] - node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 171:86] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 170:104] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 170:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 170:86] node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 171:104] - node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 171:91] - node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 171:86] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 170:104] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 170:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 170:86] node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 171:104] - node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 171:91] - node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 171:86] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 170:104] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 170:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 170:86] node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 171:104] - node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 171:91] - node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 171:123] - node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 171:123] - node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 171:123] - node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 172:60] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 170:104] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 170:91] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 170:123] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 170:123] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 170:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 171:60] node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 172:78] - node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 172:65] - node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 172:60] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 171:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 171:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 171:60] node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 172:78] - node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 172:65] - node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 172:60] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 171:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 171:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 171:60] node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 172:78] - node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 172:65] - node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 172:60] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 171:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 171:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 171:60] node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 172:78] - node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 172:65] - node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 172:97] - node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 172:97] - node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 172:97] - node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 173:60] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 171:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 171:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 171:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 171:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 171:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 172:60] node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 173:78] - node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 173:65] - node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 173:60] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 172:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 172:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 172:60] node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 173:78] - node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 173:65] - node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 173:60] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 172:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 172:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 172:60] node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 173:78] - node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 173:65] - node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 173:60] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 172:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 172:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 172:60] node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 173:78] - node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 173:65] - node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 173:97] - node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 173:97] - node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 173:97] - node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 174:60] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 172:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 172:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 172:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 172:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 172:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 173:60] node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 174:78] - node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 174:65] - node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 174:60] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 173:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 173:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 173:60] node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 174:78] - node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 174:65] - node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 174:60] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 173:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 173:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 173:60] node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 174:78] - node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 174:65] - node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 174:60] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 173:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 173:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 173:60] node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 174:78] - node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 174:65] - node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 174:97] - node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 174:97] - node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 174:97] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 173:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 173:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 173:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 173:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 173:97] node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] - node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 175:32] - node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 174:103] - io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 171:24] - node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 177:77] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 174:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 173:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 170:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 176:77] node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -95858,19 +95870,19 @@ circuit quasar_wrapper : node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_754 @[Mux.scala 27:72] - node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 182:50] - node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 182:55] - node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 183:19] - node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 183:24] - node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 183:60] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 181:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 181:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 182:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 182:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 182:60] node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] - node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 184:19] - node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 184:24] - node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 184:60] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 183:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 183:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 183:60] node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] - node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:19] - node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 185:24] - node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 185:60] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 184:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 184:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 184:60] node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95881,19 +95893,19 @@ circuit quasar_wrapper : node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] - node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:50] - node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 186:55] - node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] - node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 187:24] - node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 187:50] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 185:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 186:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 186:50] node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] - node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 188:24] - node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 188:50] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 187:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 187:50] node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] - node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 189:24] - node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 189:50] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 188:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 188:50] node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95904,19 +95916,19 @@ circuit quasar_wrapper : node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] - node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:49] - node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 191:54] - node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] - node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 192:24] - node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 192:64] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 190:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 191:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 191:64] node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] - node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] - node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 193:24] - node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 193:63] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 192:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 192:63] node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] - node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] - node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 194:24] - node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 194:62] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 193:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 193:62] node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95927,19 +95939,19 @@ circuit quasar_wrapper : node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] store_data_hi_r <= _T_817 @[Mux.scala 27:72] - node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:49] - node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 196:54] - node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] - node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 197:24] - node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 197:52] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 195:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 196:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 196:52] node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] - node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 198:24] - node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 198:52] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 197:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 197:52] node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] - node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 199:24] - node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 199:52] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 198:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 198:52] node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95950,13 +95962,13 @@ circuit quasar_wrapper : node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] store_data_lo_r <= _T_838 @[Mux.scala 27:72] - node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:36] - node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:57] - node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 202:40] - node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:72] - node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 203:79] - node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 204:45] - node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 204:31] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 201:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 201:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 201:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 202:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 202:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 203:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 203:31] node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -95964,26 +95976,26 @@ circuit quasar_wrapper : node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_849 @[Mux.scala 27:72] - node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 206:60] - node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 206:34] - node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 206:84] - node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 206:82] - node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 207:36] - node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:56] - node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 207:54] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 205:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 205:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 205:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 205:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 206:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 206:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 206:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 209:36] - node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 209:34] - node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 209:49] - node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:44] - node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 210:42] - node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 210:61] - node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 210:112] - node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 210:137] - node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 210:120] - node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 210:100] - node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 210:74] + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 208:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 208:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 209:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 209:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 209:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 209:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 209:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 209:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 209:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 209:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -95992,175 +96004,175 @@ circuit quasar_wrapper : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 215:62] - node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 215:48] - node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 215:98] - node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 215:82] - node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 215:80] - node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 216:5] - node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 216:16] - node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 216:35] - node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 216:55] - node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 216:53] - node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 216:67] - node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 215:32] - ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 215:18] + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 214:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 214:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 214:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 214:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 214:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 215:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 215:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 215:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 215:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 215:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 215:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 214:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 214:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 221:39] - node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 221:69] - node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 221:24] + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 220:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 220:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 220:24] node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 224:25] - node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 225:42] - node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 225:70] - node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 225:95] - node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 225:77] - node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 226:41] - node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 226:65] - node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 226:8] - node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 225:27] - node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] - node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 230:25] - node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 230:45] - node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 230:76] - node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 230:8] - node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 231:40] - node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 231:77] - node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 231:8] - node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 229:46] - node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] - node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 230:25] - node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 230:45] - node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 230:76] - node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 230:8] - node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 231:40] - node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 231:77] - node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 231:8] - node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 229:46] - node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] - node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 230:25] - node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 230:45] - node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 230:76] - node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 230:8] - node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 231:40] - node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 231:77] - node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 231:8] - node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 229:46] - node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] - node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 230:25] - node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 230:45] - node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 230:76] - node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 230:8] - node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 231:40] - node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 231:77] - node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 231:8] - node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 229:46] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 223:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 224:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 224:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 224:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 224:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 225:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 225:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 225:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 224:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 229:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 229:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 229:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 229:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 230:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 230:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 230:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 228:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 229:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 229:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 229:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 229:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 230:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 230:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 230:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 228:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 229:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 229:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 229:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 229:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 230:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 230:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 230:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 228:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 229:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 229:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 229:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 229:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 230:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 230:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 230:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 228:46] node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] - node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 232:59] - node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 232:79] - node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 232:93] - node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 232:93] - node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 232:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 232:26] - node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 234:36] - node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 234:54] - node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 234:80] - node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 234:93] - node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 234:122] - node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 234:142] - node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 234:129] - node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 234:106] - node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:152] - node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 234:150] - node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:175] - node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 234:173] - ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 234:17] - node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:20] - ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 235:17] - node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] - node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 236:63] - node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:92] - node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 236:114] - node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 236:96] - node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:130] - node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 236:48] - node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] - node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 236:63] - node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:92] - node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 236:114] - node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 236:96] - node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:130] - node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 236:48] - node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] - node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 236:63] - node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:92] - node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 236:114] - node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 236:96] - node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:130] - node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 236:48] - node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] - node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 236:63] - node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:92] - node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 236:114] - node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 236:96] - node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:130] - node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 236:48] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 231:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 231:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 231:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 231:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 231:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 231:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 233:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 233:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 233:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 233:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 233:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 233:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 233:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 233:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 233:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 233:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 233:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 233:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 233:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 234:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 235:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 235:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 235:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 235:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 235:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 235:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 235:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 235:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 235:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 235:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 235:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 235:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 235:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 235:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 235:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 235:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 235:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 235:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 235:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 235:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 235:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 235:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 235:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 235:48] node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 237:60] - node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 237:98] - node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 237:118] - node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 237:81] - node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 237:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 237:60] - node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 237:98] - node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 237:118] - node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 237:81] - node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 237:45] - node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] - node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 237:60] - node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 237:98] - node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 237:118] - node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:143] - node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 237:81] - node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:169] - node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 237:45] - node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] - node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 237:60] - node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 237:98] - node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 237:118] - node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:143] - node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 237:81] - node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:169] - node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 237:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 236:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 236:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 236:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 236:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 236:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 236:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 236:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 236:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 236:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 236:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 236:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 236:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 236:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 236:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 236:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 236:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 236:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 236:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 236:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 236:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 236:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 236:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 236:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 236:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 236:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 236:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 236:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 236:45] node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] - node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 239:58] - node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:93] - node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 239:91] - reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 239:54] - _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 239:54] - ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 239:14] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 238:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 238:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 238:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 238:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 238:14] reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 240:12] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 239:12] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] @@ -96181,7 +96193,7 @@ circuit quasar_wrapper : when ibuf_wr_en : @[Reg.scala 28:19] _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 245:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 244:19] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] @@ -96190,7 +96202,7 @@ circuit quasar_wrapper : when ibuf_wr_en : @[Reg.scala 28:19] _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 247:14] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 246:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -96203,12 +96215,12 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 356:24] reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] _T_1012 <= ibuf_addr_in @[lib.scala 358:16] - ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 249:13] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 248:13] reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 250:15] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 249:15] inst rvclkhdr_1 of rvclkhdr_813 @[lib.scala 352:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -96217,38 +96229,38 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 356:24] reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16] _T_1014 <= ibuf_data_in @[lib.scala 358:16] - ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 251:13] - reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 252:55] - _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 252:55] - ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 252:14] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 250:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 251:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 251:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 251:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 256:25] - buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] - buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] - buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] - buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 255:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:43] - node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:72] - node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 262:51] - node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 262:97] - node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 262:80] - node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:5] - node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 262:114] - node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:114] - node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:114] - node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 263:114] - node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 263:114] + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 261:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 261:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 261:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 261:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 261:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 262:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 261:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 262:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 262:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 262:114] node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96258,16 +96270,16 @@ circuit quasar_wrapper : node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] wire _T_1034 : UInt<1> @[Mux.scala 27:72] _T_1034 <= _T_1033 @[Mux.scala 27:72] - node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:31] - node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 263:29] - node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:88] - node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 264:111] - node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:88] - node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 264:111] - node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 264:88] - node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 264:111] - node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 264:88] - node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 264:111] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 262:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 262:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 263:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 263:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 263:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 263:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 263:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 263:111] node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96277,32 +96289,32 @@ circuit quasar_wrapper : node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] wire _T_1052 : UInt<1> @[Mux.scala 27:72] _T_1052 <= _T_1051 @[Mux.scala 27:72] - node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:5] - node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 263:140] - node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:119] - node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 264:117] - node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 265:75] - node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 265:95] - node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 265:79] - node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:123] - node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 265:123] - node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 265:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 265:29] - node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:41] - node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 266:39] - node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:60] - node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 266:58] - node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:93] - node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 266:72] - node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 266:117] - node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:208] - node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 266:228] - node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:208] - node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 266:228] - node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 266:208] - node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 266:228] - node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 266:208] - node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 262:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 263:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 264:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 264:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 264:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 264:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 264:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 264:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 265:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 265:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 265:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 265:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 265:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 265:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 265:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 265:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 265:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 265:228] node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96312,35 +96324,35 @@ circuit quasar_wrapper : node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] wire _T_1084 : UInt<30> @[Mux.scala 27:72] _T_1084 <= _T_1083 @[Mux.scala 27:72] - node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 266:123] - node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 266:101] - obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 266:20] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 265:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 265:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 265:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:53] - node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 268:31] - node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:64] - node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 268:89] - node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 268:61] + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 267:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 267:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 267:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 271:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] - wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 273:22] - buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] - buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] - buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] - buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] - wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 275:24] - buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] - buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] - buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] - buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 270:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 272:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 274:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -96353,14 +96365,14 @@ circuit quasar_wrapper : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 283:32] - node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 283:74] - node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 283:52] - node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 283:50] - node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 282:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 282:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 282:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 282:50] + node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96370,19 +96382,19 @@ circuit quasar_wrapper : node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] wire _T_1106 : UInt<3> @[Mux.scala 27:72] _T_1106 <= _T_1105 @[Mux.scala 27:72] - node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 284:36] - node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 284:47] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 283:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 283:47] node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96392,16 +96404,16 @@ circuit quasar_wrapper : node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] wire _T_1127 : UInt<1> @[Mux.scala 27:72] _T_1127 <= _T_1126 @[Mux.scala 27:72] - node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:23] - node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 285:21] - node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 284:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 284:21] + node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96411,20 +96423,20 @@ circuit quasar_wrapper : node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] wire _T_1145 : UInt<1> @[Mux.scala 27:72] _T_1145 <= _T_1144 @[Mux.scala 27:72] - node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 285:141] - node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:105] - node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 285:103] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 284:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 284:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 284:103] node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] - node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96437,14 +96449,14 @@ circuit quasar_wrapper : node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58] node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96454,15 +96466,15 @@ circuit quasar_wrapper : node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] wire _T_1186 : UInt<1> @[Mux.scala 27:72] _T_1186 <= _T_1185 @[Mux.scala 27:72] - node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 286:77] - node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 285:77] + node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96472,21 +96484,21 @@ circuit quasar_wrapper : node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] wire _T_1203 : UInt<1> @[Mux.scala 27:72] _T_1203 <= _T_1202 @[Mux.scala 27:72] - node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:150] - node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 286:148] - node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:8] - node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 286:181] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 285:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 285:181] node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96496,38 +96508,38 @@ circuit quasar_wrapper : node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] wire _T_1226 : UInt<1> @[Mux.scala 27:72] _T_1226 <= _T_1225 @[Mux.scala 27:72] - node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 286:197] - node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 286:269] - node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 285:164] - node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 283:98] - node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:48] - node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 287:46] - node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 287:60] - node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 287:29] - node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:77] - node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 287:75] - node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:93] - node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 287:91] - node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:118] - node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 287:116] - node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 287:142] - obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 283:14] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 285:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 285:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 284:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 282:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 286:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 286:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 286:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 286:75] + node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:93] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 286:91] + node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:118] + node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 286:116] + node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 286:142] + obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 282:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 289:47] - node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 289:33] - node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:65] - node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 289:63] - node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 289:77] - node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 289:98] - node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1250 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1251 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1252 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1253 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1254 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 288:47] + node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 288:33] + node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:65] + node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 288:63] + node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 288:77] + node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 288:98] + node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1250 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1251 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1252 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1253 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1254 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1255 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1256 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1257 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96537,15 +96549,15 @@ circuit quasar_wrapper : node _T_1261 = or(_T_1260, _T_1258) @[Mux.scala 27:72] wire _T_1262 : UInt<1> @[Mux.scala 27:72] _T_1262 <= _T_1261 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 290:26] - node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1266 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1267 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1268 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1269 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1270 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 289:26] + node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1266 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1267 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1268 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1269 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1270 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1271 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1272 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1273 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96555,11 +96567,11 @@ circuit quasar_wrapper : node _T_1277 = or(_T_1276, _T_1274) @[Mux.scala 27:72] wire _T_1278 : UInt<1> @[Mux.scala 27:72] _T_1278 <= _T_1277 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 291:31] - node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 290:31] + node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1283 = mux(_T_1279, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1284 = mux(_T_1280, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1285 = mux(_T_1281, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96569,17 +96581,17 @@ circuit quasar_wrapper : node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] wire _T_1290 : UInt<32> @[Mux.scala 27:72] _T_1290 <= _T_1289 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 292:25] - wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 293:20] - buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] - buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] - buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] - buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 291:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 292:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10] node _T_1291 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1296 = mux(_T_1292, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1297 = mux(_T_1293, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1298 = mux(_T_1294, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96589,10 +96601,10 @@ circuit quasar_wrapper : node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] wire _T_1303 : UInt<2> @[Mux.scala 27:72] _T_1303 <= _T_1302 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 295:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 294:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 298:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 297:25] node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 300:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") @@ -96683,10 +96695,10 @@ circuit quasar_wrapper : node _T_1358 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1359 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 323:46] - node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1364 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1364 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1365 = mux(_T_1361, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1366 = mux(_T_1362, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1367 = mux(_T_1363, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96698,10 +96710,10 @@ circuit quasar_wrapper : _T_1372 <= _T_1371 @[Mux.scala 27:72] node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 324:36] node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 324:46] - node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1378 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1378 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1379 = mux(_T_1375, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1380 = mux(_T_1376, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1381 = mux(_T_1377, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96712,10 +96724,10 @@ circuit quasar_wrapper : wire _T_1386 : UInt<4> @[Mux.scala 27:72] _T_1386 <= _T_1385 @[Mux.scala 27:72] node _T_1387 = cat(_T_1386, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96732,10 +96744,10 @@ circuit quasar_wrapper : node _T_1403 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1404 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 325:46] - node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1409 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1409 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1410 = mux(_T_1406, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1411 = mux(_T_1407, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1412 = mux(_T_1408, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96747,10 +96759,10 @@ circuit quasar_wrapper : _T_1417 <= _T_1416 @[Mux.scala 27:72] node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 326:36] node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 326:46] - node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1423 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1423 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1424 = mux(_T_1420, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1425 = mux(_T_1421, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1426 = mux(_T_1422, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96761,10 +96773,10 @@ circuit quasar_wrapper : wire _T_1431 : UInt<4> @[Mux.scala 27:72] _T_1431 <= _T_1430 @[Mux.scala 27:72] node _T_1432 = cat(_T_1431, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1433 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1434 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1435 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1436 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1433 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1434 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1435 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1436 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96781,10 +96793,10 @@ circuit quasar_wrapper : node _T_1448 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1449 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 328:44] - node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1454 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1454 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1455 = mux(_T_1451, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1456 = mux(_T_1452, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1457 = mux(_T_1453, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96796,10 +96808,10 @@ circuit quasar_wrapper : _T_1462 <= _T_1461 @[Mux.scala 27:72] node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 329:36] node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 329:46] - node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1468 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1468 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1469 = mux(_T_1465, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1470 = mux(_T_1466, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1471 = mux(_T_1467, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96810,10 +96822,10 @@ circuit quasar_wrapper : wire _T_1476 : UInt<32> @[Mux.scala 27:72] _T_1476 <= _T_1475 @[Mux.scala 27:72] node _T_1477 = cat(_T_1476, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96830,10 +96842,10 @@ circuit quasar_wrapper : node _T_1493 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1494 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 330:44] - node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1499 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1499 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1500 = mux(_T_1496, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1501 = mux(_T_1497, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1502 = mux(_T_1498, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96845,10 +96857,10 @@ circuit quasar_wrapper : _T_1507 <= _T_1506 @[Mux.scala 27:72] node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 331:36] node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 331:46] - node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1513 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1513 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1514 = mux(_T_1510, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1515 = mux(_T_1511, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1516 = mux(_T_1512, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96859,10 +96871,10 @@ circuit quasar_wrapper : wire _T_1521 : UInt<32> @[Mux.scala 27:72] _T_1521 <= _T_1520 @[Mux.scala 27:72] node _T_1522 = cat(_T_1521, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1523 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1524 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1525 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1526 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1523 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1524 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1525 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1526 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96969,10 +96981,10 @@ circuit quasar_wrapper : node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 337:30] node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 337:43] node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 337:59] - node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1627 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1627 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1628 = mux(_T_1624, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1629 = mux(_T_1625, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1630 = mux(_T_1626, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96984,10 +96996,10 @@ circuit quasar_wrapper : _T_1635 <= _T_1634 @[Mux.scala 27:72] node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:107] node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 337:75] - node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1641 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1641 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1642 = mux(_T_1638, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1643 = mux(_T_1639, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1644 = mux(_T_1640, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -97002,14 +97014,14 @@ circuit quasar_wrapper : node _T_1652 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1653 = cat(_T_1652, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1654 = cat(_T_1653, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1655 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1656 = bits(_T_1654, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1657 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1658 = bits(_T_1654, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1659 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1660 = bits(_T_1654, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1661 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1662 = bits(_T_1654, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1655 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1656 = bits(_T_1654, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1657 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1658 = bits(_T_1654, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1659 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1660 = bits(_T_1654, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1661 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1662 = bits(_T_1654, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1663 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1664 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1665 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97021,14 +97033,14 @@ circuit quasar_wrapper : _T_1670 <= _T_1669 @[Mux.scala 27:72] node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:5] node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 337:161] - node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1676 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1677 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1678 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1679 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1680 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1676 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1677 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1678 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1679 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1680 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1681 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1682 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1683 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97040,14 +97052,14 @@ circuit quasar_wrapper : _T_1688 <= _T_1687 @[Mux.scala 27:72] node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:87] node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 338:85] - node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1694 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1695 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1696 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1697 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1698 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1694 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1695 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1696 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1697 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1698 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1699 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1700 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1701 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97057,14 +97069,14 @@ circuit quasar_wrapper : node _T_1705 = or(_T_1704, _T_1702) @[Mux.scala 27:72] wire _T_1706 : UInt<1> @[Mux.scala 27:72] _T_1706 <= _T_1705 @[Mux.scala 27:72] - node _T_1707 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1708 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1709 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1710 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1711 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1712 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1713 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1714 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1707 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1708 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1709 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1710 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1711 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1712 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1713 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1714 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1715 = mux(_T_1707, _T_1708, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1716 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1717 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97075,10 +97087,10 @@ circuit quasar_wrapper : wire _T_1722 : UInt<1> @[Mux.scala 27:72] _T_1722 <= _T_1721 @[Mux.scala 27:72] node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 339:36] - node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1727 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1727 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1728 = mux(_T_1724, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1729 = mux(_T_1725, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1730 = mux(_T_1726, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -97089,10 +97101,10 @@ circuit quasar_wrapper : wire _T_1735 : UInt<32> @[Mux.scala 27:72] _T_1735 <= _T_1734 @[Mux.scala 27:72] node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 340:35] - node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_1740 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_1740 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -97109,14 +97121,14 @@ circuit quasar_wrapper : node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 340:79] node _T_1754 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 340:107] node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 340:105] - node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1759 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1760 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1761 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1762 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1763 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1759 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1760 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1761 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1762 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1763 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1764 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1765 = mux(_T_1758, _T_1759, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1766 = mux(_T_1760, _T_1761, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97130,14 +97142,14 @@ circuit quasar_wrapper : node _T_1773 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1774 = cat(_T_1773, buf_dual[1]) @[Cat.scala 29:58] node _T_1775 = cat(_T_1774, buf_dual[0]) @[Cat.scala 29:58] - node _T_1776 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1777 = bits(_T_1775, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1778 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1779 = bits(_T_1775, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1780 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1781 = bits(_T_1775, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1782 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1783 = bits(_T_1775, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1776 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1777 = bits(_T_1775, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1778 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1779 = bits(_T_1775, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1780 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1781 = bits(_T_1775, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1782 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1783 = bits(_T_1775, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1784 = mux(_T_1776, _T_1777, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1785 = mux(_T_1778, _T_1779, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1786 = mux(_T_1780, _T_1781, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97151,14 +97163,14 @@ circuit quasar_wrapper : node _T_1793 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1794 = cat(_T_1793, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1795 = cat(_T_1794, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1796 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1797 = bits(_T_1795, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1798 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1799 = bits(_T_1795, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1800 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1801 = bits(_T_1795, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1802 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1803 = bits(_T_1795, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1796 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1797 = bits(_T_1795, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1798 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1799 = bits(_T_1795, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1800 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1801 = bits(_T_1795, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1802 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1803 = bits(_T_1795, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1804 = mux(_T_1796, _T_1797, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1805 = mux(_T_1798, _T_1799, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1806 = mux(_T_1800, _T_1801, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97173,14 +97185,14 @@ circuit quasar_wrapper : node _T_1814 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1815 = cat(_T_1814, buf_samedw[1]) @[Cat.scala 29:58] node _T_1816 = cat(_T_1815, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_1818 = bits(_T_1816, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_1820 = bits(_T_1816, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_1822 = bits(_T_1816, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_1824 = bits(_T_1816, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_1818 = bits(_T_1816, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_1820 = bits(_T_1816, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_1822 = bits(_T_1816, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_1824 = bits(_T_1816, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_1825 = mux(_T_1817, _T_1818, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1826 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1827 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] @@ -99165,14 +99177,14 @@ circuit quasar_wrapper : node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:97] node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 467:85] - node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_3613 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_3614 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_3615 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_3616 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_3617 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_3613 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_3614 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_3615 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_3616 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_3617 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_3618 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3619 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3620 = mux(_T_3614, _T_3615, UInt<1>("h00")) @[Mux.scala 27:72] @@ -99441,14 +99453,14 @@ circuit quasar_wrapper : node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:97] node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 467:85] - node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_3806 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_3807 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_3808 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_3809 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_3810 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_3806 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_3807 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_3808 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_3809 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_3810 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_3811 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3812 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3813 = mux(_T_3807, _T_3808, UInt<1>("h00")) @[Mux.scala 27:72] @@ -99717,14 +99729,14 @@ circuit quasar_wrapper : node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:97] node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 467:85] - node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_3999 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_4000 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_4001 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_4002 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_4003 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_3999 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_4000 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_4001 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_4002 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_4003 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_4004 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4005 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4006 = mux(_T_4000, _T_4001, UInt<1>("h00")) @[Mux.scala 27:72] @@ -99993,14 +100005,14 @@ circuit quasar_wrapper : node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:97] node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 467:85] - node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_4192 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_4193 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_4194 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_4195 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_4196 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_4192 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_4193 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_4194 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_4195 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_4196 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_4197 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4198 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4199 = mux(_T_4193, _T_4194, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100736,10 +100748,10 @@ circuit quasar_wrapper : node _T_4682 = or(_T_4681, _T_4679) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_hi <= _T_4682 @[Mux.scala 27:72] - node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_4687 = mux(_T_4683, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4688 = mux(_T_4684, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4689 = mux(_T_4685, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -100750,10 +100762,10 @@ circuit quasar_wrapper : wire _T_4694 : UInt<32> @[Mux.scala 27:72] _T_4694 <= _T_4693 @[Mux.scala 27:72] node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 539:96] - node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] - node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] - node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] - node _T_4698 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] + node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] + node _T_4698 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] node _T_4699 = mux(_T_4695, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4700 = mux(_T_4696, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4701 = mux(_T_4697, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -100763,14 +100775,14 @@ circuit quasar_wrapper : node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] lsu_nonblock_sz <= _T_4705 @[Mux.scala 27:72] - node _T_4706 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_4707 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_4708 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_4709 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_4711 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_4712 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_4713 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4706 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_4707 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_4708 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_4709 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_4711 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_4712 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_4713 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_4714 = mux(_T_4706, _T_4707, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4715 = mux(_T_4708, _T_4709, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4716 = mux(_T_4710, _T_4711, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100783,14 +100795,14 @@ circuit quasar_wrapper : node _T_4721 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_4722 = cat(_T_4721, buf_dual[1]) @[Cat.scala 29:58] node _T_4723 = cat(_T_4722, buf_dual[0]) @[Cat.scala 29:58] - node _T_4724 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] - node _T_4725 = bits(_T_4723, 0, 0) @[lsu_bus_buffer.scala 57:129] - node _T_4726 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] - node _T_4727 = bits(_T_4723, 1, 1) @[lsu_bus_buffer.scala 57:129] - node _T_4728 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] - node _T_4729 = bits(_T_4723, 2, 2) @[lsu_bus_buffer.scala 57:129] - node _T_4730 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] - node _T_4731 = bits(_T_4723, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4724 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] + node _T_4725 = bits(_T_4723, 0, 0) @[lsu_bus_buffer.scala 56:129] + node _T_4726 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] + node _T_4727 = bits(_T_4723, 1, 1) @[lsu_bus_buffer.scala 56:129] + node _T_4728 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] + node _T_4729 = bits(_T_4723, 2, 2) @[lsu_bus_buffer.scala 56:129] + node _T_4730 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] + node _T_4731 = bits(_T_4723, 3, 3) @[lsu_bus_buffer.scala 56:129] node _T_4732 = mux(_T_4724, _T_4725, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4733 = mux(_T_4726, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4734 = mux(_T_4728, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100926,7 +100938,7 @@ circuit quasar_wrapper : bus_addr_match_pending <= _T_4855 @[lsu_bus_buffer.scala 552:26] node _T_4856 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 555:54] node _T_4857 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 555:75] - node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 555:153] + node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 555:153] node _T_4859 = mux(_T_4856, _T_4857, _T_4858) @[lsu_bus_buffer.scala 555:39] node _T_4860 = mux(obuf_write, _T_4859, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 555:23] bus_cmd_ready <= _T_4860 @[lsu_bus_buffer.scala 555:17] diff --git a/quasar_wrapper.v b/quasar_wrapper.v index d182d9de..20146a6a 100644 --- a/quasar_wrapper.v +++ b/quasar_wrapper.v @@ -51318,247 +51318,249 @@ module csr_tlu( wire _T_743 = io_dec_csr_wraddr_r == 12'h7cc; // @[dec_tlu_ctl.scala 2116:101] wire wr_dicad0h_r = _T_663 & _T_743; // @[dec_tlu_ctl.scala 2116:72] reg [31:0] dicad0h; // @[lib.scala 358:16] - wire _T_751 = io_dec_csr_wraddr_r == 12'h7ca; // @[dec_tlu_ctl.scala 2143:100] - wire _T_752 = _T_663 & _T_751; // @[dec_tlu_ctl.scala 2143:71] - wire _T_757 = _T_752 | io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 2147:77] - reg [3:0] _T_759; // @[Reg.scala 27:20] - wire [31:0] dicad1 = {28'h0,_T_759}; // @[Cat.scala 29:58] - wire [69:0] _T_766 = {2'h0,dicad1[3:0],dicad0h,dicad0[31:0]}; // @[Cat.scala 29:58] - wire _T_767 = io_allow_dbg_halt_csr_write & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 2160:52] - wire _T_768 = _T_767 & io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 2160:75] - wire _T_769 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 2160:98] - wire _T_770 = _T_768 & _T_769; // @[dec_tlu_ctl.scala 2160:96] - wire _T_772 = io_dec_csr_rdaddr_d == 12'h7cb; // @[dec_tlu_ctl.scala 2160:149] - wire _T_775 = io_dec_csr_wraddr_r == 12'h7cb; // @[dec_tlu_ctl.scala 2161:104] + wire _T_751 = io_dec_csr_wraddr_r == 12'h7ca; // @[dec_tlu_ctl.scala 2128:100] + wire _T_752 = _T_663 & _T_751; // @[dec_tlu_ctl.scala 2128:71] + wire _T_756 = _T_752 | io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 2132:78] + reg [31:0] _T_758; // @[Reg.scala 27:20] + wire [31:0] dicad1 = {25'h0,_T_758[6:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_763 = {dicad1[6:0],dicad0h}; // @[Cat.scala 29:58] + wire _T_765 = io_allow_dbg_halt_csr_write & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 2160:52] + wire _T_766 = _T_765 & io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 2160:75] + wire _T_767 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 2160:98] + wire _T_768 = _T_766 & _T_767; // @[dec_tlu_ctl.scala 2160:96] + wire _T_770 = io_dec_csr_rdaddr_d == 12'h7cb; // @[dec_tlu_ctl.scala 2160:149] + wire _T_773 = io_dec_csr_wraddr_r == 12'h7cb; // @[dec_tlu_ctl.scala 2161:104] reg icache_rd_valid_f; // @[dec_tlu_ctl.scala 2163:58] reg icache_wr_valid_f; // @[dec_tlu_ctl.scala 2164:58] - wire _T_777 = io_dec_csr_wraddr_r == 12'h7a0; // @[dec_tlu_ctl.scala 2175:69] - wire wr_mtsel_r = io_dec_csr_wen_r_mod & _T_777; // @[dec_tlu_ctl.scala 2175:40] + wire _T_775 = io_dec_csr_wraddr_r == 12'h7a0; // @[dec_tlu_ctl.scala 2175:69] + wire wr_mtsel_r = io_dec_csr_wen_r_mod & _T_775; // @[dec_tlu_ctl.scala 2175:40] reg [1:0] mtsel; // @[dec_tlu_ctl.scala 2178:43] wire tdata_load = io_dec_csr_wrdata_r[0] & _T_408; // @[dec_tlu_ctl.scala 2213:42] wire tdata_opcode = io_dec_csr_wrdata_r[2] & _T_408; // @[dec_tlu_ctl.scala 2215:44] - wire _T_788 = io_dec_csr_wrdata_r[27] & io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2217:46] - wire tdata_action = _T_788 & io_dec_csr_wrdata_r[12]; // @[dec_tlu_ctl.scala 2217:69] - wire [9:0] tdata_wrdata_r = {_T_788,io_dec_csr_wrdata_r[20:19],tdata_action,io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7:6],tdata_opcode,io_dec_csr_wrdata_r[1],tdata_load}; // @[Cat.scala 29:58] - wire _T_803 = io_dec_csr_wraddr_r == 12'h7a1; // @[dec_tlu_ctl.scala 2223:99] - wire _T_804 = io_dec_csr_wen_r_mod & _T_803; // @[dec_tlu_ctl.scala 2223:70] - wire _T_805 = mtsel == 2'h0; // @[dec_tlu_ctl.scala 2223:121] - wire _T_806 = _T_804 & _T_805; // @[dec_tlu_ctl.scala 2223:112] - wire _T_808 = ~io_mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 2223:138] - wire _T_809 = _T_808 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] - wire wr_mtdata1_t_r_0 = _T_806 & _T_809; // @[dec_tlu_ctl.scala 2223:135] - wire _T_814 = mtsel == 2'h1; // @[dec_tlu_ctl.scala 2223:121] - wire _T_815 = _T_804 & _T_814; // @[dec_tlu_ctl.scala 2223:112] - wire _T_817 = ~io_mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 2223:138] - wire _T_818 = _T_817 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] - wire wr_mtdata1_t_r_1 = _T_815 & _T_818; // @[dec_tlu_ctl.scala 2223:135] - wire _T_823 = mtsel == 2'h2; // @[dec_tlu_ctl.scala 2223:121] - wire _T_824 = _T_804 & _T_823; // @[dec_tlu_ctl.scala 2223:112] - wire _T_826 = ~io_mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 2223:138] - wire _T_827 = _T_826 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] - wire wr_mtdata1_t_r_2 = _T_824 & _T_827; // @[dec_tlu_ctl.scala 2223:135] - wire _T_832 = mtsel == 2'h3; // @[dec_tlu_ctl.scala 2223:121] - wire _T_833 = _T_804 & _T_832; // @[dec_tlu_ctl.scala 2223:112] - wire _T_835 = ~io_mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 2223:138] - wire _T_836 = _T_835 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] - wire wr_mtdata1_t_r_3 = _T_833 & _T_836; // @[dec_tlu_ctl.scala 2223:135] - wire _T_842 = io_update_hit_bit_r[0] | io_mtdata1_t_0[8]; // @[dec_tlu_ctl.scala 2224:139] - wire [9:0] _T_845 = {io_mtdata1_t_0[9],_T_842,io_mtdata1_t_0[7:0]}; // @[Cat.scala 29:58] - wire _T_851 = io_update_hit_bit_r[1] | io_mtdata1_t_1[8]; // @[dec_tlu_ctl.scala 2224:139] - wire [9:0] _T_854 = {io_mtdata1_t_1[9],_T_851,io_mtdata1_t_1[7:0]}; // @[Cat.scala 29:58] - wire _T_860 = io_update_hit_bit_r[2] | io_mtdata1_t_2[8]; // @[dec_tlu_ctl.scala 2224:139] - wire [9:0] _T_863 = {io_mtdata1_t_2[9],_T_860,io_mtdata1_t_2[7:0]}; // @[Cat.scala 29:58] - wire _T_869 = io_update_hit_bit_r[3] | io_mtdata1_t_3[8]; // @[dec_tlu_ctl.scala 2224:139] - wire [9:0] _T_872 = {io_mtdata1_t_3[9],_T_869,io_mtdata1_t_3[7:0]}; // @[Cat.scala 29:58] + wire _T_786 = io_dec_csr_wrdata_r[27] & io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2217:46] + wire tdata_action = _T_786 & io_dec_csr_wrdata_r[12]; // @[dec_tlu_ctl.scala 2217:69] + wire [9:0] tdata_wrdata_r = {_T_786,io_dec_csr_wrdata_r[20:19],tdata_action,io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7:6],tdata_opcode,io_dec_csr_wrdata_r[1],tdata_load}; // @[Cat.scala 29:58] + wire _T_801 = io_dec_csr_wraddr_r == 12'h7a1; // @[dec_tlu_ctl.scala 2223:99] + wire _T_802 = io_dec_csr_wen_r_mod & _T_801; // @[dec_tlu_ctl.scala 2223:70] + wire _T_803 = mtsel == 2'h0; // @[dec_tlu_ctl.scala 2223:121] + wire _T_804 = _T_802 & _T_803; // @[dec_tlu_ctl.scala 2223:112] + wire _T_806 = ~io_mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 2223:138] + wire _T_807 = _T_806 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] + wire wr_mtdata1_t_r_0 = _T_804 & _T_807; // @[dec_tlu_ctl.scala 2223:135] + wire _T_812 = mtsel == 2'h1; // @[dec_tlu_ctl.scala 2223:121] + wire _T_813 = _T_802 & _T_812; // @[dec_tlu_ctl.scala 2223:112] + wire _T_815 = ~io_mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 2223:138] + wire _T_816 = _T_815 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] + wire wr_mtdata1_t_r_1 = _T_813 & _T_816; // @[dec_tlu_ctl.scala 2223:135] + wire _T_821 = mtsel == 2'h2; // @[dec_tlu_ctl.scala 2223:121] + wire _T_822 = _T_802 & _T_821; // @[dec_tlu_ctl.scala 2223:112] + wire _T_824 = ~io_mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 2223:138] + wire _T_825 = _T_824 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] + wire wr_mtdata1_t_r_2 = _T_822 & _T_825; // @[dec_tlu_ctl.scala 2223:135] + wire _T_830 = mtsel == 2'h3; // @[dec_tlu_ctl.scala 2223:121] + wire _T_831 = _T_802 & _T_830; // @[dec_tlu_ctl.scala 2223:112] + wire _T_833 = ~io_mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 2223:138] + wire _T_834 = _T_833 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] + wire wr_mtdata1_t_r_3 = _T_831 & _T_834; // @[dec_tlu_ctl.scala 2223:135] + wire _T_840 = io_update_hit_bit_r[0] | io_mtdata1_t_0[8]; // @[dec_tlu_ctl.scala 2224:139] + wire [9:0] _T_843 = {io_mtdata1_t_0[9],_T_840,io_mtdata1_t_0[7:0]}; // @[Cat.scala 29:58] + wire _T_849 = io_update_hit_bit_r[1] | io_mtdata1_t_1[8]; // @[dec_tlu_ctl.scala 2224:139] + wire [9:0] _T_852 = {io_mtdata1_t_1[9],_T_849,io_mtdata1_t_1[7:0]}; // @[Cat.scala 29:58] + wire _T_858 = io_update_hit_bit_r[2] | io_mtdata1_t_2[8]; // @[dec_tlu_ctl.scala 2224:139] + wire [9:0] _T_861 = {io_mtdata1_t_2[9],_T_858,io_mtdata1_t_2[7:0]}; // @[Cat.scala 29:58] + wire _T_867 = io_update_hit_bit_r[3] | io_mtdata1_t_3[8]; // @[dec_tlu_ctl.scala 2224:139] + wire [9:0] _T_870 = {io_mtdata1_t_3[9],_T_867,io_mtdata1_t_3[7:0]}; // @[Cat.scala 29:58] + reg [9:0] _T_872; // @[dec_tlu_ctl.scala 2226:74] + reg [9:0] _T_873; // @[dec_tlu_ctl.scala 2226:74] reg [9:0] _T_874; // @[dec_tlu_ctl.scala 2226:74] reg [9:0] _T_875; // @[dec_tlu_ctl.scala 2226:74] - reg [9:0] _T_876; // @[dec_tlu_ctl.scala 2226:74] - reg [9:0] _T_877; // @[dec_tlu_ctl.scala 2226:74] - wire [31:0] _T_892 = {4'h2,io_mtdata1_t_0[9],6'h1f,io_mtdata1_t_0[8:7],6'h0,io_mtdata1_t_0[6:5],3'h0,io_mtdata1_t_0[4:3],3'h0,io_mtdata1_t_0[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_907 = {4'h2,io_mtdata1_t_1[9],6'h1f,io_mtdata1_t_1[8:7],6'h0,io_mtdata1_t_1[6:5],3'h0,io_mtdata1_t_1[4:3],3'h0,io_mtdata1_t_1[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_922 = {4'h2,io_mtdata1_t_2[9],6'h1f,io_mtdata1_t_2[8:7],6'h0,io_mtdata1_t_2[6:5],3'h0,io_mtdata1_t_2[4:3],3'h0,io_mtdata1_t_2[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_937 = {4'h2,io_mtdata1_t_3[9],6'h1f,io_mtdata1_t_3[8:7],6'h0,io_mtdata1_t_3[6:5],3'h0,io_mtdata1_t_3[4:3],3'h0,io_mtdata1_t_3[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_938 = _T_805 ? _T_892 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_939 = _T_814 ? _T_907 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_940 = _T_823 ? _T_922 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_941 = _T_832 ? _T_937 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_942 = _T_938 | _T_939; // @[Mux.scala 27:72] - wire [31:0] _T_943 = _T_942 | _T_940; // @[Mux.scala 27:72] - wire [31:0] mtdata1_tsel_out = _T_943 | _T_941; // @[Mux.scala 27:72] - wire _T_970 = io_dec_csr_wraddr_r == 12'h7a2; // @[dec_tlu_ctl.scala 2243:98] - wire _T_971 = io_dec_csr_wen_r_mod & _T_970; // @[dec_tlu_ctl.scala 2243:69] - wire _T_973 = _T_971 & _T_805; // @[dec_tlu_ctl.scala 2243:111] - wire _T_982 = _T_971 & _T_814; // @[dec_tlu_ctl.scala 2243:111] - wire _T_991 = _T_971 & _T_823; // @[dec_tlu_ctl.scala 2243:111] - wire _T_1000 = _T_971 & _T_832; // @[dec_tlu_ctl.scala 2243:111] + wire [31:0] _T_890 = {4'h2,io_mtdata1_t_0[9],6'h1f,io_mtdata1_t_0[8:7],6'h0,io_mtdata1_t_0[6:5],3'h0,io_mtdata1_t_0[4:3],3'h0,io_mtdata1_t_0[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_905 = {4'h2,io_mtdata1_t_1[9],6'h1f,io_mtdata1_t_1[8:7],6'h0,io_mtdata1_t_1[6:5],3'h0,io_mtdata1_t_1[4:3],3'h0,io_mtdata1_t_1[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_920 = {4'h2,io_mtdata1_t_2[9],6'h1f,io_mtdata1_t_2[8:7],6'h0,io_mtdata1_t_2[6:5],3'h0,io_mtdata1_t_2[4:3],3'h0,io_mtdata1_t_2[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_935 = {4'h2,io_mtdata1_t_3[9],6'h1f,io_mtdata1_t_3[8:7],6'h0,io_mtdata1_t_3[6:5],3'h0,io_mtdata1_t_3[4:3],3'h0,io_mtdata1_t_3[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_936 = _T_803 ? _T_890 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_937 = _T_812 ? _T_905 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_938 = _T_821 ? _T_920 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_939 = _T_830 ? _T_935 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_940 = _T_936 | _T_937; // @[Mux.scala 27:72] + wire [31:0] _T_941 = _T_940 | _T_938; // @[Mux.scala 27:72] + wire [31:0] mtdata1_tsel_out = _T_941 | _T_939; // @[Mux.scala 27:72] + wire _T_968 = io_dec_csr_wraddr_r == 12'h7a2; // @[dec_tlu_ctl.scala 2243:98] + wire _T_969 = io_dec_csr_wen_r_mod & _T_968; // @[dec_tlu_ctl.scala 2243:69] + wire _T_971 = _T_969 & _T_803; // @[dec_tlu_ctl.scala 2243:111] + wire _T_980 = _T_969 & _T_812; // @[dec_tlu_ctl.scala 2243:111] + wire _T_989 = _T_969 & _T_821; // @[dec_tlu_ctl.scala 2243:111] + wire _T_998 = _T_969 & _T_830; // @[dec_tlu_ctl.scala 2243:111] reg [31:0] mtdata2_t_0; // @[lib.scala 358:16] reg [31:0] mtdata2_t_1; // @[lib.scala 358:16] reg [31:0] mtdata2_t_2; // @[lib.scala 358:16] reg [31:0] mtdata2_t_3; // @[lib.scala 358:16] - wire [31:0] _T_1017 = _T_805 ? mtdata2_t_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1018 = _T_814 ? mtdata2_t_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1019 = _T_823 ? mtdata2_t_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1020 = _T_832 ? mtdata2_t_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1021 = _T_1017 | _T_1018; // @[Mux.scala 27:72] - wire [31:0] _T_1022 = _T_1021 | _T_1019; // @[Mux.scala 27:72] - wire [31:0] mtdata2_tsel_out = _T_1022 | _T_1020; // @[Mux.scala 27:72] - wire [3:0] _T_1025 = io_tlu_i0_commit_cmt ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] pmu_i0_itype_qual = io_dec_tlu_packet_r_pmu_i0_itype & _T_1025; // @[dec_tlu_ctl.scala 2268:59] - wire _T_1027 = ~mcountinhibit[3]; // @[dec_tlu_ctl.scala 2274:24] + wire [31:0] _T_1015 = _T_803 ? mtdata2_t_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1016 = _T_812 ? mtdata2_t_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1017 = _T_821 ? mtdata2_t_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1018 = _T_830 ? mtdata2_t_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1019 = _T_1015 | _T_1016; // @[Mux.scala 27:72] + wire [31:0] _T_1020 = _T_1019 | _T_1017; // @[Mux.scala 27:72] + wire [31:0] mtdata2_tsel_out = _T_1020 | _T_1018; // @[Mux.scala 27:72] + wire [3:0] _T_1023 = io_tlu_i0_commit_cmt ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] pmu_i0_itype_qual = io_dec_tlu_packet_r_pmu_i0_itype & _T_1023; // @[dec_tlu_ctl.scala 2268:59] + wire _T_1025 = ~mcountinhibit[3]; // @[dec_tlu_ctl.scala 2274:24] reg [9:0] mhpme3; // @[Reg.scala 27:20] - wire _T_1028 = mhpme3 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1030 = mhpme3 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1032 = mhpme3 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1034 = mhpme3 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1036 = ~io_illegal_r; // @[dec_tlu_ctl.scala 2278:96] - wire _T_1037 = io_tlu_i0_commit_cmt & _T_1036; // @[dec_tlu_ctl.scala 2278:94] - wire _T_1038 = mhpme3 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1040 = ~io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2279:96] - wire _T_1041 = io_tlu_i0_commit_cmt & _T_1040; // @[dec_tlu_ctl.scala 2279:94] - wire _T_1043 = _T_1041 & _T_1036; // @[dec_tlu_ctl.scala 2279:115] - wire _T_1044 = mhpme3 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1046 = io_tlu_i0_commit_cmt & io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2280:94] - wire _T_1048 = _T_1046 & _T_1036; // @[dec_tlu_ctl.scala 2280:115] - wire _T_1049 = mhpme3 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1051 = mhpme3 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1053 = mhpme3 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1055 = mhpme3 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1057 = pmu_i0_itype_qual == 4'h1; // @[dec_tlu_ctl.scala 2284:91] - wire _T_1058 = mhpme3 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1060 = io_dec_tlu_packet_r_pmu_divide & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2285:105] - wire _T_1061 = mhpme3 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1063 = pmu_i0_itype_qual == 4'h2; // @[dec_tlu_ctl.scala 2286:91] - wire _T_1064 = mhpme3 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1066 = pmu_i0_itype_qual == 4'h3; // @[dec_tlu_ctl.scala 2287:91] - wire _T_1067 = mhpme3 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1070 = _T_1063 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2288:100] - wire _T_1071 = mhpme3 == 10'he; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1075 = _T_1066 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2289:101] - wire _T_1076 = mhpme3 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1078 = pmu_i0_itype_qual == 4'h4; // @[dec_tlu_ctl.scala 2290:89] - wire _T_1079 = mhpme3 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1081 = pmu_i0_itype_qual == 4'h5; // @[dec_tlu_ctl.scala 2291:89] - wire _T_1082 = mhpme3 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1084 = pmu_i0_itype_qual == 4'h6; // @[dec_tlu_ctl.scala 2292:89] - wire _T_1085 = mhpme3 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1087 = pmu_i0_itype_qual == 4'h7; // @[dec_tlu_ctl.scala 2293:89] - wire _T_1088 = mhpme3 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1090 = pmu_i0_itype_qual == 4'h8; // @[dec_tlu_ctl.scala 2294:89] - wire _T_1091 = mhpme3 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1093 = pmu_i0_itype_qual == 4'h9; // @[dec_tlu_ctl.scala 2295:89] - wire _T_1094 = mhpme3 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1096 = pmu_i0_itype_qual == 4'ha; // @[dec_tlu_ctl.scala 2296:89] - wire _T_1097 = mhpme3 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1099 = pmu_i0_itype_qual == 4'hb; // @[dec_tlu_ctl.scala 2297:89] - wire _T_1100 = mhpme3 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1102 = pmu_i0_itype_qual == 4'hc; // @[dec_tlu_ctl.scala 2298:89] - wire _T_1103 = mhpme3 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1105 = pmu_i0_itype_qual == 4'hd; // @[dec_tlu_ctl.scala 2299:89] - wire _T_1106 = pmu_i0_itype_qual == 4'he; // @[dec_tlu_ctl.scala 2299:122] - wire _T_1107 = _T_1105 | _T_1106; // @[dec_tlu_ctl.scala 2299:101] - wire _T_1108 = mhpme3 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1110 = io_exu_pmu_i0_br_misp & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2300:95] - wire _T_1111 = mhpme3 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1113 = io_exu_pmu_i0_br_ataken & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2301:97] - wire _T_1114 = mhpme3 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1116 = io_dec_tlu_packet_r_pmu_i0_br_unpred & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2302:110] - wire _T_1117 = mhpme3 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] - wire _T_1121 = mhpme3 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1123 = mhpme3 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1125 = mhpme3 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1127 = mhpme3 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1129 = mhpme3 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1131 = mhpme3 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1133 = io_i0_exception_valid_r | io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 2310:98] - wire _T_1134 = _T_1133 | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 2310:120] - wire _T_1135 = mhpme3 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1137 = io_take_timer_int | io_take_int_timer0_int; // @[dec_tlu_ctl.scala 2311:92] - wire _T_1138 = _T_1137 | io_take_int_timer1_int; // @[dec_tlu_ctl.scala 2311:117] - wire _T_1139 = mhpme3 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1141 = mhpme3 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1143 = mhpme3 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1145 = io_dec_tlu_br0_error_r | io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 2314:97] - wire _T_1146 = _T_1145 & io_rfpc_i0_r; // @[dec_tlu_ctl.scala 2314:129] - wire _T_1147 = mhpme3 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1149 = mhpme3 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1151 = mhpme3 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1153 = mhpme3 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1155 = mhpme3 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1157 = mhpme3 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] - wire _T_1159 = mhpme3 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] - wire _T_1161 = mhpme3 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] - wire _T_1165 = ~io_mstatus[0]; // @[dec_tlu_ctl.scala 2322:73] - wire _T_1166 = mhpme3 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] - wire [5:0] _T_1173 = io_mip & mie; // @[dec_tlu_ctl.scala 2323:113] - wire _T_1174 = |_T_1173; // @[dec_tlu_ctl.scala 2323:125] - wire _T_1175 = _T_1165 & _T_1174; // @[dec_tlu_ctl.scala 2323:98] - wire _T_1176 = mhpme3 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] - wire _T_1178 = pmu_i0_itype_qual == 4'hf; // @[dec_tlu_ctl.scala 2324:91] - wire _T_1179 = mhpme3 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] - wire _T_1181 = io_tlu_i0_commit_cmt & io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 2325:94] - wire _T_1182 = mhpme3 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] - wire _T_1184 = io_tlu_i0_commit_cmt & io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 2326:94] - wire _T_1185 = mhpme3 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] - wire _T_1187 = mhpme3 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] - wire _T_1189 = mhpme3 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] - wire _T_1191 = mhpme3 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] - wire _T_1193 = mhpme3 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] - wire _T_1196 = _T_1030 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_1197 = _T_1032 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_1198 = _T_1034 & _T_1037; // @[Mux.scala 27:72] - wire _T_1199 = _T_1038 & _T_1043; // @[Mux.scala 27:72] - wire _T_1200 = _T_1044 & _T_1048; // @[Mux.scala 27:72] - wire _T_1201 = _T_1049 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_1202 = _T_1051 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_1203 = _T_1053 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_1204 = _T_1055 & _T_1057; // @[Mux.scala 27:72] - wire _T_1205 = _T_1058 & _T_1060; // @[Mux.scala 27:72] - wire _T_1206 = _T_1061 & _T_1063; // @[Mux.scala 27:72] - wire _T_1207 = _T_1064 & _T_1066; // @[Mux.scala 27:72] - wire _T_1208 = _T_1067 & _T_1070; // @[Mux.scala 27:72] - wire _T_1209 = _T_1071 & _T_1075; // @[Mux.scala 27:72] - wire _T_1210 = _T_1076 & _T_1078; // @[Mux.scala 27:72] - wire _T_1211 = _T_1079 & _T_1081; // @[Mux.scala 27:72] - wire _T_1212 = _T_1082 & _T_1084; // @[Mux.scala 27:72] - wire _T_1213 = _T_1085 & _T_1087; // @[Mux.scala 27:72] - wire _T_1214 = _T_1088 & _T_1090; // @[Mux.scala 27:72] - wire _T_1215 = _T_1091 & _T_1093; // @[Mux.scala 27:72] - wire _T_1216 = _T_1094 & _T_1096; // @[Mux.scala 27:72] - wire _T_1217 = _T_1097 & _T_1099; // @[Mux.scala 27:72] - wire _T_1218 = _T_1100 & _T_1102; // @[Mux.scala 27:72] - wire _T_1219 = _T_1103 & _T_1107; // @[Mux.scala 27:72] - wire _T_1220 = _T_1108 & _T_1110; // @[Mux.scala 27:72] - wire _T_1221 = _T_1111 & _T_1113; // @[Mux.scala 27:72] - wire _T_1222 = _T_1114 & _T_1116; // @[Mux.scala 27:72] - wire _T_1223 = _T_1117 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_1225 = _T_1121 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_1226 = _T_1123 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_1227 = _T_1125 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_1228 = _T_1127 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_1229 = _T_1129 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_1230 = _T_1131 & _T_1134; // @[Mux.scala 27:72] - wire _T_1231 = _T_1135 & _T_1138; // @[Mux.scala 27:72] - wire _T_1232 = _T_1139 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_1233 = _T_1141 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_1234 = _T_1143 & _T_1146; // @[Mux.scala 27:72] - wire _T_1235 = _T_1147 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1236 = _T_1149 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1237 = _T_1151 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_1238 = _T_1153 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1239 = _T_1155 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1240 = _T_1157 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1241 = _T_1159 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1242 = _T_1161 & _T_1165; // @[Mux.scala 27:72] - wire _T_1243 = _T_1166 & _T_1175; // @[Mux.scala 27:72] - wire _T_1244 = _T_1176 & _T_1178; // @[Mux.scala 27:72] - wire _T_1245 = _T_1179 & _T_1181; // @[Mux.scala 27:72] - wire _T_1246 = _T_1182 & _T_1184; // @[Mux.scala 27:72] - wire _T_1247 = _T_1185 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_1248 = _T_1187 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_1249 = _T_1189 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_1250 = _T_1191 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_1251 = _T_1193 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_1252 = _T_1028 | _T_1196; // @[Mux.scala 27:72] + wire _T_1026 = mhpme3 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1028 = mhpme3 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1030 = mhpme3 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1032 = mhpme3 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1034 = ~io_illegal_r; // @[dec_tlu_ctl.scala 2278:96] + wire _T_1035 = io_tlu_i0_commit_cmt & _T_1034; // @[dec_tlu_ctl.scala 2278:94] + wire _T_1036 = mhpme3 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1038 = ~io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2279:96] + wire _T_1039 = io_tlu_i0_commit_cmt & _T_1038; // @[dec_tlu_ctl.scala 2279:94] + wire _T_1041 = _T_1039 & _T_1034; // @[dec_tlu_ctl.scala 2279:115] + wire _T_1042 = mhpme3 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1044 = io_tlu_i0_commit_cmt & io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2280:94] + wire _T_1046 = _T_1044 & _T_1034; // @[dec_tlu_ctl.scala 2280:115] + wire _T_1047 = mhpme3 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1049 = mhpme3 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1051 = mhpme3 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1053 = mhpme3 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1055 = pmu_i0_itype_qual == 4'h1; // @[dec_tlu_ctl.scala 2284:91] + wire _T_1056 = mhpme3 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1058 = io_dec_tlu_packet_r_pmu_divide & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2285:105] + wire _T_1059 = mhpme3 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1061 = pmu_i0_itype_qual == 4'h2; // @[dec_tlu_ctl.scala 2286:91] + wire _T_1062 = mhpme3 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1064 = pmu_i0_itype_qual == 4'h3; // @[dec_tlu_ctl.scala 2287:91] + wire _T_1065 = mhpme3 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1068 = _T_1061 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2288:100] + wire _T_1069 = mhpme3 == 10'he; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1073 = _T_1064 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2289:101] + wire _T_1074 = mhpme3 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1076 = pmu_i0_itype_qual == 4'h4; // @[dec_tlu_ctl.scala 2290:89] + wire _T_1077 = mhpme3 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1079 = pmu_i0_itype_qual == 4'h5; // @[dec_tlu_ctl.scala 2291:89] + wire _T_1080 = mhpme3 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1082 = pmu_i0_itype_qual == 4'h6; // @[dec_tlu_ctl.scala 2292:89] + wire _T_1083 = mhpme3 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1085 = pmu_i0_itype_qual == 4'h7; // @[dec_tlu_ctl.scala 2293:89] + wire _T_1086 = mhpme3 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1088 = pmu_i0_itype_qual == 4'h8; // @[dec_tlu_ctl.scala 2294:89] + wire _T_1089 = mhpme3 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1091 = pmu_i0_itype_qual == 4'h9; // @[dec_tlu_ctl.scala 2295:89] + wire _T_1092 = mhpme3 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1094 = pmu_i0_itype_qual == 4'ha; // @[dec_tlu_ctl.scala 2296:89] + wire _T_1095 = mhpme3 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1097 = pmu_i0_itype_qual == 4'hb; // @[dec_tlu_ctl.scala 2297:89] + wire _T_1098 = mhpme3 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1100 = pmu_i0_itype_qual == 4'hc; // @[dec_tlu_ctl.scala 2298:89] + wire _T_1101 = mhpme3 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1103 = pmu_i0_itype_qual == 4'hd; // @[dec_tlu_ctl.scala 2299:89] + wire _T_1104 = pmu_i0_itype_qual == 4'he; // @[dec_tlu_ctl.scala 2299:122] + wire _T_1105 = _T_1103 | _T_1104; // @[dec_tlu_ctl.scala 2299:101] + wire _T_1106 = mhpme3 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1108 = io_exu_pmu_i0_br_misp & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2300:95] + wire _T_1109 = mhpme3 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1111 = io_exu_pmu_i0_br_ataken & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2301:97] + wire _T_1112 = mhpme3 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] + wire _T_1114 = io_dec_tlu_packet_r_pmu_i0_br_unpred & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2302:110] + wire _T_1115 = mhpme3 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1119 = mhpme3 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1121 = mhpme3 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1123 = mhpme3 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1125 = mhpme3 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1127 = mhpme3 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1129 = mhpme3 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1131 = io_i0_exception_valid_r | io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 2310:98] + wire _T_1132 = _T_1131 | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 2310:120] + wire _T_1133 = mhpme3 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1135 = io_take_timer_int | io_take_int_timer0_int; // @[dec_tlu_ctl.scala 2311:92] + wire _T_1136 = _T_1135 | io_take_int_timer1_int; // @[dec_tlu_ctl.scala 2311:117] + wire _T_1137 = mhpme3 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1139 = mhpme3 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1141 = mhpme3 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1143 = io_dec_tlu_br0_error_r | io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 2314:97] + wire _T_1144 = _T_1143 & io_rfpc_i0_r; // @[dec_tlu_ctl.scala 2314:129] + wire _T_1145 = mhpme3 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1147 = mhpme3 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] + wire _T_1149 = mhpme3 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] + wire _T_1151 = mhpme3 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] + wire _T_1153 = mhpme3 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] + wire _T_1155 = mhpme3 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] + wire _T_1157 = mhpme3 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] + wire _T_1159 = mhpme3 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] + wire _T_1163 = ~io_mstatus[0]; // @[dec_tlu_ctl.scala 2322:73] + wire _T_1164 = mhpme3 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] + wire [5:0] _T_1171 = io_mip & mie; // @[dec_tlu_ctl.scala 2323:113] + wire _T_1172 = |_T_1171; // @[dec_tlu_ctl.scala 2323:125] + wire _T_1173 = _T_1163 & _T_1172; // @[dec_tlu_ctl.scala 2323:98] + wire _T_1174 = mhpme3 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] + wire _T_1176 = pmu_i0_itype_qual == 4'hf; // @[dec_tlu_ctl.scala 2324:91] + wire _T_1177 = mhpme3 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] + wire _T_1179 = io_tlu_i0_commit_cmt & io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 2325:94] + wire _T_1180 = mhpme3 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] + wire _T_1182 = io_tlu_i0_commit_cmt & io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 2326:94] + wire _T_1183 = mhpme3 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] + wire _T_1185 = mhpme3 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] + wire _T_1187 = mhpme3 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] + wire _T_1189 = mhpme3 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] + wire _T_1191 = mhpme3 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] + wire _T_1194 = _T_1028 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1195 = _T_1030 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1196 = _T_1032 & _T_1035; // @[Mux.scala 27:72] + wire _T_1197 = _T_1036 & _T_1041; // @[Mux.scala 27:72] + wire _T_1198 = _T_1042 & _T_1046; // @[Mux.scala 27:72] + wire _T_1199 = _T_1047 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1200 = _T_1049 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1201 = _T_1051 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1202 = _T_1053 & _T_1055; // @[Mux.scala 27:72] + wire _T_1203 = _T_1056 & _T_1058; // @[Mux.scala 27:72] + wire _T_1204 = _T_1059 & _T_1061; // @[Mux.scala 27:72] + wire _T_1205 = _T_1062 & _T_1064; // @[Mux.scala 27:72] + wire _T_1206 = _T_1065 & _T_1068; // @[Mux.scala 27:72] + wire _T_1207 = _T_1069 & _T_1073; // @[Mux.scala 27:72] + wire _T_1208 = _T_1074 & _T_1076; // @[Mux.scala 27:72] + wire _T_1209 = _T_1077 & _T_1079; // @[Mux.scala 27:72] + wire _T_1210 = _T_1080 & _T_1082; // @[Mux.scala 27:72] + wire _T_1211 = _T_1083 & _T_1085; // @[Mux.scala 27:72] + wire _T_1212 = _T_1086 & _T_1088; // @[Mux.scala 27:72] + wire _T_1213 = _T_1089 & _T_1091; // @[Mux.scala 27:72] + wire _T_1214 = _T_1092 & _T_1094; // @[Mux.scala 27:72] + wire _T_1215 = _T_1095 & _T_1097; // @[Mux.scala 27:72] + wire _T_1216 = _T_1098 & _T_1100; // @[Mux.scala 27:72] + wire _T_1217 = _T_1101 & _T_1105; // @[Mux.scala 27:72] + wire _T_1218 = _T_1106 & _T_1108; // @[Mux.scala 27:72] + wire _T_1219 = _T_1109 & _T_1111; // @[Mux.scala 27:72] + wire _T_1220 = _T_1112 & _T_1114; // @[Mux.scala 27:72] + wire _T_1221 = _T_1115 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1223 = _T_1119 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1224 = _T_1121 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1225 = _T_1123 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1226 = _T_1125 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1227 = _T_1127 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1228 = _T_1129 & _T_1132; // @[Mux.scala 27:72] + wire _T_1229 = _T_1133 & _T_1136; // @[Mux.scala 27:72] + wire _T_1230 = _T_1137 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1231 = _T_1139 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1232 = _T_1141 & _T_1144; // @[Mux.scala 27:72] + wire _T_1233 = _T_1145 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1234 = _T_1147 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1235 = _T_1149 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1236 = _T_1151 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1237 = _T_1153 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1238 = _T_1155 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1239 = _T_1157 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1240 = _T_1159 & _T_1163; // @[Mux.scala 27:72] + wire _T_1241 = _T_1164 & _T_1173; // @[Mux.scala 27:72] + wire _T_1242 = _T_1174 & _T_1176; // @[Mux.scala 27:72] + wire _T_1243 = _T_1177 & _T_1179; // @[Mux.scala 27:72] + wire _T_1244 = _T_1180 & _T_1182; // @[Mux.scala 27:72] + wire _T_1245 = _T_1183 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1246 = _T_1185 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1247 = _T_1187 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1248 = _T_1189 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1249 = _T_1191 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1250 = _T_1026 | _T_1194; // @[Mux.scala 27:72] + wire _T_1251 = _T_1250 | _T_1195; // @[Mux.scala 27:72] + wire _T_1252 = _T_1251 | _T_1196; // @[Mux.scala 27:72] wire _T_1253 = _T_1252 | _T_1197; // @[Mux.scala 27:72] wire _T_1254 = _T_1253 | _T_1198; // @[Mux.scala 27:72] wire _T_1255 = _T_1254 | _T_1199; // @[Mux.scala 27:72] @@ -51584,9 +51586,9 @@ module csr_tlu( wire _T_1275 = _T_1274 | _T_1219; // @[Mux.scala 27:72] wire _T_1276 = _T_1275 | _T_1220; // @[Mux.scala 27:72] wire _T_1277 = _T_1276 | _T_1221; // @[Mux.scala 27:72] - wire _T_1278 = _T_1277 | _T_1222; // @[Mux.scala 27:72] + wire _T_1278 = _T_1277 | _T_1201; // @[Mux.scala 27:72] wire _T_1279 = _T_1278 | _T_1223; // @[Mux.scala 27:72] - wire _T_1280 = _T_1279 | _T_1203; // @[Mux.scala 27:72] + wire _T_1280 = _T_1279 | _T_1224; // @[Mux.scala 27:72] wire _T_1281 = _T_1280 | _T_1225; // @[Mux.scala 27:72] wire _T_1282 = _T_1281 | _T_1226; // @[Mux.scala 27:72] wire _T_1283 = _T_1282 | _T_1227; // @[Mux.scala 27:72] @@ -51612,123 +51614,123 @@ module csr_tlu( wire _T_1303 = _T_1302 | _T_1247; // @[Mux.scala 27:72] wire _T_1304 = _T_1303 | _T_1248; // @[Mux.scala 27:72] wire _T_1305 = _T_1304 | _T_1249; // @[Mux.scala 27:72] - wire _T_1306 = _T_1305 | _T_1250; // @[Mux.scala 27:72] - wire _T_1307 = _T_1306 | _T_1251; // @[Mux.scala 27:72] - wire mhpmc_inc_r_0 = _T_1027 & _T_1307; // @[dec_tlu_ctl.scala 2274:44] - wire _T_1311 = ~mcountinhibit[4]; // @[dec_tlu_ctl.scala 2274:24] + wire mhpmc_inc_r_0 = _T_1025 & _T_1305; // @[dec_tlu_ctl.scala 2274:44] + wire _T_1309 = ~mcountinhibit[4]; // @[dec_tlu_ctl.scala 2274:24] reg [9:0] mhpme4; // @[Reg.scala 27:20] - wire _T_1312 = mhpme4 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1314 = mhpme4 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1316 = mhpme4 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1318 = mhpme4 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1322 = mhpme4 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1328 = mhpme4 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1333 = mhpme4 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1335 = mhpme4 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1337 = mhpme4 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1339 = mhpme4 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1342 = mhpme4 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1345 = mhpme4 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1348 = mhpme4 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1351 = mhpme4 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1355 = mhpme4 == 10'he; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1360 = mhpme4 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1363 = mhpme4 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1366 = mhpme4 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1369 = mhpme4 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1372 = mhpme4 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1375 = mhpme4 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1378 = mhpme4 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1381 = mhpme4 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1384 = mhpme4 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1387 = mhpme4 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1392 = mhpme4 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1395 = mhpme4 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1398 = mhpme4 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1401 = mhpme4 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] - wire _T_1405 = mhpme4 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1407 = mhpme4 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1409 = mhpme4 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1411 = mhpme4 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1413 = mhpme4 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1415 = mhpme4 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1419 = mhpme4 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1423 = mhpme4 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1425 = mhpme4 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1427 = mhpme4 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1431 = mhpme4 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1433 = mhpme4 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1435 = mhpme4 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1437 = mhpme4 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1439 = mhpme4 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1441 = mhpme4 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] - wire _T_1443 = mhpme4 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] - wire _T_1445 = mhpme4 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] - wire _T_1450 = mhpme4 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] - wire _T_1460 = mhpme4 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] - wire _T_1463 = mhpme4 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] - wire _T_1466 = mhpme4 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] - wire _T_1469 = mhpme4 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] - wire _T_1471 = mhpme4 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] - wire _T_1473 = mhpme4 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] - wire _T_1475 = mhpme4 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] - wire _T_1477 = mhpme4 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] - wire _T_1480 = _T_1314 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_1481 = _T_1316 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_1482 = _T_1318 & _T_1037; // @[Mux.scala 27:72] - wire _T_1483 = _T_1322 & _T_1043; // @[Mux.scala 27:72] - wire _T_1484 = _T_1328 & _T_1048; // @[Mux.scala 27:72] - wire _T_1485 = _T_1333 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_1486 = _T_1335 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_1487 = _T_1337 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_1488 = _T_1339 & _T_1057; // @[Mux.scala 27:72] - wire _T_1489 = _T_1342 & _T_1060; // @[Mux.scala 27:72] - wire _T_1490 = _T_1345 & _T_1063; // @[Mux.scala 27:72] - wire _T_1491 = _T_1348 & _T_1066; // @[Mux.scala 27:72] - wire _T_1492 = _T_1351 & _T_1070; // @[Mux.scala 27:72] - wire _T_1493 = _T_1355 & _T_1075; // @[Mux.scala 27:72] - wire _T_1494 = _T_1360 & _T_1078; // @[Mux.scala 27:72] - wire _T_1495 = _T_1363 & _T_1081; // @[Mux.scala 27:72] - wire _T_1496 = _T_1366 & _T_1084; // @[Mux.scala 27:72] - wire _T_1497 = _T_1369 & _T_1087; // @[Mux.scala 27:72] - wire _T_1498 = _T_1372 & _T_1090; // @[Mux.scala 27:72] - wire _T_1499 = _T_1375 & _T_1093; // @[Mux.scala 27:72] - wire _T_1500 = _T_1378 & _T_1096; // @[Mux.scala 27:72] - wire _T_1501 = _T_1381 & _T_1099; // @[Mux.scala 27:72] - wire _T_1502 = _T_1384 & _T_1102; // @[Mux.scala 27:72] - wire _T_1503 = _T_1387 & _T_1107; // @[Mux.scala 27:72] - wire _T_1504 = _T_1392 & _T_1110; // @[Mux.scala 27:72] - wire _T_1505 = _T_1395 & _T_1113; // @[Mux.scala 27:72] - wire _T_1506 = _T_1398 & _T_1116; // @[Mux.scala 27:72] - wire _T_1507 = _T_1401 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_1509 = _T_1405 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_1510 = _T_1407 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_1511 = _T_1409 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_1512 = _T_1411 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_1513 = _T_1413 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_1514 = _T_1415 & _T_1134; // @[Mux.scala 27:72] - wire _T_1515 = _T_1419 & _T_1138; // @[Mux.scala 27:72] - wire _T_1516 = _T_1423 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_1517 = _T_1425 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_1518 = _T_1427 & _T_1146; // @[Mux.scala 27:72] - wire _T_1519 = _T_1431 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1520 = _T_1433 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1521 = _T_1435 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_1522 = _T_1437 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1523 = _T_1439 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1524 = _T_1441 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1525 = _T_1443 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1526 = _T_1445 & _T_1165; // @[Mux.scala 27:72] - wire _T_1527 = _T_1450 & _T_1175; // @[Mux.scala 27:72] - wire _T_1528 = _T_1460 & _T_1178; // @[Mux.scala 27:72] - wire _T_1529 = _T_1463 & _T_1181; // @[Mux.scala 27:72] - wire _T_1530 = _T_1466 & _T_1184; // @[Mux.scala 27:72] - wire _T_1531 = _T_1469 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_1532 = _T_1471 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_1533 = _T_1473 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_1534 = _T_1475 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_1535 = _T_1477 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_1536 = _T_1312 | _T_1480; // @[Mux.scala 27:72] + wire _T_1310 = mhpme4 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1312 = mhpme4 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1314 = mhpme4 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1316 = mhpme4 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1320 = mhpme4 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1326 = mhpme4 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1331 = mhpme4 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1333 = mhpme4 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1335 = mhpme4 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1337 = mhpme4 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1340 = mhpme4 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1343 = mhpme4 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1346 = mhpme4 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1349 = mhpme4 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1353 = mhpme4 == 10'he; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1358 = mhpme4 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1361 = mhpme4 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1364 = mhpme4 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1367 = mhpme4 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1370 = mhpme4 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1373 = mhpme4 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1376 = mhpme4 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1379 = mhpme4 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1382 = mhpme4 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1385 = mhpme4 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1390 = mhpme4 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1393 = mhpme4 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1396 = mhpme4 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] + wire _T_1399 = mhpme4 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1403 = mhpme4 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1405 = mhpme4 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1407 = mhpme4 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1409 = mhpme4 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1411 = mhpme4 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1413 = mhpme4 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1417 = mhpme4 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1421 = mhpme4 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1423 = mhpme4 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1425 = mhpme4 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1429 = mhpme4 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1431 = mhpme4 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] + wire _T_1433 = mhpme4 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] + wire _T_1435 = mhpme4 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] + wire _T_1437 = mhpme4 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] + wire _T_1439 = mhpme4 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] + wire _T_1441 = mhpme4 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] + wire _T_1443 = mhpme4 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] + wire _T_1448 = mhpme4 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] + wire _T_1458 = mhpme4 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] + wire _T_1461 = mhpme4 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] + wire _T_1464 = mhpme4 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] + wire _T_1467 = mhpme4 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] + wire _T_1469 = mhpme4 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] + wire _T_1471 = mhpme4 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] + wire _T_1473 = mhpme4 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] + wire _T_1475 = mhpme4 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] + wire _T_1478 = _T_1312 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1479 = _T_1314 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1480 = _T_1316 & _T_1035; // @[Mux.scala 27:72] + wire _T_1481 = _T_1320 & _T_1041; // @[Mux.scala 27:72] + wire _T_1482 = _T_1326 & _T_1046; // @[Mux.scala 27:72] + wire _T_1483 = _T_1331 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1484 = _T_1333 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1485 = _T_1335 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1486 = _T_1337 & _T_1055; // @[Mux.scala 27:72] + wire _T_1487 = _T_1340 & _T_1058; // @[Mux.scala 27:72] + wire _T_1488 = _T_1343 & _T_1061; // @[Mux.scala 27:72] + wire _T_1489 = _T_1346 & _T_1064; // @[Mux.scala 27:72] + wire _T_1490 = _T_1349 & _T_1068; // @[Mux.scala 27:72] + wire _T_1491 = _T_1353 & _T_1073; // @[Mux.scala 27:72] + wire _T_1492 = _T_1358 & _T_1076; // @[Mux.scala 27:72] + wire _T_1493 = _T_1361 & _T_1079; // @[Mux.scala 27:72] + wire _T_1494 = _T_1364 & _T_1082; // @[Mux.scala 27:72] + wire _T_1495 = _T_1367 & _T_1085; // @[Mux.scala 27:72] + wire _T_1496 = _T_1370 & _T_1088; // @[Mux.scala 27:72] + wire _T_1497 = _T_1373 & _T_1091; // @[Mux.scala 27:72] + wire _T_1498 = _T_1376 & _T_1094; // @[Mux.scala 27:72] + wire _T_1499 = _T_1379 & _T_1097; // @[Mux.scala 27:72] + wire _T_1500 = _T_1382 & _T_1100; // @[Mux.scala 27:72] + wire _T_1501 = _T_1385 & _T_1105; // @[Mux.scala 27:72] + wire _T_1502 = _T_1390 & _T_1108; // @[Mux.scala 27:72] + wire _T_1503 = _T_1393 & _T_1111; // @[Mux.scala 27:72] + wire _T_1504 = _T_1396 & _T_1114; // @[Mux.scala 27:72] + wire _T_1505 = _T_1399 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1507 = _T_1403 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1508 = _T_1405 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1509 = _T_1407 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1510 = _T_1409 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1511 = _T_1411 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1512 = _T_1413 & _T_1132; // @[Mux.scala 27:72] + wire _T_1513 = _T_1417 & _T_1136; // @[Mux.scala 27:72] + wire _T_1514 = _T_1421 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1515 = _T_1423 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1516 = _T_1425 & _T_1144; // @[Mux.scala 27:72] + wire _T_1517 = _T_1429 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1518 = _T_1431 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1519 = _T_1433 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1520 = _T_1435 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1521 = _T_1437 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1522 = _T_1439 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1523 = _T_1441 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1524 = _T_1443 & _T_1163; // @[Mux.scala 27:72] + wire _T_1525 = _T_1448 & _T_1173; // @[Mux.scala 27:72] + wire _T_1526 = _T_1458 & _T_1176; // @[Mux.scala 27:72] + wire _T_1527 = _T_1461 & _T_1179; // @[Mux.scala 27:72] + wire _T_1528 = _T_1464 & _T_1182; // @[Mux.scala 27:72] + wire _T_1529 = _T_1467 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1530 = _T_1469 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1531 = _T_1471 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1532 = _T_1473 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1533 = _T_1475 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1534 = _T_1310 | _T_1478; // @[Mux.scala 27:72] + wire _T_1535 = _T_1534 | _T_1479; // @[Mux.scala 27:72] + wire _T_1536 = _T_1535 | _T_1480; // @[Mux.scala 27:72] wire _T_1537 = _T_1536 | _T_1481; // @[Mux.scala 27:72] wire _T_1538 = _T_1537 | _T_1482; // @[Mux.scala 27:72] wire _T_1539 = _T_1538 | _T_1483; // @[Mux.scala 27:72] @@ -51754,9 +51756,9 @@ module csr_tlu( wire _T_1559 = _T_1558 | _T_1503; // @[Mux.scala 27:72] wire _T_1560 = _T_1559 | _T_1504; // @[Mux.scala 27:72] wire _T_1561 = _T_1560 | _T_1505; // @[Mux.scala 27:72] - wire _T_1562 = _T_1561 | _T_1506; // @[Mux.scala 27:72] + wire _T_1562 = _T_1561 | _T_1485; // @[Mux.scala 27:72] wire _T_1563 = _T_1562 | _T_1507; // @[Mux.scala 27:72] - wire _T_1564 = _T_1563 | _T_1487; // @[Mux.scala 27:72] + wire _T_1564 = _T_1563 | _T_1508; // @[Mux.scala 27:72] wire _T_1565 = _T_1564 | _T_1509; // @[Mux.scala 27:72] wire _T_1566 = _T_1565 | _T_1510; // @[Mux.scala 27:72] wire _T_1567 = _T_1566 | _T_1511; // @[Mux.scala 27:72] @@ -51782,123 +51784,123 @@ module csr_tlu( wire _T_1587 = _T_1586 | _T_1531; // @[Mux.scala 27:72] wire _T_1588 = _T_1587 | _T_1532; // @[Mux.scala 27:72] wire _T_1589 = _T_1588 | _T_1533; // @[Mux.scala 27:72] - wire _T_1590 = _T_1589 | _T_1534; // @[Mux.scala 27:72] - wire _T_1591 = _T_1590 | _T_1535; // @[Mux.scala 27:72] - wire mhpmc_inc_r_1 = _T_1311 & _T_1591; // @[dec_tlu_ctl.scala 2274:44] - wire _T_1595 = ~mcountinhibit[5]; // @[dec_tlu_ctl.scala 2274:24] + wire mhpmc_inc_r_1 = _T_1309 & _T_1589; // @[dec_tlu_ctl.scala 2274:44] + wire _T_1593 = ~mcountinhibit[5]; // @[dec_tlu_ctl.scala 2274:24] reg [9:0] mhpme5; // @[Reg.scala 27:20] - wire _T_1596 = mhpme5 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1598 = mhpme5 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1600 = mhpme5 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1602 = mhpme5 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1606 = mhpme5 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1612 = mhpme5 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1617 = mhpme5 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1619 = mhpme5 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1621 = mhpme5 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1623 = mhpme5 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1626 = mhpme5 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1629 = mhpme5 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1632 = mhpme5 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1635 = mhpme5 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1639 = mhpme5 == 10'he; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1644 = mhpme5 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1647 = mhpme5 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1650 = mhpme5 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1653 = mhpme5 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1656 = mhpme5 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1659 = mhpme5 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1662 = mhpme5 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1665 = mhpme5 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1668 = mhpme5 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1671 = mhpme5 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1676 = mhpme5 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1679 = mhpme5 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1682 = mhpme5 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1685 = mhpme5 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] - wire _T_1689 = mhpme5 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1691 = mhpme5 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1693 = mhpme5 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1695 = mhpme5 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1697 = mhpme5 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1699 = mhpme5 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1703 = mhpme5 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1707 = mhpme5 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1709 = mhpme5 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1711 = mhpme5 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1715 = mhpme5 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1717 = mhpme5 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1719 = mhpme5 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1721 = mhpme5 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1723 = mhpme5 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1725 = mhpme5 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] - wire _T_1727 = mhpme5 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] - wire _T_1729 = mhpme5 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] - wire _T_1734 = mhpme5 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] - wire _T_1744 = mhpme5 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] - wire _T_1747 = mhpme5 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] - wire _T_1750 = mhpme5 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] - wire _T_1753 = mhpme5 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] - wire _T_1755 = mhpme5 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] - wire _T_1757 = mhpme5 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] - wire _T_1759 = mhpme5 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] - wire _T_1761 = mhpme5 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] - wire _T_1764 = _T_1598 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_1765 = _T_1600 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_1766 = _T_1602 & _T_1037; // @[Mux.scala 27:72] - wire _T_1767 = _T_1606 & _T_1043; // @[Mux.scala 27:72] - wire _T_1768 = _T_1612 & _T_1048; // @[Mux.scala 27:72] - wire _T_1769 = _T_1617 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_1770 = _T_1619 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_1771 = _T_1621 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_1772 = _T_1623 & _T_1057; // @[Mux.scala 27:72] - wire _T_1773 = _T_1626 & _T_1060; // @[Mux.scala 27:72] - wire _T_1774 = _T_1629 & _T_1063; // @[Mux.scala 27:72] - wire _T_1775 = _T_1632 & _T_1066; // @[Mux.scala 27:72] - wire _T_1776 = _T_1635 & _T_1070; // @[Mux.scala 27:72] - wire _T_1777 = _T_1639 & _T_1075; // @[Mux.scala 27:72] - wire _T_1778 = _T_1644 & _T_1078; // @[Mux.scala 27:72] - wire _T_1779 = _T_1647 & _T_1081; // @[Mux.scala 27:72] - wire _T_1780 = _T_1650 & _T_1084; // @[Mux.scala 27:72] - wire _T_1781 = _T_1653 & _T_1087; // @[Mux.scala 27:72] - wire _T_1782 = _T_1656 & _T_1090; // @[Mux.scala 27:72] - wire _T_1783 = _T_1659 & _T_1093; // @[Mux.scala 27:72] - wire _T_1784 = _T_1662 & _T_1096; // @[Mux.scala 27:72] - wire _T_1785 = _T_1665 & _T_1099; // @[Mux.scala 27:72] - wire _T_1786 = _T_1668 & _T_1102; // @[Mux.scala 27:72] - wire _T_1787 = _T_1671 & _T_1107; // @[Mux.scala 27:72] - wire _T_1788 = _T_1676 & _T_1110; // @[Mux.scala 27:72] - wire _T_1789 = _T_1679 & _T_1113; // @[Mux.scala 27:72] - wire _T_1790 = _T_1682 & _T_1116; // @[Mux.scala 27:72] - wire _T_1791 = _T_1685 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_1793 = _T_1689 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_1794 = _T_1691 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_1795 = _T_1693 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_1796 = _T_1695 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_1797 = _T_1697 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_1798 = _T_1699 & _T_1134; // @[Mux.scala 27:72] - wire _T_1799 = _T_1703 & _T_1138; // @[Mux.scala 27:72] - wire _T_1800 = _T_1707 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_1801 = _T_1709 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_1802 = _T_1711 & _T_1146; // @[Mux.scala 27:72] - wire _T_1803 = _T_1715 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1804 = _T_1717 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1805 = _T_1719 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_1806 = _T_1721 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1807 = _T_1723 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1808 = _T_1725 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1809 = _T_1727 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1810 = _T_1729 & _T_1165; // @[Mux.scala 27:72] - wire _T_1811 = _T_1734 & _T_1175; // @[Mux.scala 27:72] - wire _T_1812 = _T_1744 & _T_1178; // @[Mux.scala 27:72] - wire _T_1813 = _T_1747 & _T_1181; // @[Mux.scala 27:72] - wire _T_1814 = _T_1750 & _T_1184; // @[Mux.scala 27:72] - wire _T_1815 = _T_1753 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_1816 = _T_1755 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_1817 = _T_1757 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_1818 = _T_1759 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_1819 = _T_1761 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_1820 = _T_1596 | _T_1764; // @[Mux.scala 27:72] + wire _T_1594 = mhpme5 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1596 = mhpme5 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1598 = mhpme5 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1600 = mhpme5 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1604 = mhpme5 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1610 = mhpme5 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1615 = mhpme5 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1617 = mhpme5 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1619 = mhpme5 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1621 = mhpme5 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1624 = mhpme5 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1627 = mhpme5 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1630 = mhpme5 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1633 = mhpme5 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1637 = mhpme5 == 10'he; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1642 = mhpme5 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1645 = mhpme5 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1648 = mhpme5 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1651 = mhpme5 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1654 = mhpme5 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1657 = mhpme5 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1660 = mhpme5 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1663 = mhpme5 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1666 = mhpme5 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1669 = mhpme5 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1674 = mhpme5 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1677 = mhpme5 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1680 = mhpme5 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] + wire _T_1683 = mhpme5 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1687 = mhpme5 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1689 = mhpme5 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1691 = mhpme5 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1693 = mhpme5 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1695 = mhpme5 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1697 = mhpme5 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1701 = mhpme5 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1705 = mhpme5 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1707 = mhpme5 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1709 = mhpme5 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1713 = mhpme5 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1715 = mhpme5 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] + wire _T_1717 = mhpme5 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] + wire _T_1719 = mhpme5 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] + wire _T_1721 = mhpme5 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] + wire _T_1723 = mhpme5 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] + wire _T_1725 = mhpme5 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] + wire _T_1727 = mhpme5 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] + wire _T_1732 = mhpme5 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] + wire _T_1742 = mhpme5 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] + wire _T_1745 = mhpme5 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] + wire _T_1748 = mhpme5 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] + wire _T_1751 = mhpme5 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] + wire _T_1753 = mhpme5 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] + wire _T_1755 = mhpme5 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] + wire _T_1757 = mhpme5 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] + wire _T_1759 = mhpme5 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] + wire _T_1762 = _T_1596 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1763 = _T_1598 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1764 = _T_1600 & _T_1035; // @[Mux.scala 27:72] + wire _T_1765 = _T_1604 & _T_1041; // @[Mux.scala 27:72] + wire _T_1766 = _T_1610 & _T_1046; // @[Mux.scala 27:72] + wire _T_1767 = _T_1615 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1768 = _T_1617 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1769 = _T_1619 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1770 = _T_1621 & _T_1055; // @[Mux.scala 27:72] + wire _T_1771 = _T_1624 & _T_1058; // @[Mux.scala 27:72] + wire _T_1772 = _T_1627 & _T_1061; // @[Mux.scala 27:72] + wire _T_1773 = _T_1630 & _T_1064; // @[Mux.scala 27:72] + wire _T_1774 = _T_1633 & _T_1068; // @[Mux.scala 27:72] + wire _T_1775 = _T_1637 & _T_1073; // @[Mux.scala 27:72] + wire _T_1776 = _T_1642 & _T_1076; // @[Mux.scala 27:72] + wire _T_1777 = _T_1645 & _T_1079; // @[Mux.scala 27:72] + wire _T_1778 = _T_1648 & _T_1082; // @[Mux.scala 27:72] + wire _T_1779 = _T_1651 & _T_1085; // @[Mux.scala 27:72] + wire _T_1780 = _T_1654 & _T_1088; // @[Mux.scala 27:72] + wire _T_1781 = _T_1657 & _T_1091; // @[Mux.scala 27:72] + wire _T_1782 = _T_1660 & _T_1094; // @[Mux.scala 27:72] + wire _T_1783 = _T_1663 & _T_1097; // @[Mux.scala 27:72] + wire _T_1784 = _T_1666 & _T_1100; // @[Mux.scala 27:72] + wire _T_1785 = _T_1669 & _T_1105; // @[Mux.scala 27:72] + wire _T_1786 = _T_1674 & _T_1108; // @[Mux.scala 27:72] + wire _T_1787 = _T_1677 & _T_1111; // @[Mux.scala 27:72] + wire _T_1788 = _T_1680 & _T_1114; // @[Mux.scala 27:72] + wire _T_1789 = _T_1683 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1791 = _T_1687 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1792 = _T_1689 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1793 = _T_1691 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1794 = _T_1693 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1795 = _T_1695 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1796 = _T_1697 & _T_1132; // @[Mux.scala 27:72] + wire _T_1797 = _T_1701 & _T_1136; // @[Mux.scala 27:72] + wire _T_1798 = _T_1705 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1799 = _T_1707 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1800 = _T_1709 & _T_1144; // @[Mux.scala 27:72] + wire _T_1801 = _T_1713 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1802 = _T_1715 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1803 = _T_1717 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1804 = _T_1719 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1805 = _T_1721 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1806 = _T_1723 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1807 = _T_1725 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1808 = _T_1727 & _T_1163; // @[Mux.scala 27:72] + wire _T_1809 = _T_1732 & _T_1173; // @[Mux.scala 27:72] + wire _T_1810 = _T_1742 & _T_1176; // @[Mux.scala 27:72] + wire _T_1811 = _T_1745 & _T_1179; // @[Mux.scala 27:72] + wire _T_1812 = _T_1748 & _T_1182; // @[Mux.scala 27:72] + wire _T_1813 = _T_1751 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1814 = _T_1753 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1815 = _T_1755 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1816 = _T_1757 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1817 = _T_1759 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1818 = _T_1594 | _T_1762; // @[Mux.scala 27:72] + wire _T_1819 = _T_1818 | _T_1763; // @[Mux.scala 27:72] + wire _T_1820 = _T_1819 | _T_1764; // @[Mux.scala 27:72] wire _T_1821 = _T_1820 | _T_1765; // @[Mux.scala 27:72] wire _T_1822 = _T_1821 | _T_1766; // @[Mux.scala 27:72] wire _T_1823 = _T_1822 | _T_1767; // @[Mux.scala 27:72] @@ -51924,9 +51926,9 @@ module csr_tlu( wire _T_1843 = _T_1842 | _T_1787; // @[Mux.scala 27:72] wire _T_1844 = _T_1843 | _T_1788; // @[Mux.scala 27:72] wire _T_1845 = _T_1844 | _T_1789; // @[Mux.scala 27:72] - wire _T_1846 = _T_1845 | _T_1790; // @[Mux.scala 27:72] + wire _T_1846 = _T_1845 | _T_1769; // @[Mux.scala 27:72] wire _T_1847 = _T_1846 | _T_1791; // @[Mux.scala 27:72] - wire _T_1848 = _T_1847 | _T_1771; // @[Mux.scala 27:72] + wire _T_1848 = _T_1847 | _T_1792; // @[Mux.scala 27:72] wire _T_1849 = _T_1848 | _T_1793; // @[Mux.scala 27:72] wire _T_1850 = _T_1849 | _T_1794; // @[Mux.scala 27:72] wire _T_1851 = _T_1850 | _T_1795; // @[Mux.scala 27:72] @@ -51952,123 +51954,123 @@ module csr_tlu( wire _T_1871 = _T_1870 | _T_1815; // @[Mux.scala 27:72] wire _T_1872 = _T_1871 | _T_1816; // @[Mux.scala 27:72] wire _T_1873 = _T_1872 | _T_1817; // @[Mux.scala 27:72] - wire _T_1874 = _T_1873 | _T_1818; // @[Mux.scala 27:72] - wire _T_1875 = _T_1874 | _T_1819; // @[Mux.scala 27:72] - wire mhpmc_inc_r_2 = _T_1595 & _T_1875; // @[dec_tlu_ctl.scala 2274:44] - wire _T_1879 = ~mcountinhibit[6]; // @[dec_tlu_ctl.scala 2274:24] + wire mhpmc_inc_r_2 = _T_1593 & _T_1873; // @[dec_tlu_ctl.scala 2274:44] + wire _T_1877 = ~mcountinhibit[6]; // @[dec_tlu_ctl.scala 2274:24] reg [9:0] mhpme6; // @[Reg.scala 27:20] - wire _T_1880 = mhpme6 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1882 = mhpme6 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1884 = mhpme6 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1886 = mhpme6 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1890 = mhpme6 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1896 = mhpme6 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1901 = mhpme6 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1903 = mhpme6 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1905 = mhpme6 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1907 = mhpme6 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1910 = mhpme6 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1913 = mhpme6 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1916 = mhpme6 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1919 = mhpme6 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1923 = mhpme6 == 10'he; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1928 = mhpme6 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1931 = mhpme6 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1934 = mhpme6 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1937 = mhpme6 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1940 = mhpme6 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1943 = mhpme6 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1946 = mhpme6 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1949 = mhpme6 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1952 = mhpme6 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1955 = mhpme6 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1960 = mhpme6 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1963 = mhpme6 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1966 = mhpme6 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1969 = mhpme6 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] - wire _T_1973 = mhpme6 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1975 = mhpme6 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1977 = mhpme6 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1979 = mhpme6 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1981 = mhpme6 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1983 = mhpme6 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1987 = mhpme6 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1991 = mhpme6 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1993 = mhpme6 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1995 = mhpme6 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1999 = mhpme6 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] - wire _T_2001 = mhpme6 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] - wire _T_2003 = mhpme6 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] - wire _T_2005 = mhpme6 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] - wire _T_2007 = mhpme6 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] - wire _T_2009 = mhpme6 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] - wire _T_2011 = mhpme6 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] - wire _T_2013 = mhpme6 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] - wire _T_2018 = mhpme6 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] - wire _T_2028 = mhpme6 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] - wire _T_2031 = mhpme6 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] - wire _T_2034 = mhpme6 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] - wire _T_2037 = mhpme6 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] - wire _T_2039 = mhpme6 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] - wire _T_2041 = mhpme6 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] - wire _T_2043 = mhpme6 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] - wire _T_2045 = mhpme6 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] - wire _T_2048 = _T_1882 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_2049 = _T_1884 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_2050 = _T_1886 & _T_1037; // @[Mux.scala 27:72] - wire _T_2051 = _T_1890 & _T_1043; // @[Mux.scala 27:72] - wire _T_2052 = _T_1896 & _T_1048; // @[Mux.scala 27:72] - wire _T_2053 = _T_1901 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_2054 = _T_1903 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_2055 = _T_1905 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_2056 = _T_1907 & _T_1057; // @[Mux.scala 27:72] - wire _T_2057 = _T_1910 & _T_1060; // @[Mux.scala 27:72] - wire _T_2058 = _T_1913 & _T_1063; // @[Mux.scala 27:72] - wire _T_2059 = _T_1916 & _T_1066; // @[Mux.scala 27:72] - wire _T_2060 = _T_1919 & _T_1070; // @[Mux.scala 27:72] - wire _T_2061 = _T_1923 & _T_1075; // @[Mux.scala 27:72] - wire _T_2062 = _T_1928 & _T_1078; // @[Mux.scala 27:72] - wire _T_2063 = _T_1931 & _T_1081; // @[Mux.scala 27:72] - wire _T_2064 = _T_1934 & _T_1084; // @[Mux.scala 27:72] - wire _T_2065 = _T_1937 & _T_1087; // @[Mux.scala 27:72] - wire _T_2066 = _T_1940 & _T_1090; // @[Mux.scala 27:72] - wire _T_2067 = _T_1943 & _T_1093; // @[Mux.scala 27:72] - wire _T_2068 = _T_1946 & _T_1096; // @[Mux.scala 27:72] - wire _T_2069 = _T_1949 & _T_1099; // @[Mux.scala 27:72] - wire _T_2070 = _T_1952 & _T_1102; // @[Mux.scala 27:72] - wire _T_2071 = _T_1955 & _T_1107; // @[Mux.scala 27:72] - wire _T_2072 = _T_1960 & _T_1110; // @[Mux.scala 27:72] - wire _T_2073 = _T_1963 & _T_1113; // @[Mux.scala 27:72] - wire _T_2074 = _T_1966 & _T_1116; // @[Mux.scala 27:72] - wire _T_2075 = _T_1969 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_2077 = _T_1973 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_2078 = _T_1975 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_2079 = _T_1977 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_2080 = _T_1979 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_2081 = _T_1981 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_2082 = _T_1983 & _T_1134; // @[Mux.scala 27:72] - wire _T_2083 = _T_1987 & _T_1138; // @[Mux.scala 27:72] - wire _T_2084 = _T_1991 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_2085 = _T_1993 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_2086 = _T_1995 & _T_1146; // @[Mux.scala 27:72] - wire _T_2087 = _T_1999 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_2088 = _T_2001 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_2089 = _T_2003 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_2090 = _T_2005 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_2091 = _T_2007 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_2092 = _T_2009 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_2093 = _T_2011 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_2094 = _T_2013 & _T_1165; // @[Mux.scala 27:72] - wire _T_2095 = _T_2018 & _T_1175; // @[Mux.scala 27:72] - wire _T_2096 = _T_2028 & _T_1178; // @[Mux.scala 27:72] - wire _T_2097 = _T_2031 & _T_1181; // @[Mux.scala 27:72] - wire _T_2098 = _T_2034 & _T_1184; // @[Mux.scala 27:72] - wire _T_2099 = _T_2037 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_2100 = _T_2039 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_2101 = _T_2041 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_2102 = _T_2043 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_2103 = _T_2045 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_2104 = _T_1880 | _T_2048; // @[Mux.scala 27:72] + wire _T_1878 = mhpme6 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1880 = mhpme6 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1882 = mhpme6 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1884 = mhpme6 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1888 = mhpme6 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1894 = mhpme6 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1899 = mhpme6 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1901 = mhpme6 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1903 = mhpme6 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1905 = mhpme6 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1908 = mhpme6 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1911 = mhpme6 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1914 = mhpme6 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1917 = mhpme6 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1921 = mhpme6 == 10'he; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1926 = mhpme6 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1929 = mhpme6 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1932 = mhpme6 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1935 = mhpme6 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1938 = mhpme6 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1941 = mhpme6 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1944 = mhpme6 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1947 = mhpme6 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1950 = mhpme6 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1953 = mhpme6 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1958 = mhpme6 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1961 = mhpme6 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1964 = mhpme6 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] + wire _T_1967 = mhpme6 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1971 = mhpme6 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1973 = mhpme6 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1975 = mhpme6 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1977 = mhpme6 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1979 = mhpme6 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1981 = mhpme6 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1985 = mhpme6 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1989 = mhpme6 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1991 = mhpme6 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1993 = mhpme6 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1997 = mhpme6 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1999 = mhpme6 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] + wire _T_2001 = mhpme6 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] + wire _T_2003 = mhpme6 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] + wire _T_2005 = mhpme6 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] + wire _T_2007 = mhpme6 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] + wire _T_2009 = mhpme6 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] + wire _T_2011 = mhpme6 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] + wire _T_2016 = mhpme6 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] + wire _T_2026 = mhpme6 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] + wire _T_2029 = mhpme6 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] + wire _T_2032 = mhpme6 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] + wire _T_2035 = mhpme6 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] + wire _T_2037 = mhpme6 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] + wire _T_2039 = mhpme6 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] + wire _T_2041 = mhpme6 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] + wire _T_2043 = mhpme6 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] + wire _T_2046 = _T_1880 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_2047 = _T_1882 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_2048 = _T_1884 & _T_1035; // @[Mux.scala 27:72] + wire _T_2049 = _T_1888 & _T_1041; // @[Mux.scala 27:72] + wire _T_2050 = _T_1894 & _T_1046; // @[Mux.scala 27:72] + wire _T_2051 = _T_1899 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_2052 = _T_1901 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_2053 = _T_1903 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_2054 = _T_1905 & _T_1055; // @[Mux.scala 27:72] + wire _T_2055 = _T_1908 & _T_1058; // @[Mux.scala 27:72] + wire _T_2056 = _T_1911 & _T_1061; // @[Mux.scala 27:72] + wire _T_2057 = _T_1914 & _T_1064; // @[Mux.scala 27:72] + wire _T_2058 = _T_1917 & _T_1068; // @[Mux.scala 27:72] + wire _T_2059 = _T_1921 & _T_1073; // @[Mux.scala 27:72] + wire _T_2060 = _T_1926 & _T_1076; // @[Mux.scala 27:72] + wire _T_2061 = _T_1929 & _T_1079; // @[Mux.scala 27:72] + wire _T_2062 = _T_1932 & _T_1082; // @[Mux.scala 27:72] + wire _T_2063 = _T_1935 & _T_1085; // @[Mux.scala 27:72] + wire _T_2064 = _T_1938 & _T_1088; // @[Mux.scala 27:72] + wire _T_2065 = _T_1941 & _T_1091; // @[Mux.scala 27:72] + wire _T_2066 = _T_1944 & _T_1094; // @[Mux.scala 27:72] + wire _T_2067 = _T_1947 & _T_1097; // @[Mux.scala 27:72] + wire _T_2068 = _T_1950 & _T_1100; // @[Mux.scala 27:72] + wire _T_2069 = _T_1953 & _T_1105; // @[Mux.scala 27:72] + wire _T_2070 = _T_1958 & _T_1108; // @[Mux.scala 27:72] + wire _T_2071 = _T_1961 & _T_1111; // @[Mux.scala 27:72] + wire _T_2072 = _T_1964 & _T_1114; // @[Mux.scala 27:72] + wire _T_2073 = _T_1967 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_2075 = _T_1971 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_2076 = _T_1973 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_2077 = _T_1975 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_2078 = _T_1977 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_2079 = _T_1979 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_2080 = _T_1981 & _T_1132; // @[Mux.scala 27:72] + wire _T_2081 = _T_1985 & _T_1136; // @[Mux.scala 27:72] + wire _T_2082 = _T_1989 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_2083 = _T_1991 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_2084 = _T_1993 & _T_1144; // @[Mux.scala 27:72] + wire _T_2085 = _T_1997 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2086 = _T_1999 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2087 = _T_2001 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_2088 = _T_2003 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2089 = _T_2005 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2090 = _T_2007 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2091 = _T_2009 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2092 = _T_2011 & _T_1163; // @[Mux.scala 27:72] + wire _T_2093 = _T_2016 & _T_1173; // @[Mux.scala 27:72] + wire _T_2094 = _T_2026 & _T_1176; // @[Mux.scala 27:72] + wire _T_2095 = _T_2029 & _T_1179; // @[Mux.scala 27:72] + wire _T_2096 = _T_2032 & _T_1182; // @[Mux.scala 27:72] + wire _T_2097 = _T_2035 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_2098 = _T_2037 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_2099 = _T_2039 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_2100 = _T_2041 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_2101 = _T_2043 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_2102 = _T_1878 | _T_2046; // @[Mux.scala 27:72] + wire _T_2103 = _T_2102 | _T_2047; // @[Mux.scala 27:72] + wire _T_2104 = _T_2103 | _T_2048; // @[Mux.scala 27:72] wire _T_2105 = _T_2104 | _T_2049; // @[Mux.scala 27:72] wire _T_2106 = _T_2105 | _T_2050; // @[Mux.scala 27:72] wire _T_2107 = _T_2106 | _T_2051; // @[Mux.scala 27:72] @@ -52094,9 +52096,9 @@ module csr_tlu( wire _T_2127 = _T_2126 | _T_2071; // @[Mux.scala 27:72] wire _T_2128 = _T_2127 | _T_2072; // @[Mux.scala 27:72] wire _T_2129 = _T_2128 | _T_2073; // @[Mux.scala 27:72] - wire _T_2130 = _T_2129 | _T_2074; // @[Mux.scala 27:72] + wire _T_2130 = _T_2129 | _T_2053; // @[Mux.scala 27:72] wire _T_2131 = _T_2130 | _T_2075; // @[Mux.scala 27:72] - wire _T_2132 = _T_2131 | _T_2055; // @[Mux.scala 27:72] + wire _T_2132 = _T_2131 | _T_2076; // @[Mux.scala 27:72] wire _T_2133 = _T_2132 | _T_2077; // @[Mux.scala 27:72] wire _T_2134 = _T_2133 | _T_2078; // @[Mux.scala 27:72] wire _T_2135 = _T_2134 | _T_2079; // @[Mux.scala 27:72] @@ -52122,188 +52124,188 @@ module csr_tlu( wire _T_2155 = _T_2154 | _T_2099; // @[Mux.scala 27:72] wire _T_2156 = _T_2155 | _T_2100; // @[Mux.scala 27:72] wire _T_2157 = _T_2156 | _T_2101; // @[Mux.scala 27:72] - wire _T_2158 = _T_2157 | _T_2102; // @[Mux.scala 27:72] - wire _T_2159 = _T_2158 | _T_2103; // @[Mux.scala 27:72] - wire mhpmc_inc_r_3 = _T_1879 & _T_2159; // @[dec_tlu_ctl.scala 2274:44] + wire mhpmc_inc_r_3 = _T_1877 & _T_2157; // @[dec_tlu_ctl.scala 2274:44] reg mhpmc_inc_r_d1_0; // @[dec_tlu_ctl.scala 2335:53] reg mhpmc_inc_r_d1_1; // @[dec_tlu_ctl.scala 2336:53] reg mhpmc_inc_r_d1_2; // @[dec_tlu_ctl.scala 2337:53] reg mhpmc_inc_r_d1_3; // @[dec_tlu_ctl.scala 2338:53] reg perfcnt_halted_d1; // @[dec_tlu_ctl.scala 2339:56] wire perfcnt_halted = _T_85 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2342:67] - wire _T_2171 = ~_T_85; // @[dec_tlu_ctl.scala 2343:37] - wire [3:0] _T_2173 = _T_2171 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_2180 = {mhpme6[9],mhpme5[9],mhpme4[9],mhpme3[9]}; // @[Cat.scala 29:58] - wire [3:0] perfcnt_during_sleep = _T_2173 & _T_2180; // @[dec_tlu_ctl.scala 2343:86] - wire _T_2182 = ~perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2345:67] - wire _T_2183 = perfcnt_halted_d1 & _T_2182; // @[dec_tlu_ctl.scala 2345:65] - wire _T_2184 = ~_T_2183; // @[dec_tlu_ctl.scala 2345:45] - wire _T_2187 = ~perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2346:67] - wire _T_2188 = perfcnt_halted_d1 & _T_2187; // @[dec_tlu_ctl.scala 2346:65] - wire _T_2189 = ~_T_2188; // @[dec_tlu_ctl.scala 2346:45] - wire _T_2192 = ~perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2347:67] - wire _T_2193 = perfcnt_halted_d1 & _T_2192; // @[dec_tlu_ctl.scala 2347:65] - wire _T_2194 = ~_T_2193; // @[dec_tlu_ctl.scala 2347:45] - wire _T_2197 = ~perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2348:67] - wire _T_2198 = perfcnt_halted_d1 & _T_2197; // @[dec_tlu_ctl.scala 2348:65] - wire _T_2199 = ~_T_2198; // @[dec_tlu_ctl.scala 2348:45] - wire _T_2202 = io_dec_csr_wraddr_r == 12'hb03; // @[dec_tlu_ctl.scala 2354:72] - wire mhpmc3_wr_en0 = io_dec_csr_wen_r_mod & _T_2202; // @[dec_tlu_ctl.scala 2354:43] - wire _T_2203 = ~perfcnt_halted; // @[dec_tlu_ctl.scala 2355:23] - wire _T_2205 = _T_2203 | perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2355:39] - wire _T_2206 = |mhpmc_inc_r_0; // @[dec_tlu_ctl.scala 2355:86] - wire mhpmc3_wr_en1 = _T_2205 & _T_2206; // @[dec_tlu_ctl.scala 2355:66] + wire _T_2169 = ~_T_85; // @[dec_tlu_ctl.scala 2343:37] + wire [3:0] _T_2171 = _T_2169 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_2178 = {mhpme6[9],mhpme5[9],mhpme4[9],mhpme3[9]}; // @[Cat.scala 29:58] + wire [3:0] perfcnt_during_sleep = _T_2171 & _T_2178; // @[dec_tlu_ctl.scala 2343:86] + wire _T_2180 = ~perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2345:67] + wire _T_2181 = perfcnt_halted_d1 & _T_2180; // @[dec_tlu_ctl.scala 2345:65] + wire _T_2182 = ~_T_2181; // @[dec_tlu_ctl.scala 2345:45] + wire _T_2185 = ~perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2346:67] + wire _T_2186 = perfcnt_halted_d1 & _T_2185; // @[dec_tlu_ctl.scala 2346:65] + wire _T_2187 = ~_T_2186; // @[dec_tlu_ctl.scala 2346:45] + wire _T_2190 = ~perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2347:67] + wire _T_2191 = perfcnt_halted_d1 & _T_2190; // @[dec_tlu_ctl.scala 2347:65] + wire _T_2192 = ~_T_2191; // @[dec_tlu_ctl.scala 2347:45] + wire _T_2195 = ~perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2348:67] + wire _T_2196 = perfcnt_halted_d1 & _T_2195; // @[dec_tlu_ctl.scala 2348:65] + wire _T_2197 = ~_T_2196; // @[dec_tlu_ctl.scala 2348:45] + wire _T_2200 = io_dec_csr_wraddr_r == 12'hb03; // @[dec_tlu_ctl.scala 2354:72] + wire mhpmc3_wr_en0 = io_dec_csr_wen_r_mod & _T_2200; // @[dec_tlu_ctl.scala 2354:43] + wire _T_2201 = ~perfcnt_halted; // @[dec_tlu_ctl.scala 2355:23] + wire _T_2203 = _T_2201 | perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2355:39] + wire _T_2204 = |mhpmc_inc_r_0; // @[dec_tlu_ctl.scala 2355:86] + wire mhpmc3_wr_en1 = _T_2203 & _T_2204; // @[dec_tlu_ctl.scala 2355:66] reg [31:0] mhpmc3h; // @[lib.scala 358:16] reg [31:0] mhpmc3; // @[lib.scala 358:16] - wire [63:0] _T_2209 = {mhpmc3h,mhpmc3}; // @[Cat.scala 29:58] - wire [63:0] _T_2210 = {63'h0,mhpmc_inc_r_0}; // @[Cat.scala 29:58] - wire [63:0] mhpmc3_incr = _T_2209 + _T_2210; // @[dec_tlu_ctl.scala 2359:49] - wire _T_2218 = io_dec_csr_wraddr_r == 12'hb83; // @[dec_tlu_ctl.scala 2364:73] - wire mhpmc3h_wr_en0 = io_dec_csr_wen_r_mod & _T_2218; // @[dec_tlu_ctl.scala 2364:44] - wire _T_2224 = io_dec_csr_wraddr_r == 12'hb04; // @[dec_tlu_ctl.scala 2373:72] - wire mhpmc4_wr_en0 = io_dec_csr_wen_r_mod & _T_2224; // @[dec_tlu_ctl.scala 2373:43] - wire _T_2227 = _T_2203 | perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2374:39] - wire _T_2228 = |mhpmc_inc_r_1; // @[dec_tlu_ctl.scala 2374:86] - wire mhpmc4_wr_en1 = _T_2227 & _T_2228; // @[dec_tlu_ctl.scala 2374:66] + wire [63:0] _T_2207 = {mhpmc3h,mhpmc3}; // @[Cat.scala 29:58] + wire [63:0] _T_2208 = {63'h0,mhpmc_inc_r_0}; // @[Cat.scala 29:58] + wire [63:0] mhpmc3_incr = _T_2207 + _T_2208; // @[dec_tlu_ctl.scala 2359:49] + wire _T_2216 = io_dec_csr_wraddr_r == 12'hb83; // @[dec_tlu_ctl.scala 2364:73] + wire mhpmc3h_wr_en0 = io_dec_csr_wen_r_mod & _T_2216; // @[dec_tlu_ctl.scala 2364:44] + wire _T_2222 = io_dec_csr_wraddr_r == 12'hb04; // @[dec_tlu_ctl.scala 2373:72] + wire mhpmc4_wr_en0 = io_dec_csr_wen_r_mod & _T_2222; // @[dec_tlu_ctl.scala 2373:43] + wire _T_2225 = _T_2201 | perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2374:39] + wire _T_2226 = |mhpmc_inc_r_1; // @[dec_tlu_ctl.scala 2374:86] + wire mhpmc4_wr_en1 = _T_2225 & _T_2226; // @[dec_tlu_ctl.scala 2374:66] reg [31:0] mhpmc4h; // @[lib.scala 358:16] reg [31:0] mhpmc4; // @[lib.scala 358:16] - wire [63:0] _T_2231 = {mhpmc4h,mhpmc4}; // @[Cat.scala 29:58] - wire [63:0] _T_2232 = {63'h0,mhpmc_inc_r_1}; // @[Cat.scala 29:58] - wire [63:0] mhpmc4_incr = _T_2231 + _T_2232; // @[dec_tlu_ctl.scala 2379:49] - wire _T_2241 = io_dec_csr_wraddr_r == 12'hb84; // @[dec_tlu_ctl.scala 2383:73] - wire mhpmc4h_wr_en0 = io_dec_csr_wen_r_mod & _T_2241; // @[dec_tlu_ctl.scala 2383:44] - wire _T_2247 = io_dec_csr_wraddr_r == 12'hb05; // @[dec_tlu_ctl.scala 2392:72] - wire mhpmc5_wr_en0 = io_dec_csr_wen_r_mod & _T_2247; // @[dec_tlu_ctl.scala 2392:43] - wire _T_2250 = _T_2203 | perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2393:39] - wire _T_2251 = |mhpmc_inc_r_2; // @[dec_tlu_ctl.scala 2393:86] - wire mhpmc5_wr_en1 = _T_2250 & _T_2251; // @[dec_tlu_ctl.scala 2393:66] + wire [63:0] _T_2229 = {mhpmc4h,mhpmc4}; // @[Cat.scala 29:58] + wire [63:0] _T_2230 = {63'h0,mhpmc_inc_r_1}; // @[Cat.scala 29:58] + wire [63:0] mhpmc4_incr = _T_2229 + _T_2230; // @[dec_tlu_ctl.scala 2379:49] + wire _T_2239 = io_dec_csr_wraddr_r == 12'hb84; // @[dec_tlu_ctl.scala 2383:73] + wire mhpmc4h_wr_en0 = io_dec_csr_wen_r_mod & _T_2239; // @[dec_tlu_ctl.scala 2383:44] + wire _T_2245 = io_dec_csr_wraddr_r == 12'hb05; // @[dec_tlu_ctl.scala 2392:72] + wire mhpmc5_wr_en0 = io_dec_csr_wen_r_mod & _T_2245; // @[dec_tlu_ctl.scala 2392:43] + wire _T_2248 = _T_2201 | perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2393:39] + wire _T_2249 = |mhpmc_inc_r_2; // @[dec_tlu_ctl.scala 2393:86] + wire mhpmc5_wr_en1 = _T_2248 & _T_2249; // @[dec_tlu_ctl.scala 2393:66] reg [31:0] mhpmc5h; // @[lib.scala 358:16] reg [31:0] mhpmc5; // @[lib.scala 358:16] - wire [63:0] _T_2254 = {mhpmc5h,mhpmc5}; // @[Cat.scala 29:58] - wire [63:0] _T_2255 = {63'h0,mhpmc_inc_r_2}; // @[Cat.scala 29:58] - wire [63:0] mhpmc5_incr = _T_2254 + _T_2255; // @[dec_tlu_ctl.scala 2396:49] - wire _T_2263 = io_dec_csr_wraddr_r == 12'hb85; // @[dec_tlu_ctl.scala 2401:73] - wire mhpmc5h_wr_en0 = io_dec_csr_wen_r_mod & _T_2263; // @[dec_tlu_ctl.scala 2401:44] - wire _T_2269 = io_dec_csr_wraddr_r == 12'hb06; // @[dec_tlu_ctl.scala 2410:72] - wire mhpmc6_wr_en0 = io_dec_csr_wen_r_mod & _T_2269; // @[dec_tlu_ctl.scala 2410:43] - wire _T_2272 = _T_2203 | perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2411:39] - wire _T_2273 = |mhpmc_inc_r_3; // @[dec_tlu_ctl.scala 2411:86] - wire mhpmc6_wr_en1 = _T_2272 & _T_2273; // @[dec_tlu_ctl.scala 2411:66] + wire [63:0] _T_2252 = {mhpmc5h,mhpmc5}; // @[Cat.scala 29:58] + wire [63:0] _T_2253 = {63'h0,mhpmc_inc_r_2}; // @[Cat.scala 29:58] + wire [63:0] mhpmc5_incr = _T_2252 + _T_2253; // @[dec_tlu_ctl.scala 2396:49] + wire _T_2261 = io_dec_csr_wraddr_r == 12'hb85; // @[dec_tlu_ctl.scala 2401:73] + wire mhpmc5h_wr_en0 = io_dec_csr_wen_r_mod & _T_2261; // @[dec_tlu_ctl.scala 2401:44] + wire _T_2267 = io_dec_csr_wraddr_r == 12'hb06; // @[dec_tlu_ctl.scala 2410:72] + wire mhpmc6_wr_en0 = io_dec_csr_wen_r_mod & _T_2267; // @[dec_tlu_ctl.scala 2410:43] + wire _T_2270 = _T_2201 | perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2411:39] + wire _T_2271 = |mhpmc_inc_r_3; // @[dec_tlu_ctl.scala 2411:86] + wire mhpmc6_wr_en1 = _T_2270 & _T_2271; // @[dec_tlu_ctl.scala 2411:66] reg [31:0] mhpmc6h; // @[lib.scala 358:16] reg [31:0] mhpmc6; // @[lib.scala 358:16] - wire [63:0] _T_2276 = {mhpmc6h,mhpmc6}; // @[Cat.scala 29:58] - wire [63:0] _T_2277 = {63'h0,mhpmc_inc_r_3}; // @[Cat.scala 29:58] - wire [63:0] mhpmc6_incr = _T_2276 + _T_2277; // @[dec_tlu_ctl.scala 2414:49] - wire _T_2285 = io_dec_csr_wraddr_r == 12'hb86; // @[dec_tlu_ctl.scala 2419:73] - wire mhpmc6h_wr_en0 = io_dec_csr_wen_r_mod & _T_2285; // @[dec_tlu_ctl.scala 2419:44] - wire _T_2291 = io_dec_csr_wrdata_r[9:0] > 10'h204; // @[dec_tlu_ctl.scala 2430:56] - wire _T_2293 = |io_dec_csr_wrdata_r[31:10]; // @[dec_tlu_ctl.scala 2430:102] - wire _T_2294 = _T_2291 | _T_2293; // @[dec_tlu_ctl.scala 2430:71] - wire _T_2297 = io_dec_csr_wraddr_r == 12'h323; // @[dec_tlu_ctl.scala 2432:70] - wire wr_mhpme3_r = io_dec_csr_wen_r_mod & _T_2297; // @[dec_tlu_ctl.scala 2432:41] - wire _T_2301 = io_dec_csr_wraddr_r == 12'h324; // @[dec_tlu_ctl.scala 2439:70] - wire wr_mhpme4_r = io_dec_csr_wen_r_mod & _T_2301; // @[dec_tlu_ctl.scala 2439:41] - wire _T_2305 = io_dec_csr_wraddr_r == 12'h325; // @[dec_tlu_ctl.scala 2446:70] - wire wr_mhpme5_r = io_dec_csr_wen_r_mod & _T_2305; // @[dec_tlu_ctl.scala 2446:41] - wire _T_2309 = io_dec_csr_wraddr_r == 12'h326; // @[dec_tlu_ctl.scala 2453:70] - wire wr_mhpme6_r = io_dec_csr_wen_r_mod & _T_2309; // @[dec_tlu_ctl.scala 2453:41] - wire _T_2313 = io_dec_csr_wraddr_r == 12'h320; // @[dec_tlu_ctl.scala 2470:77] - wire wr_mcountinhibit_r = io_dec_csr_wen_r_mod & _T_2313; // @[dec_tlu_ctl.scala 2470:48] - wire _T_2325 = io_i0_valid_wb | io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 2485:51] - wire _T_2326 = _T_2325 | io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 2485:78] - wire _T_2327 = _T_2326 | io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 2485:104] - wire _T_2328 = _T_2327 | io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 2485:130] - wire _T_2329 = _T_2328 | io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 2486:32] - reg _T_2332; // @[dec_tlu_ctl.scala 2488:62] - wire _T_2333 = io_i0_exception_valid_r_d1 | io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 2489:91] - wire _T_2334 = ~io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 2489:137] - wire _T_2335 = io_trigger_hit_r_d1 & _T_2334; // @[dec_tlu_ctl.scala 2489:135] - reg _T_2337; // @[dec_tlu_ctl.scala 2489:62] - reg [4:0] _T_2338; // @[dec_tlu_ctl.scala 2490:62] - reg _T_2339; // @[dec_tlu_ctl.scala 2491:62] - wire [31:0] _T_2345 = {io_core_id,4'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2354 = {21'h3,3'h0,io_mstatus[1],3'h0,io_mstatus[0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2359 = {io_mtvec[30:1],1'h0,io_mtvec[0]}; // @[Cat.scala 29:58] - wire [31:0] _T_2372 = {1'h0,io_mip[5:3],16'h0,io_mip[2],3'h0,io_mip[1],3'h0,io_mip[0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2385 = {1'h0,mie[5:3],16'h0,mie[2],3'h0,mie[1],3'h0,mie[0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2397 = {io_mepc,1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2402 = {28'h0,mscause}; // @[Cat.scala 29:58] - wire [31:0] _T_2410 = {meivt,10'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2413 = {meivt,meihap,2'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2416 = {28'h0,meicurpl}; // @[Cat.scala 29:58] - wire [31:0] _T_2419 = {28'h0,meicidpl}; // @[Cat.scala 29:58] - wire [31:0] _T_2422 = {28'h0,meipt}; // @[Cat.scala 29:58] - wire [31:0] _T_2425 = {23'h0,mcgc}; // @[Cat.scala 29:58] - wire [31:0] _T_2428 = {13'h0,_T_350,4'h0,mfdc_int[11:7],_T_353,mfdc_int[5:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_2432 = {16'h4000,io_dcsr[15:2],2'h3}; // @[Cat.scala 29:58] - wire [31:0] _T_2434 = {io_dpc,1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2450 = {7'h0,dicawics[16],2'h0,dicawics[15:14],3'h0,dicawics[13:0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2453 = {30'h0,mtsel}; // @[Cat.scala 29:58] - wire [31:0] _T_2482 = {26'h0,mfdht}; // @[Cat.scala 29:58] - wire [31:0] _T_2485 = {30'h0,mfdhs}; // @[Cat.scala 29:58] - wire [31:0] _T_2488 = {22'h0,mhpme3}; // @[Cat.scala 29:58] - wire [31:0] _T_2491 = {22'h0,mhpme4}; // @[Cat.scala 29:58] - wire [31:0] _T_2494 = {22'h0,mhpme5}; // @[Cat.scala 29:58] - wire [31:0] _T_2497 = {22'h0,mhpme6}; // @[Cat.scala 29:58] - wire [31:0] _T_2500 = {25'h0,temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] - wire [31:0] _T_2503 = {30'h0,mpmc,1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2506 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2507 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2508 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2509 = io_csr_pkt_csr_mimpid ? 32'h1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2510 = io_csr_pkt_csr_mhartid ? _T_2345 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2511 = io_csr_pkt_csr_mstatus ? _T_2354 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2512 = io_csr_pkt_csr_mtvec ? _T_2359 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2513 = io_csr_pkt_csr_mip ? _T_2372 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2514 = io_csr_pkt_csr_mie ? _T_2385 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2515 = io_csr_pkt_csr_mcyclel ? mcyclel : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2516 = io_csr_pkt_csr_mcycleh ? mcycleh_inc : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2517 = io_csr_pkt_csr_minstretl ? minstretl : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2518 = io_csr_pkt_csr_minstreth ? minstreth_inc : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2519 = io_csr_pkt_csr_mscratch ? mscratch : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2520 = io_csr_pkt_csr_mepc ? _T_2397 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2521 = io_csr_pkt_csr_mcause ? mcause : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2522 = io_csr_pkt_csr_mscause ? _T_2402 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2523 = io_csr_pkt_csr_mtval ? mtval : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2524 = io_csr_pkt_csr_mrac ? mrac : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2525 = io_csr_pkt_csr_mdseac ? mdseac : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2526 = io_csr_pkt_csr_meivt ? _T_2410 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2527 = io_csr_pkt_csr_meihap ? _T_2413 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2528 = io_csr_pkt_csr_meicurpl ? _T_2416 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2529 = io_csr_pkt_csr_meicidpl ? _T_2419 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2530 = io_csr_pkt_csr_meipt ? _T_2422 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2531 = io_csr_pkt_csr_mcgc ? _T_2425 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2532 = io_csr_pkt_csr_mfdc ? _T_2428 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2533 = io_csr_pkt_csr_dcsr ? _T_2432 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2534 = io_csr_pkt_csr_dpc ? _T_2434 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2535 = io_csr_pkt_csr_dicad0 ? dicad0[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2536 = io_csr_pkt_csr_dicad0h ? dicad0h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2537 = io_csr_pkt_csr_dicad1 ? dicad1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2538 = io_csr_pkt_csr_dicawics ? _T_2450 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2539 = io_csr_pkt_csr_mtsel ? _T_2453 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2540 = io_csr_pkt_csr_mtdata1 ? mtdata1_tsel_out : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2541 = io_csr_pkt_csr_mtdata2 ? mtdata2_tsel_out : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2542 = io_csr_pkt_csr_micect ? micect : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2543 = io_csr_pkt_csr_miccmect ? miccmect : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2544 = io_csr_pkt_csr_mdccmect ? mdccmect : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2545 = io_csr_pkt_csr_mhpmc3 ? mhpmc3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2546 = io_csr_pkt_csr_mhpmc4 ? mhpmc4 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2547 = io_csr_pkt_csr_mhpmc5 ? mhpmc5 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2548 = io_csr_pkt_csr_mhpmc6 ? mhpmc6 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2549 = io_csr_pkt_csr_mhpmc3h ? mhpmc3h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2550 = io_csr_pkt_csr_mhpmc4h ? mhpmc4h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2551 = io_csr_pkt_csr_mhpmc5h ? mhpmc5h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2552 = io_csr_pkt_csr_mhpmc6h ? mhpmc6h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2553 = io_csr_pkt_csr_mfdht ? _T_2482 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2554 = io_csr_pkt_csr_mfdhs ? _T_2485 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2555 = io_csr_pkt_csr_mhpme3 ? _T_2488 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2556 = io_csr_pkt_csr_mhpme4 ? _T_2491 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2557 = io_csr_pkt_csr_mhpme5 ? _T_2494 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2558 = io_csr_pkt_csr_mhpme6 ? _T_2497 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2559 = io_csr_pkt_csr_mcountinhibit ? _T_2500 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2560 = io_csr_pkt_csr_mpmc ? _T_2503 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2561 = io_dec_timer_read_d ? io_dec_timer_rddata_d : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2562 = _T_2506 | _T_2507; // @[Mux.scala 27:72] + wire [63:0] _T_2274 = {mhpmc6h,mhpmc6}; // @[Cat.scala 29:58] + wire [63:0] _T_2275 = {63'h0,mhpmc_inc_r_3}; // @[Cat.scala 29:58] + wire [63:0] mhpmc6_incr = _T_2274 + _T_2275; // @[dec_tlu_ctl.scala 2414:49] + wire _T_2283 = io_dec_csr_wraddr_r == 12'hb86; // @[dec_tlu_ctl.scala 2419:73] + wire mhpmc6h_wr_en0 = io_dec_csr_wen_r_mod & _T_2283; // @[dec_tlu_ctl.scala 2419:44] + wire _T_2289 = io_dec_csr_wrdata_r[9:0] > 10'h204; // @[dec_tlu_ctl.scala 2430:56] + wire _T_2291 = |io_dec_csr_wrdata_r[31:10]; // @[dec_tlu_ctl.scala 2430:102] + wire _T_2292 = _T_2289 | _T_2291; // @[dec_tlu_ctl.scala 2430:71] + wire _T_2295 = io_dec_csr_wraddr_r == 12'h323; // @[dec_tlu_ctl.scala 2432:70] + wire wr_mhpme3_r = io_dec_csr_wen_r_mod & _T_2295; // @[dec_tlu_ctl.scala 2432:41] + wire _T_2299 = io_dec_csr_wraddr_r == 12'h324; // @[dec_tlu_ctl.scala 2439:70] + wire wr_mhpme4_r = io_dec_csr_wen_r_mod & _T_2299; // @[dec_tlu_ctl.scala 2439:41] + wire _T_2303 = io_dec_csr_wraddr_r == 12'h325; // @[dec_tlu_ctl.scala 2446:70] + wire wr_mhpme5_r = io_dec_csr_wen_r_mod & _T_2303; // @[dec_tlu_ctl.scala 2446:41] + wire _T_2307 = io_dec_csr_wraddr_r == 12'h326; // @[dec_tlu_ctl.scala 2453:70] + wire wr_mhpme6_r = io_dec_csr_wen_r_mod & _T_2307; // @[dec_tlu_ctl.scala 2453:41] + wire _T_2311 = io_dec_csr_wraddr_r == 12'h320; // @[dec_tlu_ctl.scala 2470:77] + wire wr_mcountinhibit_r = io_dec_csr_wen_r_mod & _T_2311; // @[dec_tlu_ctl.scala 2470:48] + wire _T_2323 = io_i0_valid_wb | io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 2485:51] + wire _T_2324 = _T_2323 | io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 2485:78] + wire _T_2325 = _T_2324 | io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 2485:104] + wire _T_2326 = _T_2325 | io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 2485:130] + wire _T_2327 = _T_2326 | io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 2486:32] + reg _T_2330; // @[dec_tlu_ctl.scala 2488:62] + wire _T_2331 = io_i0_exception_valid_r_d1 | io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 2489:91] + wire _T_2332 = ~io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 2489:137] + wire _T_2333 = io_trigger_hit_r_d1 & _T_2332; // @[dec_tlu_ctl.scala 2489:135] + reg _T_2335; // @[dec_tlu_ctl.scala 2489:62] + reg [4:0] _T_2336; // @[dec_tlu_ctl.scala 2490:62] + reg _T_2337; // @[dec_tlu_ctl.scala 2491:62] + wire [31:0] _T_2343 = {io_core_id,4'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2352 = {21'h3,3'h0,io_mstatus[1],3'h0,io_mstatus[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2357 = {io_mtvec[30:1],1'h0,io_mtvec[0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2370 = {1'h0,io_mip[5:3],16'h0,io_mip[2],3'h0,io_mip[1],3'h0,io_mip[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2383 = {1'h0,mie[5:3],16'h0,mie[2],3'h0,mie[1],3'h0,mie[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2395 = {io_mepc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2400 = {28'h0,mscause}; // @[Cat.scala 29:58] + wire [31:0] _T_2408 = {meivt,10'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2411 = {meivt,meihap,2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2414 = {28'h0,meicurpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2417 = {28'h0,meicidpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2420 = {28'h0,meipt}; // @[Cat.scala 29:58] + wire [31:0] _T_2423 = {23'h0,mcgc}; // @[Cat.scala 29:58] + wire [31:0] _T_2426 = {13'h0,_T_350,4'h0,mfdc_int[11:7],_T_353,mfdc_int[5:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2430 = {16'h4000,io_dcsr[15:2],2'h3}; // @[Cat.scala 29:58] + wire [31:0] _T_2432 = {io_dpc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2448 = {7'h0,dicawics[16],2'h0,dicawics[15:14],3'h0,dicawics[13:0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2451 = {30'h0,mtsel}; // @[Cat.scala 29:58] + wire [31:0] _T_2480 = {26'h0,mfdht}; // @[Cat.scala 29:58] + wire [31:0] _T_2483 = {30'h0,mfdhs}; // @[Cat.scala 29:58] + wire [31:0] _T_2486 = {22'h0,mhpme3}; // @[Cat.scala 29:58] + wire [31:0] _T_2489 = {22'h0,mhpme4}; // @[Cat.scala 29:58] + wire [31:0] _T_2492 = {22'h0,mhpme5}; // @[Cat.scala 29:58] + wire [31:0] _T_2495 = {22'h0,mhpme6}; // @[Cat.scala 29:58] + wire [31:0] _T_2498 = {25'h0,temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] + wire [31:0] _T_2501 = {30'h0,mpmc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2504 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2505 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2506 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2507 = io_csr_pkt_csr_mimpid ? 32'h1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2508 = io_csr_pkt_csr_mhartid ? _T_2343 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2509 = io_csr_pkt_csr_mstatus ? _T_2352 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2510 = io_csr_pkt_csr_mtvec ? _T_2357 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2511 = io_csr_pkt_csr_mip ? _T_2370 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2512 = io_csr_pkt_csr_mie ? _T_2383 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2513 = io_csr_pkt_csr_mcyclel ? mcyclel : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2514 = io_csr_pkt_csr_mcycleh ? mcycleh_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2515 = io_csr_pkt_csr_minstretl ? minstretl : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2516 = io_csr_pkt_csr_minstreth ? minstreth_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2517 = io_csr_pkt_csr_mscratch ? mscratch : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2518 = io_csr_pkt_csr_mepc ? _T_2395 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2519 = io_csr_pkt_csr_mcause ? mcause : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2520 = io_csr_pkt_csr_mscause ? _T_2400 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2521 = io_csr_pkt_csr_mtval ? mtval : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2522 = io_csr_pkt_csr_mrac ? mrac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2523 = io_csr_pkt_csr_mdseac ? mdseac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2524 = io_csr_pkt_csr_meivt ? _T_2408 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2525 = io_csr_pkt_csr_meihap ? _T_2411 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2526 = io_csr_pkt_csr_meicurpl ? _T_2414 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2527 = io_csr_pkt_csr_meicidpl ? _T_2417 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2528 = io_csr_pkt_csr_meipt ? _T_2420 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2529 = io_csr_pkt_csr_mcgc ? _T_2423 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2530 = io_csr_pkt_csr_mfdc ? _T_2426 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2531 = io_csr_pkt_csr_dcsr ? _T_2430 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2532 = io_csr_pkt_csr_dpc ? _T_2432 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2533 = io_csr_pkt_csr_dicad0 ? dicad0[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2534 = io_csr_pkt_csr_dicad0h ? dicad0h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2535 = io_csr_pkt_csr_dicad1 ? dicad1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2536 = io_csr_pkt_csr_dicawics ? _T_2448 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2537 = io_csr_pkt_csr_mtsel ? _T_2451 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2538 = io_csr_pkt_csr_mtdata1 ? mtdata1_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2539 = io_csr_pkt_csr_mtdata2 ? mtdata2_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2540 = io_csr_pkt_csr_micect ? micect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2541 = io_csr_pkt_csr_miccmect ? miccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2542 = io_csr_pkt_csr_mdccmect ? mdccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2543 = io_csr_pkt_csr_mhpmc3 ? mhpmc3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2544 = io_csr_pkt_csr_mhpmc4 ? mhpmc4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2545 = io_csr_pkt_csr_mhpmc5 ? mhpmc5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2546 = io_csr_pkt_csr_mhpmc6 ? mhpmc6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2547 = io_csr_pkt_csr_mhpmc3h ? mhpmc3h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2548 = io_csr_pkt_csr_mhpmc4h ? mhpmc4h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2549 = io_csr_pkt_csr_mhpmc5h ? mhpmc5h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2550 = io_csr_pkt_csr_mhpmc6h ? mhpmc6h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2551 = io_csr_pkt_csr_mfdht ? _T_2480 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2552 = io_csr_pkt_csr_mfdhs ? _T_2483 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2553 = io_csr_pkt_csr_mhpme3 ? _T_2486 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2554 = io_csr_pkt_csr_mhpme4 ? _T_2489 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2555 = io_csr_pkt_csr_mhpme5 ? _T_2492 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2556 = io_csr_pkt_csr_mhpme6 ? _T_2495 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2557 = io_csr_pkt_csr_mcountinhibit ? _T_2498 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2558 = io_csr_pkt_csr_mpmc ? _T_2501 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2559 = io_dec_timer_read_d ? io_dec_timer_rddata_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2560 = _T_2504 | _T_2505; // @[Mux.scala 27:72] + wire [31:0] _T_2561 = _T_2560 | _T_2506; // @[Mux.scala 27:72] + wire [31:0] _T_2562 = _T_2561 | _T_2507; // @[Mux.scala 27:72] wire [31:0] _T_2563 = _T_2562 | _T_2508; // @[Mux.scala 27:72] wire [31:0] _T_2564 = _T_2563 | _T_2509; // @[Mux.scala 27:72] wire [31:0] _T_2565 = _T_2564 | _T_2510; // @[Mux.scala 27:72] @@ -52355,8 +52357,6 @@ module csr_tlu( wire [31:0] _T_2611 = _T_2610 | _T_2556; // @[Mux.scala 27:72] wire [31:0] _T_2612 = _T_2611 | _T_2557; // @[Mux.scala 27:72] wire [31:0] _T_2613 = _T_2612 | _T_2558; // @[Mux.scala 27:72] - wire [31:0] _T_2614 = _T_2613 | _T_2559; // @[Mux.scala 27:72] - wire [31:0] _T_2615 = _T_2614 | _T_2560; // @[Mux.scala 27:72] rvclkhdr rvclkhdr ( // @[lib.scala 352:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -52567,7 +52567,7 @@ module csr_tlu( .io_en(rvclkhdr_34_io_en), .io_scan_mode(rvclkhdr_34_io_scan_mode) ); - assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {{1'd0}, _T_766}; // @[dec_tlu_ctl.scala 2156:47] + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_763,dicad0[31:0]}; // @[dec_tlu_ctl.scala 2155:56] assign io_dec_tlu_ic_diag_pkt_icache_dicawics = dicawics; // @[dec_tlu_ctl.scala 2158:41] assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = icache_rd_valid_f; // @[dec_tlu_ctl.scala 2166:41] assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = icache_wr_valid_f; // @[dec_tlu_ctl.scala 2167:41] @@ -52599,15 +52599,15 @@ module csr_tlu( assign io_trigger_pkt_any_3_execute = io_mtdata1_t_3[2]; // @[dec_tlu_ctl.scala 2235:40] assign io_trigger_pkt_any_3_m = io_mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 2236:40] assign io_trigger_pkt_any_3_tdata2 = mtdata2_t_3; // @[dec_tlu_ctl.scala 2249:51] - assign io_dec_tlu_int_valid_wb1 = _T_2339; // @[dec_tlu_ctl.scala 2491:30] - assign io_dec_tlu_i0_exc_valid_wb1 = _T_2337; // @[dec_tlu_ctl.scala 2489:30] - assign io_dec_tlu_i0_valid_wb1 = _T_2332; // @[dec_tlu_ctl.scala 2488:30] + assign io_dec_tlu_int_valid_wb1 = _T_2337; // @[dec_tlu_ctl.scala 2491:30] + assign io_dec_tlu_i0_exc_valid_wb1 = _T_2335; // @[dec_tlu_ctl.scala 2489:30] + assign io_dec_tlu_i0_valid_wb1 = _T_2330; // @[dec_tlu_ctl.scala 2488:30] assign io_dec_tlu_mtval_wb1 = mtval; // @[dec_tlu_ctl.scala 2493:24] - assign io_dec_tlu_exc_cause_wb1 = _T_2338; // @[dec_tlu_ctl.scala 2490:30] - assign io_dec_tlu_perfcnt0 = mhpmc_inc_r_d1_0 & _T_2184; // @[dec_tlu_ctl.scala 2345:22] - assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2189; // @[dec_tlu_ctl.scala 2346:22] - assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2194; // @[dec_tlu_ctl.scala 2347:22] - assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2199; // @[dec_tlu_ctl.scala 2348:22] + assign io_dec_tlu_exc_cause_wb1 = _T_2336; // @[dec_tlu_ctl.scala 2490:30] + assign io_dec_tlu_perfcnt0 = mhpmc_inc_r_d1_0 & _T_2182; // @[dec_tlu_ctl.scala 2345:22] + assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2187; // @[dec_tlu_ctl.scala 2346:22] + assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2192; // @[dec_tlu_ctl.scala 2347:22] + assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2197; // @[dec_tlu_ctl.scala 2348:22] assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[dec_tlu_ctl.scala 1718:31] assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[dec_tlu_ctl.scala 1719:31] assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[dec_tlu_ctl.scala 1721:31] @@ -52615,7 +52615,7 @@ module csr_tlu( assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[dec_tlu_ctl.scala 1723:31] assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[dec_tlu_ctl.scala 1724:31] assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[dec_tlu_ctl.scala 1725:31] - assign io_dec_csr_rddata_d = _T_2615 | _T_2561; // @[dec_tlu_ctl.scala 2498:21] + assign io_dec_csr_rddata_d = _T_2613 | _T_2559; // @[dec_tlu_ctl.scala 2498:21] assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[dec_tlu_ctl.scala 1768:39] assign io_dec_tlu_wr_pause_r = _T_370 & _T_371; // @[dec_tlu_ctl.scala 1777:24] assign io_dec_tlu_meipt = meipt; // @[dec_tlu_ctl.scala 2006:19] @@ -52642,10 +52642,10 @@ module csr_tlu( assign io_mdseac_locked_ns = mdseac_en | _T_489; // @[dec_tlu_ctl.scala 1825:22] assign io_force_halt = mfdht[0] & _T_609; // @[dec_tlu_ctl.scala 1933:16] assign io_dpc = _T_726; // @[dec_tlu_ctl.scala 2070:9] - assign io_mtdata1_t_0 = _T_874; // @[dec_tlu_ctl.scala 2226:39] - assign io_mtdata1_t_1 = _T_875; // @[dec_tlu_ctl.scala 2226:39] - assign io_mtdata1_t_2 = _T_876; // @[dec_tlu_ctl.scala 2226:39] - assign io_mtdata1_t_3 = _T_877; // @[dec_tlu_ctl.scala 2226:39] + assign io_mtdata1_t_0 = _T_872; // @[dec_tlu_ctl.scala 2226:39] + assign io_mtdata1_t_1 = _T_873; // @[dec_tlu_ctl.scala 2226:39] + assign io_mtdata1_t_2 = _T_874; // @[dec_tlu_ctl.scala 2226:39] + assign io_mtdata1_t_3 = _T_875; // @[dec_tlu_ctl.scala 2226:39] assign rvclkhdr_io_clk = clock; // @[lib.scala 354:18] assign rvclkhdr_io_en = io_dec_csr_wen_r_mod & _T_58; // @[lib.scala 355:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] @@ -52713,16 +52713,16 @@ module csr_tlu( assign rvclkhdr_21_io_en = wr_dicad0h_r | io_ifu_ic_debug_rd_data_valid; // @[lib.scala 355:17] assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] assign rvclkhdr_22_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_22_io_en = _T_973 & _T_809; // @[lib.scala 355:17] + assign rvclkhdr_22_io_en = _T_971 & _T_807; // @[lib.scala 355:17] assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] assign rvclkhdr_23_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_23_io_en = _T_982 & _T_818; // @[lib.scala 355:17] + assign rvclkhdr_23_io_en = _T_980 & _T_816; // @[lib.scala 355:17] assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] assign rvclkhdr_24_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_24_io_en = _T_991 & _T_827; // @[lib.scala 355:17] + assign rvclkhdr_24_io_en = _T_989 & _T_825; // @[lib.scala 355:17] assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] assign rvclkhdr_25_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_25_io_en = _T_1000 & _T_836; // @[lib.scala 355:17] + assign rvclkhdr_25_io_en = _T_998 & _T_834; // @[lib.scala 355:17] assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] assign rvclkhdr_26_io_clk = clock; // @[lib.scala 354:18] assign rvclkhdr_26_io_en = mhpmc3_wr_en0 | mhpmc3_wr_en1; // @[lib.scala 355:17] @@ -52749,7 +52749,7 @@ module csr_tlu( assign rvclkhdr_33_io_en = mhpmc6h_wr_en0 | mhpmc6_wr_en1; // @[lib.scala 355:17] assign rvclkhdr_33_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] assign rvclkhdr_34_io_clk = clock; // @[lib.scala 328:17] - assign rvclkhdr_34_io_en = _T_2329 | io_clk_override; // @[lib.scala 329:16] + assign rvclkhdr_34_io_en = _T_2327 | io_clk_override; // @[lib.scala 329:16] assign rvclkhdr_34_io_scan_mode = io_scan_mode; // @[lib.scala 330:23] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -52869,7 +52869,7 @@ initial begin _RAND_40 = {1{`RANDOM}}; dicad0h = _RAND_40[31:0]; _RAND_41 = {1{`RANDOM}}; - _T_759 = _RAND_41[3:0]; + _T_758 = _RAND_41[31:0]; _RAND_42 = {1{`RANDOM}}; icache_rd_valid_f = _RAND_42[0:0]; _RAND_43 = {1{`RANDOM}}; @@ -52877,13 +52877,13 @@ initial begin _RAND_44 = {1{`RANDOM}}; mtsel = _RAND_44[1:0]; _RAND_45 = {1{`RANDOM}}; - _T_874 = _RAND_45[9:0]; + _T_872 = _RAND_45[9:0]; _RAND_46 = {1{`RANDOM}}; - _T_875 = _RAND_46[9:0]; + _T_873 = _RAND_46[9:0]; _RAND_47 = {1{`RANDOM}}; - _T_876 = _RAND_47[9:0]; + _T_874 = _RAND_47[9:0]; _RAND_48 = {1{`RANDOM}}; - _T_877 = _RAND_48[9:0]; + _T_875 = _RAND_48[9:0]; _RAND_49 = {1{`RANDOM}}; mtdata2_t_0 = _RAND_49[31:0]; _RAND_50 = {1{`RANDOM}}; @@ -52927,13 +52927,13 @@ initial begin _RAND_69 = {1{`RANDOM}}; mhpmc6 = _RAND_69[31:0]; _RAND_70 = {1{`RANDOM}}; - _T_2332 = _RAND_70[0:0]; + _T_2330 = _RAND_70[0:0]; _RAND_71 = {1{`RANDOM}}; - _T_2337 = _RAND_71[0:0]; + _T_2335 = _RAND_71[0:0]; _RAND_72 = {1{`RANDOM}}; - _T_2338 = _RAND_72[4:0]; + _T_2336 = _RAND_72[4:0]; _RAND_73 = {1{`RANDOM}}; - _T_2339 = _RAND_73[0:0]; + _T_2337 = _RAND_73[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin mpmc_b = 1'h0; @@ -53059,7 +53059,7 @@ initial begin dicad0h = 32'h0; end if (reset) begin - _T_759 = 4'h0; + _T_758 = 32'h0; end if (reset) begin icache_rd_valid_f = 1'h0; @@ -53070,18 +53070,18 @@ initial begin if (reset) begin mtsel = 2'h0; end + if (reset) begin + _T_872 = 10'h0; + end + if (reset) begin + _T_873 = 10'h0; + end if (reset) begin _T_874 = 10'h0; end if (reset) begin _T_875 = 10'h0; end - if (reset) begin - _T_876 = 10'h0; - end - if (reset) begin - _T_877 = 10'h0; - end if (reset) begin mtdata2_t_0 = 32'h0; end @@ -53146,17 +53146,17 @@ initial begin mhpmc6 = 32'h0; end if (reset) begin - _T_2332 = 1'h0; + _T_2330 = 1'h0; + end + if (reset) begin + _T_2335 = 1'h0; + end + if (reset) begin + _T_2336 = 5'h0; end if (reset) begin _T_2337 = 1'h0; end - if (reset) begin - _T_2338 = 5'h0; - end - if (reset) begin - _T_2339 = 1'h0; - end `endif // RANDOMIZE end // initial `ifdef FIRRTL_AFTER_INITIAL @@ -53486,12 +53486,12 @@ end // initial end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_759 <= 4'h0; - end else if (_T_757) begin + _T_758 <= 32'h0; + end else if (_T_756) begin if (_T_752) begin - _T_759 <= io_dec_csr_wrdata_r[3:0]; + _T_758 <= io_dec_csr_wrdata_r; end else begin - _T_759 <= io_ifu_ic_debug_rd_data[67:64]; + _T_758 <= {{25'd0}, io_ifu_ic_debug_rd_data[70:64]}; end end end @@ -53499,14 +53499,14 @@ end // initial if (reset) begin icache_rd_valid_f <= 1'h0; end else begin - icache_rd_valid_f <= _T_770 & _T_772; + icache_rd_valid_f <= _T_768 & _T_770; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin icache_wr_valid_f <= 1'h0; end else begin - icache_wr_valid_f <= _T_663 & _T_775; + icache_wr_valid_f <= _T_663 & _T_773; end end always @(posedge io_csr_wr_clk or posedge reset) begin @@ -53518,38 +53518,38 @@ end // initial end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_874 <= 10'h0; + _T_872 <= 10'h0; end else if (wr_mtdata1_t_r_0) begin + _T_872 <= tdata_wrdata_r; + end else begin + _T_872 <= _T_843; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_873 <= 10'h0; + end else if (wr_mtdata1_t_r_1) begin + _T_873 <= tdata_wrdata_r; + end else begin + _T_873 <= _T_852; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_874 <= 10'h0; + end else if (wr_mtdata1_t_r_2) begin _T_874 <= tdata_wrdata_r; end else begin - _T_874 <= _T_845; + _T_874 <= _T_861; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin _T_875 <= 10'h0; - end else if (wr_mtdata1_t_r_1) begin + end else if (wr_mtdata1_t_r_3) begin _T_875 <= tdata_wrdata_r; end else begin - _T_875 <= _T_854; - end - end - always @(posedge io_active_clk or posedge reset) begin - if (reset) begin - _T_876 <= 10'h0; - end else if (wr_mtdata1_t_r_2) begin - _T_876 <= tdata_wrdata_r; - end else begin - _T_876 <= _T_863; - end - end - always @(posedge io_active_clk or posedge reset) begin - if (reset) begin - _T_877 <= 10'h0; - end else if (wr_mtdata1_t_r_3) begin - _T_877 <= tdata_wrdata_r; - end else begin - _T_877 <= _T_872; + _T_875 <= _T_870; end end always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin @@ -53584,7 +53584,7 @@ end // initial if (reset) begin mhpme3 <= 10'h0; end else if (wr_mhpme3_r) begin - if (_T_2294) begin + if (_T_2292) begin mhpme3 <= 10'h204; end else begin mhpme3 <= io_dec_csr_wrdata_r[9:0]; @@ -53595,7 +53595,7 @@ end // initial if (reset) begin mhpme4 <= 10'h0; end else if (wr_mhpme4_r) begin - if (_T_2294) begin + if (_T_2292) begin mhpme4 <= 10'h204; end else begin mhpme4 <= io_dec_csr_wrdata_r[9:0]; @@ -53606,7 +53606,7 @@ end // initial if (reset) begin mhpme5 <= 10'h0; end else if (wr_mhpme5_r) begin - if (_T_2294) begin + if (_T_2292) begin mhpme5 <= 10'h204; end else begin mhpme5 <= io_dec_csr_wrdata_r[9:0]; @@ -53617,7 +53617,7 @@ end // initial if (reset) begin mhpme6 <= 10'h0; end else if (wr_mhpme6_r) begin - if (_T_2294) begin + if (_T_2292) begin mhpme6 <= 10'h204; end else begin mhpme6 <= io_dec_csr_wrdata_r[9:0]; @@ -53628,28 +53628,28 @@ end // initial if (reset) begin mhpmc_inc_r_d1_0 <= 1'h0; end else begin - mhpmc_inc_r_d1_0 <= _T_1027 & _T_1307; + mhpmc_inc_r_d1_0 <= _T_1025 & _T_1305; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin mhpmc_inc_r_d1_1 <= 1'h0; end else begin - mhpmc_inc_r_d1_1 <= _T_1311 & _T_1591; + mhpmc_inc_r_d1_1 <= _T_1309 & _T_1589; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin mhpmc_inc_r_d1_2 <= 1'h0; end else begin - mhpmc_inc_r_d1_2 <= _T_1595 & _T_1875; + mhpmc_inc_r_d1_2 <= _T_1593 & _T_1873; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin mhpmc_inc_r_d1_3 <= 1'h0; end else begin - mhpmc_inc_r_d1_3 <= _T_1879 & _T_2159; + mhpmc_inc_r_d1_3 <= _T_1877 & _T_2157; end end always @(posedge io_free_clk or posedge reset) begin @@ -53733,30 +53733,30 @@ end // initial end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin - _T_2332 <= 1'h0; + _T_2330 <= 1'h0; end else begin - _T_2332 <= io_i0_valid_wb; + _T_2330 <= io_i0_valid_wb; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2335 <= 1'h0; + end else begin + _T_2335 <= _T_2331 | _T_2333; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2336 <= 5'h0; + end else begin + _T_2336 <= io_exc_cause_wb; end end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin _T_2337 <= 1'h0; end else begin - _T_2337 <= _T_2333 | _T_2335; - end - end - always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin - if (reset) begin - _T_2338 <= 5'h0; - end else begin - _T_2338 <= io_exc_cause_wb; - end - end - always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin - if (reset) begin - _T_2339 <= 1'h0; - end else begin - _T_2339 <= io_interrupt_valid_r_d1; + _T_2337 <= io_interrupt_valid_r_d1; end end endmodule @@ -57392,418 +57392,418 @@ module dec_trigger( wire [15:0] _T_389 = {_T_262,_T_255,_T_248,_T_241,_T_234,_T_227,_T_220,_T_213,_T_381}; // @[lib.scala 89:14] wire [7:0] _T_396 = {_T_318,_T_311,_T_304,_T_297,_T_290,_T_283,_T_276,_T_269}; // @[lib.scala 89:14] wire [31:0] _T_405 = {_T_374,_T_367,_T_360,_T_353,_T_346,_T_339,_T_332,_T_325,_T_396,_T_389}; // @[lib.scala 89:14] - wire _T_406 = &_T_405; // @[lib.scala 89:25] - wire _T_407 = _T_148 & _T_406; // @[dec_trigger.scala 15:109] - wire _T_408 = io_trigger_pkt_any_1_execute & io_trigger_pkt_any_1_m; // @[dec_trigger.scala 15:83] - wire _T_411 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 85:45] - wire _T_412 = ~_T_411; // @[lib.scala 85:39] - wire _T_413 = io_trigger_pkt_any_1_match_pkt & _T_412; // @[lib.scala 85:37] - wire _T_416 = io_trigger_pkt_any_1_tdata2[0] == dec_i0_match_data_1[0]; // @[lib.scala 86:52] - wire _T_417 = _T_413 | _T_416; // @[lib.scala 86:41] - wire _T_419 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 88:36] - wire _T_420 = _T_419 & _T_413; // @[lib.scala 88:41] - wire _T_423 = io_trigger_pkt_any_1_tdata2[1] == dec_i0_match_data_1[1]; // @[lib.scala 88:78] - wire _T_424 = _T_420 | _T_423; // @[lib.scala 88:23] - wire _T_426 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 88:36] - wire _T_427 = _T_426 & _T_413; // @[lib.scala 88:41] - wire _T_430 = io_trigger_pkt_any_1_tdata2[2] == dec_i0_match_data_1[2]; // @[lib.scala 88:78] - wire _T_431 = _T_427 | _T_430; // @[lib.scala 88:23] - wire _T_433 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 88:36] - wire _T_434 = _T_433 & _T_413; // @[lib.scala 88:41] - wire _T_437 = io_trigger_pkt_any_1_tdata2[3] == dec_i0_match_data_1[3]; // @[lib.scala 88:78] - wire _T_438 = _T_434 | _T_437; // @[lib.scala 88:23] - wire _T_440 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 88:36] - wire _T_441 = _T_440 & _T_413; // @[lib.scala 88:41] - wire _T_444 = io_trigger_pkt_any_1_tdata2[4] == dec_i0_match_data_1[4]; // @[lib.scala 88:78] - wire _T_445 = _T_441 | _T_444; // @[lib.scala 88:23] - wire _T_447 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 88:36] - wire _T_448 = _T_447 & _T_413; // @[lib.scala 88:41] - wire _T_451 = io_trigger_pkt_any_1_tdata2[5] == dec_i0_match_data_1[5]; // @[lib.scala 88:78] - wire _T_452 = _T_448 | _T_451; // @[lib.scala 88:23] - wire _T_454 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 88:36] - wire _T_455 = _T_454 & _T_413; // @[lib.scala 88:41] - wire _T_458 = io_trigger_pkt_any_1_tdata2[6] == dec_i0_match_data_1[6]; // @[lib.scala 88:78] - wire _T_459 = _T_455 | _T_458; // @[lib.scala 88:23] - wire _T_461 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 88:36] - wire _T_462 = _T_461 & _T_413; // @[lib.scala 88:41] - wire _T_465 = io_trigger_pkt_any_1_tdata2[7] == dec_i0_match_data_1[7]; // @[lib.scala 88:78] - wire _T_466 = _T_462 | _T_465; // @[lib.scala 88:23] - wire _T_468 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 88:36] - wire _T_469 = _T_468 & _T_413; // @[lib.scala 88:41] - wire _T_472 = io_trigger_pkt_any_1_tdata2[8] == dec_i0_match_data_1[8]; // @[lib.scala 88:78] - wire _T_473 = _T_469 | _T_472; // @[lib.scala 88:23] - wire _T_475 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 88:36] - wire _T_476 = _T_475 & _T_413; // @[lib.scala 88:41] - wire _T_479 = io_trigger_pkt_any_1_tdata2[9] == dec_i0_match_data_1[9]; // @[lib.scala 88:78] - wire _T_480 = _T_476 | _T_479; // @[lib.scala 88:23] - wire _T_482 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 88:36] - wire _T_483 = _T_482 & _T_413; // @[lib.scala 88:41] - wire _T_486 = io_trigger_pkt_any_1_tdata2[10] == dec_i0_match_data_1[10]; // @[lib.scala 88:78] - wire _T_487 = _T_483 | _T_486; // @[lib.scala 88:23] - wire _T_489 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 88:36] - wire _T_490 = _T_489 & _T_413; // @[lib.scala 88:41] - wire _T_493 = io_trigger_pkt_any_1_tdata2[11] == dec_i0_match_data_1[11]; // @[lib.scala 88:78] - wire _T_494 = _T_490 | _T_493; // @[lib.scala 88:23] - wire _T_496 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 88:36] - wire _T_497 = _T_496 & _T_413; // @[lib.scala 88:41] - wire _T_500 = io_trigger_pkt_any_1_tdata2[12] == dec_i0_match_data_1[12]; // @[lib.scala 88:78] - wire _T_501 = _T_497 | _T_500; // @[lib.scala 88:23] - wire _T_503 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 88:36] - wire _T_504 = _T_503 & _T_413; // @[lib.scala 88:41] - wire _T_507 = io_trigger_pkt_any_1_tdata2[13] == dec_i0_match_data_1[13]; // @[lib.scala 88:78] - wire _T_508 = _T_504 | _T_507; // @[lib.scala 88:23] - wire _T_510 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 88:36] - wire _T_511 = _T_510 & _T_413; // @[lib.scala 88:41] - wire _T_514 = io_trigger_pkt_any_1_tdata2[14] == dec_i0_match_data_1[14]; // @[lib.scala 88:78] - wire _T_515 = _T_511 | _T_514; // @[lib.scala 88:23] - wire _T_517 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 88:36] - wire _T_518 = _T_517 & _T_413; // @[lib.scala 88:41] - wire _T_521 = io_trigger_pkt_any_1_tdata2[15] == dec_i0_match_data_1[15]; // @[lib.scala 88:78] - wire _T_522 = _T_518 | _T_521; // @[lib.scala 88:23] - wire _T_524 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 88:36] - wire _T_525 = _T_524 & _T_413; // @[lib.scala 88:41] - wire _T_528 = io_trigger_pkt_any_1_tdata2[16] == dec_i0_match_data_1[16]; // @[lib.scala 88:78] - wire _T_529 = _T_525 | _T_528; // @[lib.scala 88:23] - wire _T_531 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 88:36] - wire _T_532 = _T_531 & _T_413; // @[lib.scala 88:41] - wire _T_535 = io_trigger_pkt_any_1_tdata2[17] == dec_i0_match_data_1[17]; // @[lib.scala 88:78] - wire _T_536 = _T_532 | _T_535; // @[lib.scala 88:23] - wire _T_538 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 88:36] - wire _T_539 = _T_538 & _T_413; // @[lib.scala 88:41] - wire _T_542 = io_trigger_pkt_any_1_tdata2[18] == dec_i0_match_data_1[18]; // @[lib.scala 88:78] - wire _T_543 = _T_539 | _T_542; // @[lib.scala 88:23] - wire _T_545 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 88:36] - wire _T_546 = _T_545 & _T_413; // @[lib.scala 88:41] - wire _T_549 = io_trigger_pkt_any_1_tdata2[19] == dec_i0_match_data_1[19]; // @[lib.scala 88:78] - wire _T_550 = _T_546 | _T_549; // @[lib.scala 88:23] - wire _T_552 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 88:36] - wire _T_553 = _T_552 & _T_413; // @[lib.scala 88:41] - wire _T_556 = io_trigger_pkt_any_1_tdata2[20] == dec_i0_match_data_1[20]; // @[lib.scala 88:78] - wire _T_557 = _T_553 | _T_556; // @[lib.scala 88:23] - wire _T_559 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 88:36] - wire _T_560 = _T_559 & _T_413; // @[lib.scala 88:41] - wire _T_563 = io_trigger_pkt_any_1_tdata2[21] == dec_i0_match_data_1[21]; // @[lib.scala 88:78] - wire _T_564 = _T_560 | _T_563; // @[lib.scala 88:23] - wire _T_566 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 88:36] - wire _T_567 = _T_566 & _T_413; // @[lib.scala 88:41] - wire _T_570 = io_trigger_pkt_any_1_tdata2[22] == dec_i0_match_data_1[22]; // @[lib.scala 88:78] - wire _T_571 = _T_567 | _T_570; // @[lib.scala 88:23] - wire _T_573 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 88:36] - wire _T_574 = _T_573 & _T_413; // @[lib.scala 88:41] - wire _T_577 = io_trigger_pkt_any_1_tdata2[23] == dec_i0_match_data_1[23]; // @[lib.scala 88:78] - wire _T_578 = _T_574 | _T_577; // @[lib.scala 88:23] - wire _T_580 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 88:36] - wire _T_581 = _T_580 & _T_413; // @[lib.scala 88:41] - wire _T_584 = io_trigger_pkt_any_1_tdata2[24] == dec_i0_match_data_1[24]; // @[lib.scala 88:78] - wire _T_585 = _T_581 | _T_584; // @[lib.scala 88:23] - wire _T_587 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 88:36] - wire _T_588 = _T_587 & _T_413; // @[lib.scala 88:41] - wire _T_591 = io_trigger_pkt_any_1_tdata2[25] == dec_i0_match_data_1[25]; // @[lib.scala 88:78] - wire _T_592 = _T_588 | _T_591; // @[lib.scala 88:23] - wire _T_594 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 88:36] - wire _T_595 = _T_594 & _T_413; // @[lib.scala 88:41] - wire _T_598 = io_trigger_pkt_any_1_tdata2[26] == dec_i0_match_data_1[26]; // @[lib.scala 88:78] - wire _T_599 = _T_595 | _T_598; // @[lib.scala 88:23] - wire _T_601 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 88:36] - wire _T_602 = _T_601 & _T_413; // @[lib.scala 88:41] - wire _T_605 = io_trigger_pkt_any_1_tdata2[27] == dec_i0_match_data_1[27]; // @[lib.scala 88:78] - wire _T_606 = _T_602 | _T_605; // @[lib.scala 88:23] - wire _T_608 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 88:36] - wire _T_609 = _T_608 & _T_413; // @[lib.scala 88:41] - wire _T_612 = io_trigger_pkt_any_1_tdata2[28] == dec_i0_match_data_1[28]; // @[lib.scala 88:78] - wire _T_613 = _T_609 | _T_612; // @[lib.scala 88:23] - wire _T_615 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 88:36] - wire _T_616 = _T_615 & _T_413; // @[lib.scala 88:41] - wire _T_619 = io_trigger_pkt_any_1_tdata2[29] == dec_i0_match_data_1[29]; // @[lib.scala 88:78] - wire _T_620 = _T_616 | _T_619; // @[lib.scala 88:23] - wire _T_622 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 88:36] - wire _T_623 = _T_622 & _T_413; // @[lib.scala 88:41] - wire _T_626 = io_trigger_pkt_any_1_tdata2[30] == dec_i0_match_data_1[30]; // @[lib.scala 88:78] - wire _T_627 = _T_623 | _T_626; // @[lib.scala 88:23] - wire _T_629 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 88:36] - wire _T_630 = _T_629 & _T_413; // @[lib.scala 88:41] - wire _T_633 = io_trigger_pkt_any_1_tdata2[31] == dec_i0_match_data_1[31]; // @[lib.scala 88:78] - wire _T_634 = _T_630 | _T_633; // @[lib.scala 88:23] - wire [7:0] _T_641 = {_T_466,_T_459,_T_452,_T_445,_T_438,_T_431,_T_424,_T_417}; // @[lib.scala 89:14] - wire [15:0] _T_649 = {_T_522,_T_515,_T_508,_T_501,_T_494,_T_487,_T_480,_T_473,_T_641}; // @[lib.scala 89:14] - wire [7:0] _T_656 = {_T_578,_T_571,_T_564,_T_557,_T_550,_T_543,_T_536,_T_529}; // @[lib.scala 89:14] - wire [31:0] _T_665 = {_T_634,_T_627,_T_620,_T_613,_T_606,_T_599,_T_592,_T_585,_T_656,_T_649}; // @[lib.scala 89:14] - wire _T_666 = &_T_665; // @[lib.scala 89:25] - wire _T_667 = _T_408 & _T_666; // @[dec_trigger.scala 15:109] - wire _T_668 = io_trigger_pkt_any_2_execute & io_trigger_pkt_any_2_m; // @[dec_trigger.scala 15:83] - wire _T_671 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 85:45] - wire _T_672 = ~_T_671; // @[lib.scala 85:39] - wire _T_673 = io_trigger_pkt_any_2_match_pkt & _T_672; // @[lib.scala 85:37] - wire _T_676 = io_trigger_pkt_any_2_tdata2[0] == dec_i0_match_data_2[0]; // @[lib.scala 86:52] - wire _T_677 = _T_673 | _T_676; // @[lib.scala 86:41] - wire _T_679 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 88:36] - wire _T_680 = _T_679 & _T_673; // @[lib.scala 88:41] - wire _T_683 = io_trigger_pkt_any_2_tdata2[1] == dec_i0_match_data_2[1]; // @[lib.scala 88:78] - wire _T_684 = _T_680 | _T_683; // @[lib.scala 88:23] - wire _T_686 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 88:36] - wire _T_687 = _T_686 & _T_673; // @[lib.scala 88:41] - wire _T_690 = io_trigger_pkt_any_2_tdata2[2] == dec_i0_match_data_2[2]; // @[lib.scala 88:78] - wire _T_691 = _T_687 | _T_690; // @[lib.scala 88:23] - wire _T_693 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 88:36] - wire _T_694 = _T_693 & _T_673; // @[lib.scala 88:41] - wire _T_697 = io_trigger_pkt_any_2_tdata2[3] == dec_i0_match_data_2[3]; // @[lib.scala 88:78] - wire _T_698 = _T_694 | _T_697; // @[lib.scala 88:23] - wire _T_700 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 88:36] - wire _T_701 = _T_700 & _T_673; // @[lib.scala 88:41] - wire _T_704 = io_trigger_pkt_any_2_tdata2[4] == dec_i0_match_data_2[4]; // @[lib.scala 88:78] - wire _T_705 = _T_701 | _T_704; // @[lib.scala 88:23] - wire _T_707 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 88:36] - wire _T_708 = _T_707 & _T_673; // @[lib.scala 88:41] - wire _T_711 = io_trigger_pkt_any_2_tdata2[5] == dec_i0_match_data_2[5]; // @[lib.scala 88:78] - wire _T_712 = _T_708 | _T_711; // @[lib.scala 88:23] - wire _T_714 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 88:36] - wire _T_715 = _T_714 & _T_673; // @[lib.scala 88:41] - wire _T_718 = io_trigger_pkt_any_2_tdata2[6] == dec_i0_match_data_2[6]; // @[lib.scala 88:78] - wire _T_719 = _T_715 | _T_718; // @[lib.scala 88:23] - wire _T_721 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 88:36] - wire _T_722 = _T_721 & _T_673; // @[lib.scala 88:41] - wire _T_725 = io_trigger_pkt_any_2_tdata2[7] == dec_i0_match_data_2[7]; // @[lib.scala 88:78] - wire _T_726 = _T_722 | _T_725; // @[lib.scala 88:23] - wire _T_728 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 88:36] - wire _T_729 = _T_728 & _T_673; // @[lib.scala 88:41] - wire _T_732 = io_trigger_pkt_any_2_tdata2[8] == dec_i0_match_data_2[8]; // @[lib.scala 88:78] - wire _T_733 = _T_729 | _T_732; // @[lib.scala 88:23] - wire _T_735 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 88:36] - wire _T_736 = _T_735 & _T_673; // @[lib.scala 88:41] - wire _T_739 = io_trigger_pkt_any_2_tdata2[9] == dec_i0_match_data_2[9]; // @[lib.scala 88:78] - wire _T_740 = _T_736 | _T_739; // @[lib.scala 88:23] - wire _T_742 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 88:36] - wire _T_743 = _T_742 & _T_673; // @[lib.scala 88:41] - wire _T_746 = io_trigger_pkt_any_2_tdata2[10] == dec_i0_match_data_2[10]; // @[lib.scala 88:78] - wire _T_747 = _T_743 | _T_746; // @[lib.scala 88:23] - wire _T_749 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 88:36] - wire _T_750 = _T_749 & _T_673; // @[lib.scala 88:41] - wire _T_753 = io_trigger_pkt_any_2_tdata2[11] == dec_i0_match_data_2[11]; // @[lib.scala 88:78] - wire _T_754 = _T_750 | _T_753; // @[lib.scala 88:23] - wire _T_756 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 88:36] - wire _T_757 = _T_756 & _T_673; // @[lib.scala 88:41] - wire _T_760 = io_trigger_pkt_any_2_tdata2[12] == dec_i0_match_data_2[12]; // @[lib.scala 88:78] - wire _T_761 = _T_757 | _T_760; // @[lib.scala 88:23] - wire _T_763 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 88:36] - wire _T_764 = _T_763 & _T_673; // @[lib.scala 88:41] - wire _T_767 = io_trigger_pkt_any_2_tdata2[13] == dec_i0_match_data_2[13]; // @[lib.scala 88:78] - wire _T_768 = _T_764 | _T_767; // @[lib.scala 88:23] - wire _T_770 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 88:36] - wire _T_771 = _T_770 & _T_673; // @[lib.scala 88:41] - wire _T_774 = io_trigger_pkt_any_2_tdata2[14] == dec_i0_match_data_2[14]; // @[lib.scala 88:78] - wire _T_775 = _T_771 | _T_774; // @[lib.scala 88:23] - wire _T_777 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 88:36] - wire _T_778 = _T_777 & _T_673; // @[lib.scala 88:41] - wire _T_781 = io_trigger_pkt_any_2_tdata2[15] == dec_i0_match_data_2[15]; // @[lib.scala 88:78] - wire _T_782 = _T_778 | _T_781; // @[lib.scala 88:23] - wire _T_784 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 88:36] - wire _T_785 = _T_784 & _T_673; // @[lib.scala 88:41] - wire _T_788 = io_trigger_pkt_any_2_tdata2[16] == dec_i0_match_data_2[16]; // @[lib.scala 88:78] - wire _T_789 = _T_785 | _T_788; // @[lib.scala 88:23] - wire _T_791 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 88:36] - wire _T_792 = _T_791 & _T_673; // @[lib.scala 88:41] - wire _T_795 = io_trigger_pkt_any_2_tdata2[17] == dec_i0_match_data_2[17]; // @[lib.scala 88:78] - wire _T_796 = _T_792 | _T_795; // @[lib.scala 88:23] - wire _T_798 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 88:36] - wire _T_799 = _T_798 & _T_673; // @[lib.scala 88:41] - wire _T_802 = io_trigger_pkt_any_2_tdata2[18] == dec_i0_match_data_2[18]; // @[lib.scala 88:78] - wire _T_803 = _T_799 | _T_802; // @[lib.scala 88:23] - wire _T_805 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 88:36] - wire _T_806 = _T_805 & _T_673; // @[lib.scala 88:41] - wire _T_809 = io_trigger_pkt_any_2_tdata2[19] == dec_i0_match_data_2[19]; // @[lib.scala 88:78] - wire _T_810 = _T_806 | _T_809; // @[lib.scala 88:23] - wire _T_812 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 88:36] - wire _T_813 = _T_812 & _T_673; // @[lib.scala 88:41] - wire _T_816 = io_trigger_pkt_any_2_tdata2[20] == dec_i0_match_data_2[20]; // @[lib.scala 88:78] - wire _T_817 = _T_813 | _T_816; // @[lib.scala 88:23] - wire _T_819 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 88:36] - wire _T_820 = _T_819 & _T_673; // @[lib.scala 88:41] - wire _T_823 = io_trigger_pkt_any_2_tdata2[21] == dec_i0_match_data_2[21]; // @[lib.scala 88:78] - wire _T_824 = _T_820 | _T_823; // @[lib.scala 88:23] - wire _T_826 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 88:36] - wire _T_827 = _T_826 & _T_673; // @[lib.scala 88:41] - wire _T_830 = io_trigger_pkt_any_2_tdata2[22] == dec_i0_match_data_2[22]; // @[lib.scala 88:78] - wire _T_831 = _T_827 | _T_830; // @[lib.scala 88:23] - wire _T_833 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 88:36] - wire _T_834 = _T_833 & _T_673; // @[lib.scala 88:41] - wire _T_837 = io_trigger_pkt_any_2_tdata2[23] == dec_i0_match_data_2[23]; // @[lib.scala 88:78] - wire _T_838 = _T_834 | _T_837; // @[lib.scala 88:23] - wire _T_840 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 88:36] - wire _T_841 = _T_840 & _T_673; // @[lib.scala 88:41] - wire _T_844 = io_trigger_pkt_any_2_tdata2[24] == dec_i0_match_data_2[24]; // @[lib.scala 88:78] - wire _T_845 = _T_841 | _T_844; // @[lib.scala 88:23] - wire _T_847 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 88:36] - wire _T_848 = _T_847 & _T_673; // @[lib.scala 88:41] - wire _T_851 = io_trigger_pkt_any_2_tdata2[25] == dec_i0_match_data_2[25]; // @[lib.scala 88:78] - wire _T_852 = _T_848 | _T_851; // @[lib.scala 88:23] - wire _T_854 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 88:36] - wire _T_855 = _T_854 & _T_673; // @[lib.scala 88:41] - wire _T_858 = io_trigger_pkt_any_2_tdata2[26] == dec_i0_match_data_2[26]; // @[lib.scala 88:78] - wire _T_859 = _T_855 | _T_858; // @[lib.scala 88:23] - wire _T_861 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 88:36] - wire _T_862 = _T_861 & _T_673; // @[lib.scala 88:41] - wire _T_865 = io_trigger_pkt_any_2_tdata2[27] == dec_i0_match_data_2[27]; // @[lib.scala 88:78] - wire _T_866 = _T_862 | _T_865; // @[lib.scala 88:23] - wire _T_868 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 88:36] - wire _T_869 = _T_868 & _T_673; // @[lib.scala 88:41] - wire _T_872 = io_trigger_pkt_any_2_tdata2[28] == dec_i0_match_data_2[28]; // @[lib.scala 88:78] - wire _T_873 = _T_869 | _T_872; // @[lib.scala 88:23] - wire _T_875 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 88:36] - wire _T_876 = _T_875 & _T_673; // @[lib.scala 88:41] - wire _T_879 = io_trigger_pkt_any_2_tdata2[29] == dec_i0_match_data_2[29]; // @[lib.scala 88:78] - wire _T_880 = _T_876 | _T_879; // @[lib.scala 88:23] - wire _T_882 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 88:36] - wire _T_883 = _T_882 & _T_673; // @[lib.scala 88:41] - wire _T_886 = io_trigger_pkt_any_2_tdata2[30] == dec_i0_match_data_2[30]; // @[lib.scala 88:78] - wire _T_887 = _T_883 | _T_886; // @[lib.scala 88:23] - wire _T_889 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 88:36] - wire _T_890 = _T_889 & _T_673; // @[lib.scala 88:41] - wire _T_893 = io_trigger_pkt_any_2_tdata2[31] == dec_i0_match_data_2[31]; // @[lib.scala 88:78] - wire _T_894 = _T_890 | _T_893; // @[lib.scala 88:23] - wire [7:0] _T_901 = {_T_726,_T_719,_T_712,_T_705,_T_698,_T_691,_T_684,_T_677}; // @[lib.scala 89:14] - wire [15:0] _T_909 = {_T_782,_T_775,_T_768,_T_761,_T_754,_T_747,_T_740,_T_733,_T_901}; // @[lib.scala 89:14] - wire [7:0] _T_916 = {_T_838,_T_831,_T_824,_T_817,_T_810,_T_803,_T_796,_T_789}; // @[lib.scala 89:14] - wire [31:0] _T_925 = {_T_894,_T_887,_T_880,_T_873,_T_866,_T_859,_T_852,_T_845,_T_916,_T_909}; // @[lib.scala 89:14] - wire _T_926 = &_T_925; // @[lib.scala 89:25] - wire _T_927 = _T_668 & _T_926; // @[dec_trigger.scala 15:109] - wire _T_928 = io_trigger_pkt_any_3_execute & io_trigger_pkt_any_3_m; // @[dec_trigger.scala 15:83] - wire _T_931 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 85:45] - wire _T_932 = ~_T_931; // @[lib.scala 85:39] - wire _T_933 = io_trigger_pkt_any_3_match_pkt & _T_932; // @[lib.scala 85:37] - wire _T_936 = io_trigger_pkt_any_3_tdata2[0] == dec_i0_match_data_3[0]; // @[lib.scala 86:52] - wire _T_937 = _T_933 | _T_936; // @[lib.scala 86:41] - wire _T_939 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 88:36] - wire _T_940 = _T_939 & _T_933; // @[lib.scala 88:41] - wire _T_943 = io_trigger_pkt_any_3_tdata2[1] == dec_i0_match_data_3[1]; // @[lib.scala 88:78] - wire _T_944 = _T_940 | _T_943; // @[lib.scala 88:23] - wire _T_946 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 88:36] - wire _T_947 = _T_946 & _T_933; // @[lib.scala 88:41] - wire _T_950 = io_trigger_pkt_any_3_tdata2[2] == dec_i0_match_data_3[2]; // @[lib.scala 88:78] - wire _T_951 = _T_947 | _T_950; // @[lib.scala 88:23] - wire _T_953 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 88:36] - wire _T_954 = _T_953 & _T_933; // @[lib.scala 88:41] - wire _T_957 = io_trigger_pkt_any_3_tdata2[3] == dec_i0_match_data_3[3]; // @[lib.scala 88:78] - wire _T_958 = _T_954 | _T_957; // @[lib.scala 88:23] - wire _T_960 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 88:36] - wire _T_961 = _T_960 & _T_933; // @[lib.scala 88:41] - wire _T_964 = io_trigger_pkt_any_3_tdata2[4] == dec_i0_match_data_3[4]; // @[lib.scala 88:78] - wire _T_965 = _T_961 | _T_964; // @[lib.scala 88:23] - wire _T_967 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 88:36] - wire _T_968 = _T_967 & _T_933; // @[lib.scala 88:41] - wire _T_971 = io_trigger_pkt_any_3_tdata2[5] == dec_i0_match_data_3[5]; // @[lib.scala 88:78] - wire _T_972 = _T_968 | _T_971; // @[lib.scala 88:23] - wire _T_974 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 88:36] - wire _T_975 = _T_974 & _T_933; // @[lib.scala 88:41] - wire _T_978 = io_trigger_pkt_any_3_tdata2[6] == dec_i0_match_data_3[6]; // @[lib.scala 88:78] - wire _T_979 = _T_975 | _T_978; // @[lib.scala 88:23] - wire _T_981 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 88:36] - wire _T_982 = _T_981 & _T_933; // @[lib.scala 88:41] - wire _T_985 = io_trigger_pkt_any_3_tdata2[7] == dec_i0_match_data_3[7]; // @[lib.scala 88:78] - wire _T_986 = _T_982 | _T_985; // @[lib.scala 88:23] - wire _T_988 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 88:36] - wire _T_989 = _T_988 & _T_933; // @[lib.scala 88:41] - wire _T_992 = io_trigger_pkt_any_3_tdata2[8] == dec_i0_match_data_3[8]; // @[lib.scala 88:78] - wire _T_993 = _T_989 | _T_992; // @[lib.scala 88:23] - wire _T_995 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 88:36] - wire _T_996 = _T_995 & _T_933; // @[lib.scala 88:41] - wire _T_999 = io_trigger_pkt_any_3_tdata2[9] == dec_i0_match_data_3[9]; // @[lib.scala 88:78] - wire _T_1000 = _T_996 | _T_999; // @[lib.scala 88:23] - wire _T_1002 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 88:36] - wire _T_1003 = _T_1002 & _T_933; // @[lib.scala 88:41] - wire _T_1006 = io_trigger_pkt_any_3_tdata2[10] == dec_i0_match_data_3[10]; // @[lib.scala 88:78] - wire _T_1007 = _T_1003 | _T_1006; // @[lib.scala 88:23] - wire _T_1009 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 88:36] - wire _T_1010 = _T_1009 & _T_933; // @[lib.scala 88:41] - wire _T_1013 = io_trigger_pkt_any_3_tdata2[11] == dec_i0_match_data_3[11]; // @[lib.scala 88:78] - wire _T_1014 = _T_1010 | _T_1013; // @[lib.scala 88:23] - wire _T_1016 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 88:36] - wire _T_1017 = _T_1016 & _T_933; // @[lib.scala 88:41] - wire _T_1020 = io_trigger_pkt_any_3_tdata2[12] == dec_i0_match_data_3[12]; // @[lib.scala 88:78] - wire _T_1021 = _T_1017 | _T_1020; // @[lib.scala 88:23] - wire _T_1023 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 88:36] - wire _T_1024 = _T_1023 & _T_933; // @[lib.scala 88:41] - wire _T_1027 = io_trigger_pkt_any_3_tdata2[13] == dec_i0_match_data_3[13]; // @[lib.scala 88:78] - wire _T_1028 = _T_1024 | _T_1027; // @[lib.scala 88:23] - wire _T_1030 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 88:36] - wire _T_1031 = _T_1030 & _T_933; // @[lib.scala 88:41] - wire _T_1034 = io_trigger_pkt_any_3_tdata2[14] == dec_i0_match_data_3[14]; // @[lib.scala 88:78] - wire _T_1035 = _T_1031 | _T_1034; // @[lib.scala 88:23] - wire _T_1037 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 88:36] - wire _T_1038 = _T_1037 & _T_933; // @[lib.scala 88:41] - wire _T_1041 = io_trigger_pkt_any_3_tdata2[15] == dec_i0_match_data_3[15]; // @[lib.scala 88:78] - wire _T_1042 = _T_1038 | _T_1041; // @[lib.scala 88:23] - wire _T_1044 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 88:36] - wire _T_1045 = _T_1044 & _T_933; // @[lib.scala 88:41] - wire _T_1048 = io_trigger_pkt_any_3_tdata2[16] == dec_i0_match_data_3[16]; // @[lib.scala 88:78] - wire _T_1049 = _T_1045 | _T_1048; // @[lib.scala 88:23] - wire _T_1051 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 88:36] - wire _T_1052 = _T_1051 & _T_933; // @[lib.scala 88:41] - wire _T_1055 = io_trigger_pkt_any_3_tdata2[17] == dec_i0_match_data_3[17]; // @[lib.scala 88:78] - wire _T_1056 = _T_1052 | _T_1055; // @[lib.scala 88:23] - wire _T_1058 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 88:36] - wire _T_1059 = _T_1058 & _T_933; // @[lib.scala 88:41] - wire _T_1062 = io_trigger_pkt_any_3_tdata2[18] == dec_i0_match_data_3[18]; // @[lib.scala 88:78] - wire _T_1063 = _T_1059 | _T_1062; // @[lib.scala 88:23] - wire _T_1065 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 88:36] - wire _T_1066 = _T_1065 & _T_933; // @[lib.scala 88:41] - wire _T_1069 = io_trigger_pkt_any_3_tdata2[19] == dec_i0_match_data_3[19]; // @[lib.scala 88:78] - wire _T_1070 = _T_1066 | _T_1069; // @[lib.scala 88:23] - wire _T_1072 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 88:36] - wire _T_1073 = _T_1072 & _T_933; // @[lib.scala 88:41] - wire _T_1076 = io_trigger_pkt_any_3_tdata2[20] == dec_i0_match_data_3[20]; // @[lib.scala 88:78] - wire _T_1077 = _T_1073 | _T_1076; // @[lib.scala 88:23] - wire _T_1079 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 88:36] - wire _T_1080 = _T_1079 & _T_933; // @[lib.scala 88:41] - wire _T_1083 = io_trigger_pkt_any_3_tdata2[21] == dec_i0_match_data_3[21]; // @[lib.scala 88:78] - wire _T_1084 = _T_1080 | _T_1083; // @[lib.scala 88:23] - wire _T_1086 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 88:36] - wire _T_1087 = _T_1086 & _T_933; // @[lib.scala 88:41] - wire _T_1090 = io_trigger_pkt_any_3_tdata2[22] == dec_i0_match_data_3[22]; // @[lib.scala 88:78] - wire _T_1091 = _T_1087 | _T_1090; // @[lib.scala 88:23] - wire _T_1093 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 88:36] - wire _T_1094 = _T_1093 & _T_933; // @[lib.scala 88:41] - wire _T_1097 = io_trigger_pkt_any_3_tdata2[23] == dec_i0_match_data_3[23]; // @[lib.scala 88:78] - wire _T_1098 = _T_1094 | _T_1097; // @[lib.scala 88:23] - wire _T_1100 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 88:36] - wire _T_1101 = _T_1100 & _T_933; // @[lib.scala 88:41] - wire _T_1104 = io_trigger_pkt_any_3_tdata2[24] == dec_i0_match_data_3[24]; // @[lib.scala 88:78] - wire _T_1105 = _T_1101 | _T_1104; // @[lib.scala 88:23] - wire _T_1107 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 88:36] - wire _T_1108 = _T_1107 & _T_933; // @[lib.scala 88:41] - wire _T_1111 = io_trigger_pkt_any_3_tdata2[25] == dec_i0_match_data_3[25]; // @[lib.scala 88:78] - wire _T_1112 = _T_1108 | _T_1111; // @[lib.scala 88:23] - wire _T_1114 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 88:36] - wire _T_1115 = _T_1114 & _T_933; // @[lib.scala 88:41] - wire _T_1118 = io_trigger_pkt_any_3_tdata2[26] == dec_i0_match_data_3[26]; // @[lib.scala 88:78] - wire _T_1119 = _T_1115 | _T_1118; // @[lib.scala 88:23] - wire _T_1121 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 88:36] - wire _T_1122 = _T_1121 & _T_933; // @[lib.scala 88:41] - wire _T_1125 = io_trigger_pkt_any_3_tdata2[27] == dec_i0_match_data_3[27]; // @[lib.scala 88:78] - wire _T_1126 = _T_1122 | _T_1125; // @[lib.scala 88:23] - wire _T_1128 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 88:36] - wire _T_1129 = _T_1128 & _T_933; // @[lib.scala 88:41] - wire _T_1132 = io_trigger_pkt_any_3_tdata2[28] == dec_i0_match_data_3[28]; // @[lib.scala 88:78] - wire _T_1133 = _T_1129 | _T_1132; // @[lib.scala 88:23] - wire _T_1135 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 88:36] - wire _T_1136 = _T_1135 & _T_933; // @[lib.scala 88:41] - wire _T_1139 = io_trigger_pkt_any_3_tdata2[29] == dec_i0_match_data_3[29]; // @[lib.scala 88:78] - wire _T_1140 = _T_1136 | _T_1139; // @[lib.scala 88:23] - wire _T_1142 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 88:36] - wire _T_1143 = _T_1142 & _T_933; // @[lib.scala 88:41] - wire _T_1146 = io_trigger_pkt_any_3_tdata2[30] == dec_i0_match_data_3[30]; // @[lib.scala 88:78] - wire _T_1147 = _T_1143 | _T_1146; // @[lib.scala 88:23] - wire _T_1149 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 88:36] - wire _T_1150 = _T_1149 & _T_933; // @[lib.scala 88:41] - wire _T_1153 = io_trigger_pkt_any_3_tdata2[31] == dec_i0_match_data_3[31]; // @[lib.scala 88:78] - wire _T_1154 = _T_1150 | _T_1153; // @[lib.scala 88:23] - wire [7:0] _T_1161 = {_T_986,_T_979,_T_972,_T_965,_T_958,_T_951,_T_944,_T_937}; // @[lib.scala 89:14] - wire [15:0] _T_1169 = {_T_1042,_T_1035,_T_1028,_T_1021,_T_1014,_T_1007,_T_1000,_T_993,_T_1161}; // @[lib.scala 89:14] - wire [7:0] _T_1176 = {_T_1098,_T_1091,_T_1084,_T_1077,_T_1070,_T_1063,_T_1056,_T_1049}; // @[lib.scala 89:14] - wire [31:0] _T_1185 = {_T_1154,_T_1147,_T_1140,_T_1133,_T_1126,_T_1119,_T_1112,_T_1105,_T_1176,_T_1169}; // @[lib.scala 89:14] - wire _T_1186 = &_T_1185; // @[lib.scala 89:25] - wire _T_1187 = _T_928 & _T_1186; // @[dec_trigger.scala 15:109] - wire [2:0] _T_1189 = {_T_1187,_T_927,_T_667}; // @[Cat.scala 29:58] - assign io_dec_i0_trigger_match_d = {_T_1189,_T_407}; // @[dec_trigger.scala 15:29] + wire [31:0] _GEN_0 = {{31'd0}, _T_148}; // @[dec_trigger.scala 15:109] + wire [31:0] _T_406 = _GEN_0 & _T_405; // @[dec_trigger.scala 15:109] + wire _T_407 = io_trigger_pkt_any_1_execute & io_trigger_pkt_any_1_m; // @[dec_trigger.scala 15:83] + wire _T_410 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 85:45] + wire _T_411 = ~_T_410; // @[lib.scala 85:39] + wire _T_412 = io_trigger_pkt_any_1_match_pkt & _T_411; // @[lib.scala 85:37] + wire _T_415 = io_trigger_pkt_any_1_tdata2[0] == dec_i0_match_data_1[0]; // @[lib.scala 86:52] + wire _T_416 = _T_412 | _T_415; // @[lib.scala 86:41] + wire _T_418 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 88:36] + wire _T_419 = _T_418 & _T_412; // @[lib.scala 88:41] + wire _T_422 = io_trigger_pkt_any_1_tdata2[1] == dec_i0_match_data_1[1]; // @[lib.scala 88:78] + wire _T_423 = _T_419 | _T_422; // @[lib.scala 88:23] + wire _T_425 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 88:36] + wire _T_426 = _T_425 & _T_412; // @[lib.scala 88:41] + wire _T_429 = io_trigger_pkt_any_1_tdata2[2] == dec_i0_match_data_1[2]; // @[lib.scala 88:78] + wire _T_430 = _T_426 | _T_429; // @[lib.scala 88:23] + wire _T_432 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 88:36] + wire _T_433 = _T_432 & _T_412; // @[lib.scala 88:41] + wire _T_436 = io_trigger_pkt_any_1_tdata2[3] == dec_i0_match_data_1[3]; // @[lib.scala 88:78] + wire _T_437 = _T_433 | _T_436; // @[lib.scala 88:23] + wire _T_439 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 88:36] + wire _T_440 = _T_439 & _T_412; // @[lib.scala 88:41] + wire _T_443 = io_trigger_pkt_any_1_tdata2[4] == dec_i0_match_data_1[4]; // @[lib.scala 88:78] + wire _T_444 = _T_440 | _T_443; // @[lib.scala 88:23] + wire _T_446 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 88:36] + wire _T_447 = _T_446 & _T_412; // @[lib.scala 88:41] + wire _T_450 = io_trigger_pkt_any_1_tdata2[5] == dec_i0_match_data_1[5]; // @[lib.scala 88:78] + wire _T_451 = _T_447 | _T_450; // @[lib.scala 88:23] + wire _T_453 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 88:36] + wire _T_454 = _T_453 & _T_412; // @[lib.scala 88:41] + wire _T_457 = io_trigger_pkt_any_1_tdata2[6] == dec_i0_match_data_1[6]; // @[lib.scala 88:78] + wire _T_458 = _T_454 | _T_457; // @[lib.scala 88:23] + wire _T_460 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 88:36] + wire _T_461 = _T_460 & _T_412; // @[lib.scala 88:41] + wire _T_464 = io_trigger_pkt_any_1_tdata2[7] == dec_i0_match_data_1[7]; // @[lib.scala 88:78] + wire _T_465 = _T_461 | _T_464; // @[lib.scala 88:23] + wire _T_467 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 88:36] + wire _T_468 = _T_467 & _T_412; // @[lib.scala 88:41] + wire _T_471 = io_trigger_pkt_any_1_tdata2[8] == dec_i0_match_data_1[8]; // @[lib.scala 88:78] + wire _T_472 = _T_468 | _T_471; // @[lib.scala 88:23] + wire _T_474 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 88:36] + wire _T_475 = _T_474 & _T_412; // @[lib.scala 88:41] + wire _T_478 = io_trigger_pkt_any_1_tdata2[9] == dec_i0_match_data_1[9]; // @[lib.scala 88:78] + wire _T_479 = _T_475 | _T_478; // @[lib.scala 88:23] + wire _T_481 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 88:36] + wire _T_482 = _T_481 & _T_412; // @[lib.scala 88:41] + wire _T_485 = io_trigger_pkt_any_1_tdata2[10] == dec_i0_match_data_1[10]; // @[lib.scala 88:78] + wire _T_486 = _T_482 | _T_485; // @[lib.scala 88:23] + wire _T_488 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 88:36] + wire _T_489 = _T_488 & _T_412; // @[lib.scala 88:41] + wire _T_492 = io_trigger_pkt_any_1_tdata2[11] == dec_i0_match_data_1[11]; // @[lib.scala 88:78] + wire _T_493 = _T_489 | _T_492; // @[lib.scala 88:23] + wire _T_495 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 88:36] + wire _T_496 = _T_495 & _T_412; // @[lib.scala 88:41] + wire _T_499 = io_trigger_pkt_any_1_tdata2[12] == dec_i0_match_data_1[12]; // @[lib.scala 88:78] + wire _T_500 = _T_496 | _T_499; // @[lib.scala 88:23] + wire _T_502 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 88:36] + wire _T_503 = _T_502 & _T_412; // @[lib.scala 88:41] + wire _T_506 = io_trigger_pkt_any_1_tdata2[13] == dec_i0_match_data_1[13]; // @[lib.scala 88:78] + wire _T_507 = _T_503 | _T_506; // @[lib.scala 88:23] + wire _T_509 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 88:36] + wire _T_510 = _T_509 & _T_412; // @[lib.scala 88:41] + wire _T_513 = io_trigger_pkt_any_1_tdata2[14] == dec_i0_match_data_1[14]; // @[lib.scala 88:78] + wire _T_514 = _T_510 | _T_513; // @[lib.scala 88:23] + wire _T_516 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 88:36] + wire _T_517 = _T_516 & _T_412; // @[lib.scala 88:41] + wire _T_520 = io_trigger_pkt_any_1_tdata2[15] == dec_i0_match_data_1[15]; // @[lib.scala 88:78] + wire _T_521 = _T_517 | _T_520; // @[lib.scala 88:23] + wire _T_523 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 88:36] + wire _T_524 = _T_523 & _T_412; // @[lib.scala 88:41] + wire _T_527 = io_trigger_pkt_any_1_tdata2[16] == dec_i0_match_data_1[16]; // @[lib.scala 88:78] + wire _T_528 = _T_524 | _T_527; // @[lib.scala 88:23] + wire _T_530 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 88:36] + wire _T_531 = _T_530 & _T_412; // @[lib.scala 88:41] + wire _T_534 = io_trigger_pkt_any_1_tdata2[17] == dec_i0_match_data_1[17]; // @[lib.scala 88:78] + wire _T_535 = _T_531 | _T_534; // @[lib.scala 88:23] + wire _T_537 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 88:36] + wire _T_538 = _T_537 & _T_412; // @[lib.scala 88:41] + wire _T_541 = io_trigger_pkt_any_1_tdata2[18] == dec_i0_match_data_1[18]; // @[lib.scala 88:78] + wire _T_542 = _T_538 | _T_541; // @[lib.scala 88:23] + wire _T_544 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 88:36] + wire _T_545 = _T_544 & _T_412; // @[lib.scala 88:41] + wire _T_548 = io_trigger_pkt_any_1_tdata2[19] == dec_i0_match_data_1[19]; // @[lib.scala 88:78] + wire _T_549 = _T_545 | _T_548; // @[lib.scala 88:23] + wire _T_551 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 88:36] + wire _T_552 = _T_551 & _T_412; // @[lib.scala 88:41] + wire _T_555 = io_trigger_pkt_any_1_tdata2[20] == dec_i0_match_data_1[20]; // @[lib.scala 88:78] + wire _T_556 = _T_552 | _T_555; // @[lib.scala 88:23] + wire _T_558 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 88:36] + wire _T_559 = _T_558 & _T_412; // @[lib.scala 88:41] + wire _T_562 = io_trigger_pkt_any_1_tdata2[21] == dec_i0_match_data_1[21]; // @[lib.scala 88:78] + wire _T_563 = _T_559 | _T_562; // @[lib.scala 88:23] + wire _T_565 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 88:36] + wire _T_566 = _T_565 & _T_412; // @[lib.scala 88:41] + wire _T_569 = io_trigger_pkt_any_1_tdata2[22] == dec_i0_match_data_1[22]; // @[lib.scala 88:78] + wire _T_570 = _T_566 | _T_569; // @[lib.scala 88:23] + wire _T_572 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 88:36] + wire _T_573 = _T_572 & _T_412; // @[lib.scala 88:41] + wire _T_576 = io_trigger_pkt_any_1_tdata2[23] == dec_i0_match_data_1[23]; // @[lib.scala 88:78] + wire _T_577 = _T_573 | _T_576; // @[lib.scala 88:23] + wire _T_579 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 88:36] + wire _T_580 = _T_579 & _T_412; // @[lib.scala 88:41] + wire _T_583 = io_trigger_pkt_any_1_tdata2[24] == dec_i0_match_data_1[24]; // @[lib.scala 88:78] + wire _T_584 = _T_580 | _T_583; // @[lib.scala 88:23] + wire _T_586 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 88:36] + wire _T_587 = _T_586 & _T_412; // @[lib.scala 88:41] + wire _T_590 = io_trigger_pkt_any_1_tdata2[25] == dec_i0_match_data_1[25]; // @[lib.scala 88:78] + wire _T_591 = _T_587 | _T_590; // @[lib.scala 88:23] + wire _T_593 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 88:36] + wire _T_594 = _T_593 & _T_412; // @[lib.scala 88:41] + wire _T_597 = io_trigger_pkt_any_1_tdata2[26] == dec_i0_match_data_1[26]; // @[lib.scala 88:78] + wire _T_598 = _T_594 | _T_597; // @[lib.scala 88:23] + wire _T_600 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 88:36] + wire _T_601 = _T_600 & _T_412; // @[lib.scala 88:41] + wire _T_604 = io_trigger_pkt_any_1_tdata2[27] == dec_i0_match_data_1[27]; // @[lib.scala 88:78] + wire _T_605 = _T_601 | _T_604; // @[lib.scala 88:23] + wire _T_607 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 88:36] + wire _T_608 = _T_607 & _T_412; // @[lib.scala 88:41] + wire _T_611 = io_trigger_pkt_any_1_tdata2[28] == dec_i0_match_data_1[28]; // @[lib.scala 88:78] + wire _T_612 = _T_608 | _T_611; // @[lib.scala 88:23] + wire _T_614 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 88:36] + wire _T_615 = _T_614 & _T_412; // @[lib.scala 88:41] + wire _T_618 = io_trigger_pkt_any_1_tdata2[29] == dec_i0_match_data_1[29]; // @[lib.scala 88:78] + wire _T_619 = _T_615 | _T_618; // @[lib.scala 88:23] + wire _T_621 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 88:36] + wire _T_622 = _T_621 & _T_412; // @[lib.scala 88:41] + wire _T_625 = io_trigger_pkt_any_1_tdata2[30] == dec_i0_match_data_1[30]; // @[lib.scala 88:78] + wire _T_626 = _T_622 | _T_625; // @[lib.scala 88:23] + wire _T_628 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 88:36] + wire _T_629 = _T_628 & _T_412; // @[lib.scala 88:41] + wire _T_632 = io_trigger_pkt_any_1_tdata2[31] == dec_i0_match_data_1[31]; // @[lib.scala 88:78] + wire _T_633 = _T_629 | _T_632; // @[lib.scala 88:23] + wire [7:0] _T_640 = {_T_465,_T_458,_T_451,_T_444,_T_437,_T_430,_T_423,_T_416}; // @[lib.scala 89:14] + wire [15:0] _T_648 = {_T_521,_T_514,_T_507,_T_500,_T_493,_T_486,_T_479,_T_472,_T_640}; // @[lib.scala 89:14] + wire [7:0] _T_655 = {_T_577,_T_570,_T_563,_T_556,_T_549,_T_542,_T_535,_T_528}; // @[lib.scala 89:14] + wire [31:0] _T_664 = {_T_633,_T_626,_T_619,_T_612,_T_605,_T_598,_T_591,_T_584,_T_655,_T_648}; // @[lib.scala 89:14] + wire [31:0] _GEN_1 = {{31'd0}, _T_407}; // @[dec_trigger.scala 15:109] + wire [31:0] _T_665 = _GEN_1 & _T_664; // @[dec_trigger.scala 15:109] + wire _T_666 = io_trigger_pkt_any_2_execute & io_trigger_pkt_any_2_m; // @[dec_trigger.scala 15:83] + wire _T_669 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 85:45] + wire _T_670 = ~_T_669; // @[lib.scala 85:39] + wire _T_671 = io_trigger_pkt_any_2_match_pkt & _T_670; // @[lib.scala 85:37] + wire _T_674 = io_trigger_pkt_any_2_tdata2[0] == dec_i0_match_data_2[0]; // @[lib.scala 86:52] + wire _T_675 = _T_671 | _T_674; // @[lib.scala 86:41] + wire _T_677 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 88:36] + wire _T_678 = _T_677 & _T_671; // @[lib.scala 88:41] + wire _T_681 = io_trigger_pkt_any_2_tdata2[1] == dec_i0_match_data_2[1]; // @[lib.scala 88:78] + wire _T_682 = _T_678 | _T_681; // @[lib.scala 88:23] + wire _T_684 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 88:36] + wire _T_685 = _T_684 & _T_671; // @[lib.scala 88:41] + wire _T_688 = io_trigger_pkt_any_2_tdata2[2] == dec_i0_match_data_2[2]; // @[lib.scala 88:78] + wire _T_689 = _T_685 | _T_688; // @[lib.scala 88:23] + wire _T_691 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 88:36] + wire _T_692 = _T_691 & _T_671; // @[lib.scala 88:41] + wire _T_695 = io_trigger_pkt_any_2_tdata2[3] == dec_i0_match_data_2[3]; // @[lib.scala 88:78] + wire _T_696 = _T_692 | _T_695; // @[lib.scala 88:23] + wire _T_698 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 88:36] + wire _T_699 = _T_698 & _T_671; // @[lib.scala 88:41] + wire _T_702 = io_trigger_pkt_any_2_tdata2[4] == dec_i0_match_data_2[4]; // @[lib.scala 88:78] + wire _T_703 = _T_699 | _T_702; // @[lib.scala 88:23] + wire _T_705 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 88:36] + wire _T_706 = _T_705 & _T_671; // @[lib.scala 88:41] + wire _T_709 = io_trigger_pkt_any_2_tdata2[5] == dec_i0_match_data_2[5]; // @[lib.scala 88:78] + wire _T_710 = _T_706 | _T_709; // @[lib.scala 88:23] + wire _T_712 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 88:36] + wire _T_713 = _T_712 & _T_671; // @[lib.scala 88:41] + wire _T_716 = io_trigger_pkt_any_2_tdata2[6] == dec_i0_match_data_2[6]; // @[lib.scala 88:78] + wire _T_717 = _T_713 | _T_716; // @[lib.scala 88:23] + wire _T_719 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 88:36] + wire _T_720 = _T_719 & _T_671; // @[lib.scala 88:41] + wire _T_723 = io_trigger_pkt_any_2_tdata2[7] == dec_i0_match_data_2[7]; // @[lib.scala 88:78] + wire _T_724 = _T_720 | _T_723; // @[lib.scala 88:23] + wire _T_726 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 88:36] + wire _T_727 = _T_726 & _T_671; // @[lib.scala 88:41] + wire _T_730 = io_trigger_pkt_any_2_tdata2[8] == dec_i0_match_data_2[8]; // @[lib.scala 88:78] + wire _T_731 = _T_727 | _T_730; // @[lib.scala 88:23] + wire _T_733 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 88:36] + wire _T_734 = _T_733 & _T_671; // @[lib.scala 88:41] + wire _T_737 = io_trigger_pkt_any_2_tdata2[9] == dec_i0_match_data_2[9]; // @[lib.scala 88:78] + wire _T_738 = _T_734 | _T_737; // @[lib.scala 88:23] + wire _T_740 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 88:36] + wire _T_741 = _T_740 & _T_671; // @[lib.scala 88:41] + wire _T_744 = io_trigger_pkt_any_2_tdata2[10] == dec_i0_match_data_2[10]; // @[lib.scala 88:78] + wire _T_745 = _T_741 | _T_744; // @[lib.scala 88:23] + wire _T_747 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 88:36] + wire _T_748 = _T_747 & _T_671; // @[lib.scala 88:41] + wire _T_751 = io_trigger_pkt_any_2_tdata2[11] == dec_i0_match_data_2[11]; // @[lib.scala 88:78] + wire _T_752 = _T_748 | _T_751; // @[lib.scala 88:23] + wire _T_754 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 88:36] + wire _T_755 = _T_754 & _T_671; // @[lib.scala 88:41] + wire _T_758 = io_trigger_pkt_any_2_tdata2[12] == dec_i0_match_data_2[12]; // @[lib.scala 88:78] + wire _T_759 = _T_755 | _T_758; // @[lib.scala 88:23] + wire _T_761 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 88:36] + wire _T_762 = _T_761 & _T_671; // @[lib.scala 88:41] + wire _T_765 = io_trigger_pkt_any_2_tdata2[13] == dec_i0_match_data_2[13]; // @[lib.scala 88:78] + wire _T_766 = _T_762 | _T_765; // @[lib.scala 88:23] + wire _T_768 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 88:36] + wire _T_769 = _T_768 & _T_671; // @[lib.scala 88:41] + wire _T_772 = io_trigger_pkt_any_2_tdata2[14] == dec_i0_match_data_2[14]; // @[lib.scala 88:78] + wire _T_773 = _T_769 | _T_772; // @[lib.scala 88:23] + wire _T_775 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 88:36] + wire _T_776 = _T_775 & _T_671; // @[lib.scala 88:41] + wire _T_779 = io_trigger_pkt_any_2_tdata2[15] == dec_i0_match_data_2[15]; // @[lib.scala 88:78] + wire _T_780 = _T_776 | _T_779; // @[lib.scala 88:23] + wire _T_782 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 88:36] + wire _T_783 = _T_782 & _T_671; // @[lib.scala 88:41] + wire _T_786 = io_trigger_pkt_any_2_tdata2[16] == dec_i0_match_data_2[16]; // @[lib.scala 88:78] + wire _T_787 = _T_783 | _T_786; // @[lib.scala 88:23] + wire _T_789 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 88:36] + wire _T_790 = _T_789 & _T_671; // @[lib.scala 88:41] + wire _T_793 = io_trigger_pkt_any_2_tdata2[17] == dec_i0_match_data_2[17]; // @[lib.scala 88:78] + wire _T_794 = _T_790 | _T_793; // @[lib.scala 88:23] + wire _T_796 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 88:36] + wire _T_797 = _T_796 & _T_671; // @[lib.scala 88:41] + wire _T_800 = io_trigger_pkt_any_2_tdata2[18] == dec_i0_match_data_2[18]; // @[lib.scala 88:78] + wire _T_801 = _T_797 | _T_800; // @[lib.scala 88:23] + wire _T_803 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 88:36] + wire _T_804 = _T_803 & _T_671; // @[lib.scala 88:41] + wire _T_807 = io_trigger_pkt_any_2_tdata2[19] == dec_i0_match_data_2[19]; // @[lib.scala 88:78] + wire _T_808 = _T_804 | _T_807; // @[lib.scala 88:23] + wire _T_810 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 88:36] + wire _T_811 = _T_810 & _T_671; // @[lib.scala 88:41] + wire _T_814 = io_trigger_pkt_any_2_tdata2[20] == dec_i0_match_data_2[20]; // @[lib.scala 88:78] + wire _T_815 = _T_811 | _T_814; // @[lib.scala 88:23] + wire _T_817 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 88:36] + wire _T_818 = _T_817 & _T_671; // @[lib.scala 88:41] + wire _T_821 = io_trigger_pkt_any_2_tdata2[21] == dec_i0_match_data_2[21]; // @[lib.scala 88:78] + wire _T_822 = _T_818 | _T_821; // @[lib.scala 88:23] + wire _T_824 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 88:36] + wire _T_825 = _T_824 & _T_671; // @[lib.scala 88:41] + wire _T_828 = io_trigger_pkt_any_2_tdata2[22] == dec_i0_match_data_2[22]; // @[lib.scala 88:78] + wire _T_829 = _T_825 | _T_828; // @[lib.scala 88:23] + wire _T_831 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 88:36] + wire _T_832 = _T_831 & _T_671; // @[lib.scala 88:41] + wire _T_835 = io_trigger_pkt_any_2_tdata2[23] == dec_i0_match_data_2[23]; // @[lib.scala 88:78] + wire _T_836 = _T_832 | _T_835; // @[lib.scala 88:23] + wire _T_838 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 88:36] + wire _T_839 = _T_838 & _T_671; // @[lib.scala 88:41] + wire _T_842 = io_trigger_pkt_any_2_tdata2[24] == dec_i0_match_data_2[24]; // @[lib.scala 88:78] + wire _T_843 = _T_839 | _T_842; // @[lib.scala 88:23] + wire _T_845 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 88:36] + wire _T_846 = _T_845 & _T_671; // @[lib.scala 88:41] + wire _T_849 = io_trigger_pkt_any_2_tdata2[25] == dec_i0_match_data_2[25]; // @[lib.scala 88:78] + wire _T_850 = _T_846 | _T_849; // @[lib.scala 88:23] + wire _T_852 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 88:36] + wire _T_853 = _T_852 & _T_671; // @[lib.scala 88:41] + wire _T_856 = io_trigger_pkt_any_2_tdata2[26] == dec_i0_match_data_2[26]; // @[lib.scala 88:78] + wire _T_857 = _T_853 | _T_856; // @[lib.scala 88:23] + wire _T_859 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 88:36] + wire _T_860 = _T_859 & _T_671; // @[lib.scala 88:41] + wire _T_863 = io_trigger_pkt_any_2_tdata2[27] == dec_i0_match_data_2[27]; // @[lib.scala 88:78] + wire _T_864 = _T_860 | _T_863; // @[lib.scala 88:23] + wire _T_866 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 88:36] + wire _T_867 = _T_866 & _T_671; // @[lib.scala 88:41] + wire _T_870 = io_trigger_pkt_any_2_tdata2[28] == dec_i0_match_data_2[28]; // @[lib.scala 88:78] + wire _T_871 = _T_867 | _T_870; // @[lib.scala 88:23] + wire _T_873 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 88:36] + wire _T_874 = _T_873 & _T_671; // @[lib.scala 88:41] + wire _T_877 = io_trigger_pkt_any_2_tdata2[29] == dec_i0_match_data_2[29]; // @[lib.scala 88:78] + wire _T_878 = _T_874 | _T_877; // @[lib.scala 88:23] + wire _T_880 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 88:36] + wire _T_881 = _T_880 & _T_671; // @[lib.scala 88:41] + wire _T_884 = io_trigger_pkt_any_2_tdata2[30] == dec_i0_match_data_2[30]; // @[lib.scala 88:78] + wire _T_885 = _T_881 | _T_884; // @[lib.scala 88:23] + wire _T_887 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 88:36] + wire _T_888 = _T_887 & _T_671; // @[lib.scala 88:41] + wire _T_891 = io_trigger_pkt_any_2_tdata2[31] == dec_i0_match_data_2[31]; // @[lib.scala 88:78] + wire _T_892 = _T_888 | _T_891; // @[lib.scala 88:23] + wire [7:0] _T_899 = {_T_724,_T_717,_T_710,_T_703,_T_696,_T_689,_T_682,_T_675}; // @[lib.scala 89:14] + wire [15:0] _T_907 = {_T_780,_T_773,_T_766,_T_759,_T_752,_T_745,_T_738,_T_731,_T_899}; // @[lib.scala 89:14] + wire [7:0] _T_914 = {_T_836,_T_829,_T_822,_T_815,_T_808,_T_801,_T_794,_T_787}; // @[lib.scala 89:14] + wire [31:0] _T_923 = {_T_892,_T_885,_T_878,_T_871,_T_864,_T_857,_T_850,_T_843,_T_914,_T_907}; // @[lib.scala 89:14] + wire [31:0] _GEN_2 = {{31'd0}, _T_666}; // @[dec_trigger.scala 15:109] + wire [31:0] _T_924 = _GEN_2 & _T_923; // @[dec_trigger.scala 15:109] + wire _T_925 = io_trigger_pkt_any_3_execute & io_trigger_pkt_any_3_m; // @[dec_trigger.scala 15:83] + wire _T_928 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 85:45] + wire _T_929 = ~_T_928; // @[lib.scala 85:39] + wire _T_930 = io_trigger_pkt_any_3_match_pkt & _T_929; // @[lib.scala 85:37] + wire _T_933 = io_trigger_pkt_any_3_tdata2[0] == dec_i0_match_data_3[0]; // @[lib.scala 86:52] + wire _T_934 = _T_930 | _T_933; // @[lib.scala 86:41] + wire _T_936 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 88:36] + wire _T_937 = _T_936 & _T_930; // @[lib.scala 88:41] + wire _T_940 = io_trigger_pkt_any_3_tdata2[1] == dec_i0_match_data_3[1]; // @[lib.scala 88:78] + wire _T_941 = _T_937 | _T_940; // @[lib.scala 88:23] + wire _T_943 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 88:36] + wire _T_944 = _T_943 & _T_930; // @[lib.scala 88:41] + wire _T_947 = io_trigger_pkt_any_3_tdata2[2] == dec_i0_match_data_3[2]; // @[lib.scala 88:78] + wire _T_948 = _T_944 | _T_947; // @[lib.scala 88:23] + wire _T_950 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 88:36] + wire _T_951 = _T_950 & _T_930; // @[lib.scala 88:41] + wire _T_954 = io_trigger_pkt_any_3_tdata2[3] == dec_i0_match_data_3[3]; // @[lib.scala 88:78] + wire _T_955 = _T_951 | _T_954; // @[lib.scala 88:23] + wire _T_957 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 88:36] + wire _T_958 = _T_957 & _T_930; // @[lib.scala 88:41] + wire _T_961 = io_trigger_pkt_any_3_tdata2[4] == dec_i0_match_data_3[4]; // @[lib.scala 88:78] + wire _T_962 = _T_958 | _T_961; // @[lib.scala 88:23] + wire _T_964 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 88:36] + wire _T_965 = _T_964 & _T_930; // @[lib.scala 88:41] + wire _T_968 = io_trigger_pkt_any_3_tdata2[5] == dec_i0_match_data_3[5]; // @[lib.scala 88:78] + wire _T_969 = _T_965 | _T_968; // @[lib.scala 88:23] + wire _T_971 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 88:36] + wire _T_972 = _T_971 & _T_930; // @[lib.scala 88:41] + wire _T_975 = io_trigger_pkt_any_3_tdata2[6] == dec_i0_match_data_3[6]; // @[lib.scala 88:78] + wire _T_976 = _T_972 | _T_975; // @[lib.scala 88:23] + wire _T_978 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 88:36] + wire _T_979 = _T_978 & _T_930; // @[lib.scala 88:41] + wire _T_982 = io_trigger_pkt_any_3_tdata2[7] == dec_i0_match_data_3[7]; // @[lib.scala 88:78] + wire _T_983 = _T_979 | _T_982; // @[lib.scala 88:23] + wire _T_985 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 88:36] + wire _T_986 = _T_985 & _T_930; // @[lib.scala 88:41] + wire _T_989 = io_trigger_pkt_any_3_tdata2[8] == dec_i0_match_data_3[8]; // @[lib.scala 88:78] + wire _T_990 = _T_986 | _T_989; // @[lib.scala 88:23] + wire _T_992 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 88:36] + wire _T_993 = _T_992 & _T_930; // @[lib.scala 88:41] + wire _T_996 = io_trigger_pkt_any_3_tdata2[9] == dec_i0_match_data_3[9]; // @[lib.scala 88:78] + wire _T_997 = _T_993 | _T_996; // @[lib.scala 88:23] + wire _T_999 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 88:36] + wire _T_1000 = _T_999 & _T_930; // @[lib.scala 88:41] + wire _T_1003 = io_trigger_pkt_any_3_tdata2[10] == dec_i0_match_data_3[10]; // @[lib.scala 88:78] + wire _T_1004 = _T_1000 | _T_1003; // @[lib.scala 88:23] + wire _T_1006 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 88:36] + wire _T_1007 = _T_1006 & _T_930; // @[lib.scala 88:41] + wire _T_1010 = io_trigger_pkt_any_3_tdata2[11] == dec_i0_match_data_3[11]; // @[lib.scala 88:78] + wire _T_1011 = _T_1007 | _T_1010; // @[lib.scala 88:23] + wire _T_1013 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 88:36] + wire _T_1014 = _T_1013 & _T_930; // @[lib.scala 88:41] + wire _T_1017 = io_trigger_pkt_any_3_tdata2[12] == dec_i0_match_data_3[12]; // @[lib.scala 88:78] + wire _T_1018 = _T_1014 | _T_1017; // @[lib.scala 88:23] + wire _T_1020 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 88:36] + wire _T_1021 = _T_1020 & _T_930; // @[lib.scala 88:41] + wire _T_1024 = io_trigger_pkt_any_3_tdata2[13] == dec_i0_match_data_3[13]; // @[lib.scala 88:78] + wire _T_1025 = _T_1021 | _T_1024; // @[lib.scala 88:23] + wire _T_1027 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 88:36] + wire _T_1028 = _T_1027 & _T_930; // @[lib.scala 88:41] + wire _T_1031 = io_trigger_pkt_any_3_tdata2[14] == dec_i0_match_data_3[14]; // @[lib.scala 88:78] + wire _T_1032 = _T_1028 | _T_1031; // @[lib.scala 88:23] + wire _T_1034 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 88:36] + wire _T_1035 = _T_1034 & _T_930; // @[lib.scala 88:41] + wire _T_1038 = io_trigger_pkt_any_3_tdata2[15] == dec_i0_match_data_3[15]; // @[lib.scala 88:78] + wire _T_1039 = _T_1035 | _T_1038; // @[lib.scala 88:23] + wire _T_1041 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 88:36] + wire _T_1042 = _T_1041 & _T_930; // @[lib.scala 88:41] + wire _T_1045 = io_trigger_pkt_any_3_tdata2[16] == dec_i0_match_data_3[16]; // @[lib.scala 88:78] + wire _T_1046 = _T_1042 | _T_1045; // @[lib.scala 88:23] + wire _T_1048 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 88:36] + wire _T_1049 = _T_1048 & _T_930; // @[lib.scala 88:41] + wire _T_1052 = io_trigger_pkt_any_3_tdata2[17] == dec_i0_match_data_3[17]; // @[lib.scala 88:78] + wire _T_1053 = _T_1049 | _T_1052; // @[lib.scala 88:23] + wire _T_1055 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 88:36] + wire _T_1056 = _T_1055 & _T_930; // @[lib.scala 88:41] + wire _T_1059 = io_trigger_pkt_any_3_tdata2[18] == dec_i0_match_data_3[18]; // @[lib.scala 88:78] + wire _T_1060 = _T_1056 | _T_1059; // @[lib.scala 88:23] + wire _T_1062 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 88:36] + wire _T_1063 = _T_1062 & _T_930; // @[lib.scala 88:41] + wire _T_1066 = io_trigger_pkt_any_3_tdata2[19] == dec_i0_match_data_3[19]; // @[lib.scala 88:78] + wire _T_1067 = _T_1063 | _T_1066; // @[lib.scala 88:23] + wire _T_1069 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 88:36] + wire _T_1070 = _T_1069 & _T_930; // @[lib.scala 88:41] + wire _T_1073 = io_trigger_pkt_any_3_tdata2[20] == dec_i0_match_data_3[20]; // @[lib.scala 88:78] + wire _T_1074 = _T_1070 | _T_1073; // @[lib.scala 88:23] + wire _T_1076 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 88:36] + wire _T_1077 = _T_1076 & _T_930; // @[lib.scala 88:41] + wire _T_1080 = io_trigger_pkt_any_3_tdata2[21] == dec_i0_match_data_3[21]; // @[lib.scala 88:78] + wire _T_1081 = _T_1077 | _T_1080; // @[lib.scala 88:23] + wire _T_1083 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 88:36] + wire _T_1084 = _T_1083 & _T_930; // @[lib.scala 88:41] + wire _T_1087 = io_trigger_pkt_any_3_tdata2[22] == dec_i0_match_data_3[22]; // @[lib.scala 88:78] + wire _T_1088 = _T_1084 | _T_1087; // @[lib.scala 88:23] + wire _T_1090 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 88:36] + wire _T_1091 = _T_1090 & _T_930; // @[lib.scala 88:41] + wire _T_1094 = io_trigger_pkt_any_3_tdata2[23] == dec_i0_match_data_3[23]; // @[lib.scala 88:78] + wire _T_1095 = _T_1091 | _T_1094; // @[lib.scala 88:23] + wire _T_1097 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 88:36] + wire _T_1098 = _T_1097 & _T_930; // @[lib.scala 88:41] + wire _T_1101 = io_trigger_pkt_any_3_tdata2[24] == dec_i0_match_data_3[24]; // @[lib.scala 88:78] + wire _T_1102 = _T_1098 | _T_1101; // @[lib.scala 88:23] + wire _T_1104 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 88:36] + wire _T_1105 = _T_1104 & _T_930; // @[lib.scala 88:41] + wire _T_1108 = io_trigger_pkt_any_3_tdata2[25] == dec_i0_match_data_3[25]; // @[lib.scala 88:78] + wire _T_1109 = _T_1105 | _T_1108; // @[lib.scala 88:23] + wire _T_1111 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 88:36] + wire _T_1112 = _T_1111 & _T_930; // @[lib.scala 88:41] + wire _T_1115 = io_trigger_pkt_any_3_tdata2[26] == dec_i0_match_data_3[26]; // @[lib.scala 88:78] + wire _T_1116 = _T_1112 | _T_1115; // @[lib.scala 88:23] + wire _T_1118 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 88:36] + wire _T_1119 = _T_1118 & _T_930; // @[lib.scala 88:41] + wire _T_1122 = io_trigger_pkt_any_3_tdata2[27] == dec_i0_match_data_3[27]; // @[lib.scala 88:78] + wire _T_1123 = _T_1119 | _T_1122; // @[lib.scala 88:23] + wire _T_1125 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 88:36] + wire _T_1126 = _T_1125 & _T_930; // @[lib.scala 88:41] + wire _T_1129 = io_trigger_pkt_any_3_tdata2[28] == dec_i0_match_data_3[28]; // @[lib.scala 88:78] + wire _T_1130 = _T_1126 | _T_1129; // @[lib.scala 88:23] + wire _T_1132 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 88:36] + wire _T_1133 = _T_1132 & _T_930; // @[lib.scala 88:41] + wire _T_1136 = io_trigger_pkt_any_3_tdata2[29] == dec_i0_match_data_3[29]; // @[lib.scala 88:78] + wire _T_1137 = _T_1133 | _T_1136; // @[lib.scala 88:23] + wire _T_1139 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 88:36] + wire _T_1140 = _T_1139 & _T_930; // @[lib.scala 88:41] + wire _T_1143 = io_trigger_pkt_any_3_tdata2[30] == dec_i0_match_data_3[30]; // @[lib.scala 88:78] + wire _T_1144 = _T_1140 | _T_1143; // @[lib.scala 88:23] + wire _T_1146 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 88:36] + wire _T_1147 = _T_1146 & _T_930; // @[lib.scala 88:41] + wire _T_1150 = io_trigger_pkt_any_3_tdata2[31] == dec_i0_match_data_3[31]; // @[lib.scala 88:78] + wire _T_1151 = _T_1147 | _T_1150; // @[lib.scala 88:23] + wire [7:0] _T_1158 = {_T_983,_T_976,_T_969,_T_962,_T_955,_T_948,_T_941,_T_934}; // @[lib.scala 89:14] + wire [15:0] _T_1166 = {_T_1039,_T_1032,_T_1025,_T_1018,_T_1011,_T_1004,_T_997,_T_990,_T_1158}; // @[lib.scala 89:14] + wire [7:0] _T_1173 = {_T_1095,_T_1088,_T_1081,_T_1074,_T_1067,_T_1060,_T_1053,_T_1046}; // @[lib.scala 89:14] + wire [31:0] _T_1182 = {_T_1151,_T_1144,_T_1137,_T_1130,_T_1123,_T_1116,_T_1109,_T_1102,_T_1173,_T_1166}; // @[lib.scala 89:14] + wire [31:0] _GEN_3 = {{31'd0}, _T_925}; // @[dec_trigger.scala 15:109] + wire [31:0] _T_1183 = _GEN_3 & _T_1182; // @[dec_trigger.scala 15:109] + wire [127:0] _T_1186 = {_T_1183,_T_924,_T_665,_T_406}; // @[Cat.scala 29:58] + assign io_dec_i0_trigger_match_d = _T_1186[3:0]; // @[dec_trigger.scala 15:29] endmodule module dec( input clock, @@ -59365,27 +59365,6 @@ module dec( assign dec_trigger_io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[dec.scala 129:34] assign dec_trigger_io_dec_i0_pc_d = instbuff_io_ib_exu_dec_i0_pc_d; // @[dec.scala 128:30] endmodule -module rvclkhdr_757( - output io_l1clk, - input io_clk, - input io_en, - input io_scan_mode -); - wire clkhdr_Q; // @[lib.scala 318:26] - wire clkhdr_CK; // @[lib.scala 318:26] - wire clkhdr_EN; // @[lib.scala 318:26] - wire clkhdr_SE; // @[lib.scala 318:26] - gated_latch clkhdr ( // @[lib.scala 318:26] - .Q(clkhdr_Q), - .CK(clkhdr_CK), - .EN(clkhdr_EN), - .SE(clkhdr_SE) - ); - assign io_l1clk = clkhdr_Q; // @[lib.scala 319:14] - assign clkhdr_CK = io_clk; // @[lib.scala 320:18] - assign clkhdr_EN = io_en; // @[lib.scala 321:18] - assign clkhdr_SE = io_scan_mode; // @[lib.scala 322:18] -endmodule module dbg( input clock, input reset, @@ -59465,6 +59444,39 @@ module dbg( reg [31:0] _RAND_19; reg [31:0] _RAND_20; `endif // RANDOMIZE_REG_INIT + wire [2:0] dbg_state; + wire dbg_state_en; + wire [3:0] sb_state; + wire sb_state_en; + wire [31:0] dmcontrol_reg; + wire [31:0] sbaddress0_reg; + wire sbcs_sbbusy_wren; + wire sbcs_sberror_wren; + wire [63:0] sb_bus_rdata; + wire sbaddress0_reg_wren1; + wire [31:0] dmstatus_reg; + wire dmstatus_havereset; + wire dmstatus_resumeack; + wire dmstatus_unavail; + wire dmstatus_running; + wire dmstatus_halted; + wire abstractcs_busy_wren; + wire sb_bus_cmd_read; + wire sb_bus_cmd_write_addr; + wire sb_bus_cmd_write_data; + wire sb_bus_rsp_read; + wire sb_bus_rsp_error; + wire sb_bus_rsp_write; + wire sbcs_sbbusy_din; + wire [31:0] data1_reg; + wire [31:0] sbcs_reg; + wire _T = dbg_state != 3'h0; // @[dbg.scala 95:51] + wire _T_1 = io_dmi_reg_en | _T; // @[dbg.scala 95:38] + wire _T_2 = _T_1 | dbg_state_en; // @[dbg.scala 95:69] + wire _T_3 = _T_2 | io_dec_tlu_dbg_halted; // @[dbg.scala 95:84] + wire _T_4 = io_dmi_reg_en | sb_state_en; // @[dbg.scala 96:37] + wire _T_5 = sb_state != 4'h0; // @[dbg.scala 96:63] + wire _T_6 = _T_4 | _T_5; // @[dbg.scala 96:51] wire rvclkhdr_io_l1clk; // @[lib.scala 327:22] wire rvclkhdr_io_clk; // @[lib.scala 327:22] wire rvclkhdr_io_en; // @[lib.scala 327:22] @@ -59473,156 +59485,9 @@ module dbg( wire rvclkhdr_1_io_clk; // @[lib.scala 327:22] wire rvclkhdr_1_io_en; // @[lib.scala 327:22] wire rvclkhdr_1_io_scan_mode; // @[lib.scala 327:22] - wire rvclkhdr_2_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_2_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_2_io_en; // @[lib.scala 352:23] - wire rvclkhdr_2_io_scan_mode; // @[lib.scala 352:23] - wire rvclkhdr_3_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_3_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_3_io_en; // @[lib.scala 352:23] - wire rvclkhdr_3_io_scan_mode; // @[lib.scala 352:23] - wire rvclkhdr_4_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_4_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_4_io_en; // @[lib.scala 352:23] - wire rvclkhdr_4_io_scan_mode; // @[lib.scala 352:23] - wire rvclkhdr_5_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_5_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_5_io_en; // @[lib.scala 352:23] - wire rvclkhdr_5_io_scan_mode; // @[lib.scala 352:23] - reg [2:0] dbg_state; // @[Reg.scala 27:20] - wire _T = dbg_state != 3'h0; // @[dbg.scala 95:51] - wire _T_1 = io_dmi_reg_en | _T; // @[dbg.scala 95:38] - wire _T_309 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] - reg [3:0] dm_temp; // @[Reg.scala 27:20] - reg dm_temp_0; // @[Reg.scala 27:20] - wire [31:0] temp = {dm_temp[3:2],1'h0,dm_temp[1],26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] - wire _T_314 = ~io_dec_tlu_debug_mode; // @[dbg.scala 266:45] - wire _T_315 = temp[31] & _T_314; // @[dbg.scala 266:43] - reg dmstatus_havereset; // @[Reg.scala 27:20] - wire [1:0] _T_150 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg dmstatus_resumeack; // @[Reg.scala 27:20] - wire [1:0] _T_152 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_183 = ~reset; // @[dbg.scala 191:43] - wire dmstatus_unavail = temp[1] | _T_183; // @[dbg.scala 191:41] - wire [1:0] _T_154 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg dmstatus_halted; // @[dbg.scala 198:12] - wire _T_186 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 192:42] - wire dmstatus_running = ~_T_186; // @[dbg.scala 192:23] - wire [1:0] _T_156 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_158 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [31:0] dmstatus_reg = {12'h0,_T_150,_T_152,2'h0,_T_154,_T_156,_T_158,1'h1,7'h2}; // @[Cat.scala 29:58] - wire _T_317 = _T_315 | dmstatus_reg[9]; // @[dbg.scala 266:69] - wire _T_318 = _T_317 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 266:87] - wire _T_320 = ~temp[1]; // @[dbg.scala 266:119] - wire _T_321 = _T_318 & _T_320; // @[dbg.scala 266:117] - wire _T_327 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] - wire _T_332 = dmstatus_reg[9] | temp[1]; // @[dbg.scala 271:39] - wire _T_339 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] - wire _T_354 = dmstatus_reg[9] & temp[30]; // @[dbg.scala 278:39] - wire _T_356 = ~temp[31]; // @[dbg.scala 278:61] - wire _T_357 = _T_354 & _T_356; // @[dbg.scala 278:59] - reg dmcontrol_wren_Q; // @[dbg.scala 181:12] - wire _T_358 = _T_357 & dmcontrol_wren_Q; // @[dbg.scala 278:80] - wire _T_275 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 235:39] - wire _T_276 = _T_275 & io_dmi_reg_en; // @[dbg.scala 235:52] - wire _T_277 = _T_276 & io_dmi_reg_wr_en; // @[dbg.scala 235:68] - wire _T_278 = dbg_state == 3'h2; // @[dbg.scala 235:100] - wire command_wren = _T_277 & _T_278; // @[dbg.scala 235:87] - wire _T_359 = _T_358 | command_wren; // @[dbg.scala 278:99] - wire _T_361 = _T_359 | temp[1]; // @[dbg.scala 278:114] - wire _T_363 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 279:46] - wire _T_364 = ~_T_363; // @[dbg.scala 279:28] - wire _T_365 = _T_361 | _T_364; // @[dbg.scala 279:26] - wire _T_377 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] - reg abs_temp_12; // @[Reg.scala 27:20] - reg [2:0] abs_temp_10_8; // @[dbg.scala 230:12] - wire [31:0] abstractcs_reg = {19'h0,abs_temp_12,1'h0,abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] - wire _T_384 = |abstractcs_reg[10:8]; // @[dbg.scala 287:79] - wire _T_385 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_384; // @[dbg.scala 287:55] - wire _T_387 = _T_385 | temp[1]; // @[dbg.scala 287:83] - wire _T_394 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] - wire _T_398 = io_core_dbg_cmd_done | temp[1]; // @[dbg.scala 292:44] - wire _T_405 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] - wire _T_414 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] - wire _T_417 = dmstatus_reg[17] | temp[1]; // @[dbg.scala 304:40] - wire _GEN_13 = _T_414 & _T_417; // @[Conditional.scala 39:67] - wire _GEN_16 = _T_405 | _GEN_13; // @[Conditional.scala 39:67] - wire _GEN_21 = _T_394 ? _T_398 : _GEN_16; // @[Conditional.scala 39:67] - wire _GEN_26 = _T_377 ? _T_387 : _GEN_21; // @[Conditional.scala 39:67] - wire _GEN_31 = _T_339 ? _T_365 : _GEN_26; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_327 ? _T_332 : _GEN_31; // @[Conditional.scala 39:67] - wire dbg_state_en = _T_309 ? _T_321 : _GEN_37; // @[Conditional.scala 40:58] - wire _T_2 = _T_1 | dbg_state_en; // @[dbg.scala 95:69] - wire _T_3 = _T_2 | io_dec_tlu_dbg_halted; // @[dbg.scala 95:84] - reg [3:0] sb_state; // @[Reg.scala 27:20] - wire sbcs_sbbusy_din = 4'h0 == sb_state; // @[Conditional.scala 37:30] - wire _T_130 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 165:40] - wire _T_131 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 165:78] - wire sbdata0wr_access = _T_130 & _T_131; // @[dbg.scala 165:59] - wire _T_125 = ~io_dmi_reg_wr_en; // @[dbg.scala 164:45] - wire _T_126 = io_dmi_reg_en & _T_125; // @[dbg.scala 164:43] - wire _T_128 = _T_126 & _T_131; // @[dbg.scala 164:63] - reg temp_sbcs_22; // @[Reg.scala 27:20] - reg temp_sbcs_21; // @[Reg.scala 27:20] - reg temp_sbcs_20; // @[Reg.scala 27:20] - reg [4:0] temp_sbcs_19_15; // @[Reg.scala 27:20] - reg [2:0] temp_sbcs_14_12; // @[Reg.scala 27:20] - wire [31:0] sbcs_reg = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20,temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] - wire sbreadondata_access = _T_128 & sbcs_reg[15]; // @[dbg.scala 164:95] - wire _T_516 = sbdata0wr_access | sbreadondata_access; // @[dbg.scala 343:39] - wire _T_122 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 163:81] - wire _T_123 = _T_130 & _T_122; // @[dbg.scala 163:62] - wire sbreadonaddr_access = _T_123 & sbcs_reg[20]; // @[dbg.scala 163:94] - wire _T_517 = _T_516 | sbreadonaddr_access; // @[dbg.scala 343:61] - wire _T_525 = 4'h1 == sb_state; // @[Conditional.scala 37:30] - wire _T_46 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 127:42] - reg [31:0] sbaddress0_reg; // @[lib.scala 358:16] - wire _T_48 = _T_46 & sbaddress0_reg[0]; // @[dbg.scala 127:56] - wire _T_50 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 128:23] - wire _T_52 = |sbaddress0_reg[1:0]; // @[dbg.scala 128:60] - wire _T_53 = _T_50 & _T_52; // @[dbg.scala 128:37] - wire _T_54 = _T_48 | _T_53; // @[dbg.scala 127:76] - wire _T_56 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 129:23] - wire _T_58 = |sbaddress0_reg[2:0]; // @[dbg.scala 129:60] - wire _T_59 = _T_56 & _T_58; // @[dbg.scala 129:37] - wire sbcs_unaligned = _T_54 | _T_59; // @[dbg.scala 128:64] - wire _T_528 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 351:40] - wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 131:35] - wire _T_529 = _T_528 | sbcs_illegal_size; // @[dbg.scala 351:57] - wire _T_532 = 4'h2 == sb_state; // @[Conditional.scala 37:30] - wire _T_539 = 4'h3 == sb_state; // @[Conditional.scala 37:30] - wire sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 401:41] - wire _T_540 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 363:38] - wire _T_541 = 4'h4 == sb_state; // @[Conditional.scala 37:30] - wire sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 402:47] - wire sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 403:46] - wire _T_545 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 367:45] - wire _T_546 = _T_545 & io_dbg_bus_clk_en; // @[dbg.scala 367:70] - wire _T_547 = 4'h5 == sb_state; // @[Conditional.scala 37:30] - wire _T_548 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 371:44] - wire _T_549 = 4'h6 == sb_state; // @[Conditional.scala 37:30] - wire _T_550 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 375:44] - wire _T_551 = 4'h7 == sb_state; // @[Conditional.scala 37:30] - wire sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 404:40] - wire _T_552 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 379:38] - wire _T_554 = 4'h8 == sb_state; // @[Conditional.scala 37:30] - wire sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 405:41] - wire _T_555 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 385:39] - wire _T_557 = 4'h9 == sb_state; // @[Conditional.scala 37:30] - wire _GEN_55 = _T_554 ? _T_555 : _T_557; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_551 ? _T_552 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_69 = _T_549 ? _T_550 : _GEN_62; // @[Conditional.scala 39:67] - wire _GEN_76 = _T_547 ? _T_548 : _GEN_69; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_541 ? _T_546 : _GEN_76; // @[Conditional.scala 39:67] - wire _GEN_90 = _T_539 ? _T_540 : _GEN_83; // @[Conditional.scala 39:67] - wire _GEN_97 = _T_532 ? _T_529 : _GEN_90; // @[Conditional.scala 39:67] - wire _GEN_104 = _T_525 ? _T_529 : _GEN_97; // @[Conditional.scala 39:67] - wire sb_state_en = sbcs_sbbusy_din ? _T_517 : _GEN_104; // @[Conditional.scala 40:58] - wire _T_4 = io_dmi_reg_en | sb_state_en; // @[dbg.scala 96:37] - wire _T_5 = sb_state != 4'h0; // @[dbg.scala 96:63] - wire _T_6 = _T_4 | _T_5; // @[dbg.scala 96:51] - wire _T_9 = temp[0] | io_scan_mode; // @[dbg.scala 99:64] + wire _T_9 = dmcontrol_reg[0] | io_scan_mode; // @[dbg.scala 99:64] wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 99:44] + wire _T_11 = ~dmcontrol_reg[1]; // @[dbg.scala 100:25] wire _T_13 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 101:36] wire _T_14 = _T_13 & io_dmi_reg_en; // @[dbg.scala 101:49] wire _T_15 = _T_14 & io_dmi_reg_wr_en; // @[dbg.scala 101:65] @@ -59630,281 +59495,406 @@ module dbg( wire sbcs_wren = _T_15 & _T_16; // @[dbg.scala 101:84] wire _T_18 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 102:42] wire _T_20 = _T_5 & io_dmi_reg_en; // @[dbg.scala 102:102] - wire _T_23 = _T_122 | _T_131; // @[dbg.scala 103:36] + wire _T_21 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 103:23] + wire _T_22 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 103:55] + wire _T_23 = _T_21 | _T_22; // @[dbg.scala 103:36] wire _T_24 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 103:87] wire _T_25 = _T_23 | _T_24; // @[dbg.scala 103:68] wire _T_26 = _T_20 & _T_25; // @[dbg.scala 102:118] wire sbcs_sbbusyerror_wren = _T_18 | _T_26; // @[dbg.scala 102:66] wire sbcs_sbbusyerror_din = ~_T_18; // @[dbg.scala 105:31] - wire _T_29 = ~dbg_dm_rst_l; // @[dbg.scala 106:53] - wire _GEN_58 = _T_554 ? 1'h0 : _T_557; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_551 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_72 = _T_549 ? 1'h0 : _GEN_65; // @[Conditional.scala 39:67] - wire _GEN_79 = _T_547 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] - wire _GEN_86 = _T_541 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] - wire _GEN_93 = _T_539 ? 1'h0 : _GEN_86; // @[Conditional.scala 39:67] - wire _GEN_100 = _T_532 ? 1'h0 : _GEN_93; // @[Conditional.scala 39:67] - wire _GEN_107 = _T_525 ? 1'h0 : _GEN_100; // @[Conditional.scala 39:67] - wire sbcs_sbbusy_wren = sbcs_sbbusy_din ? sb_state_en : _GEN_107; // @[Conditional.scala 40:58] - wire _T_522 = io_dmi_reg_wdata[14:12] == 3'h0; // @[dbg.scala 347:27] - wire [2:0] _GEN_118 = {{2'd0}, _T_522}; // @[dbg.scala 347:53] - wire [2:0] _T_524 = _GEN_118 & sbcs_reg[14:12]; // @[dbg.scala 347:53] - wire _T_519 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 346:65] - wire _T_520 = sbcs_wren & _T_519; // @[dbg.scala 346:38] - wire _T_530 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 352:43] - wire _T_567 = |io_sb_axi_r_bits_resp; // @[dbg.scala 406:69] - wire _T_568 = sb_bus_rsp_read & _T_567; // @[dbg.scala 406:39] - wire _T_570 = |io_sb_axi_b_bits_resp; // @[dbg.scala 406:122] - wire _T_571 = sb_bus_rsp_write & _T_570; // @[dbg.scala 406:92] - wire sb_bus_rsp_error = _T_568 | _T_571; // @[dbg.scala 406:73] - wire _T_553 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 380:40] - wire _GEN_56 = _T_554 & _T_553; // @[Conditional.scala 39:67] - wire _GEN_63 = _T_551 ? _T_553 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_549 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] - wire _GEN_77 = _T_547 ? 1'h0 : _GEN_70; // @[Conditional.scala 39:67] - wire _GEN_84 = _T_541 ? 1'h0 : _GEN_77; // @[Conditional.scala 39:67] - wire _GEN_91 = _T_539 ? 1'h0 : _GEN_84; // @[Conditional.scala 39:67] - wire _GEN_98 = _T_532 ? _T_530 : _GEN_91; // @[Conditional.scala 39:67] - wire _GEN_105 = _T_525 ? _T_530 : _GEN_98; // @[Conditional.scala 39:67] - wire sbcs_sberror_wren = sbcs_sbbusy_din ? _T_520 : _GEN_105; // @[Conditional.scala 40:58] - wire _T_61 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 132:51] - wire [3:0] _T_63 = _T_61 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_64 = _T_63 & 4'h1; // @[dbg.scala 132:64] - wire [3:0] _T_68 = _T_46 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_69 = _T_68 & 4'h2; // @[dbg.scala 132:117] - wire [3:0] _T_70 = _T_64 | _T_69; // @[dbg.scala 132:76] - wire [3:0] _T_74 = _T_50 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_75 = _T_74 & 4'h4; // @[dbg.scala 133:44] - wire [3:0] _T_76 = _T_70 | _T_75; // @[dbg.scala 132:129] - wire [3:0] _T_80 = _T_56 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_81 = _T_80 & 4'h8; // @[dbg.scala 133:97] - wire [3:0] sbaddress0_incr = _T_76 | _T_81; // @[dbg.scala 133:56] - wire _T_84 = sb_state == 4'h7; // @[dbg.scala 136:37] - wire _T_85 = _T_84 & sb_state_en; // @[dbg.scala 136:60] - wire _T_86 = ~sbcs_sberror_wren; // @[dbg.scala 136:76] - wire sbdata0_reg_wren1 = _T_85 & _T_86; // @[dbg.scala 136:74] - wire sbdata1_reg_wren0 = _T_130 & _T_24; // @[dbg.scala 138:60] - wire [31:0] _T_93 = sbdata0wr_access ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_94 = _T_93 & io_dmi_reg_wdata; // @[dbg.scala 141:49] - wire [31:0] _T_96 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_656 = _T_61 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 441:99] - wire [6:0] _T_659 = 4'h8 * _GEN_119; // @[dbg.scala 441:99] - wire [63:0] _T_660 = io_sb_axi_r_bits_data >> _T_659; // @[dbg.scala 441:92] - wire [63:0] _T_661 = _T_660 & 64'hff; // @[dbg.scala 441:123] - wire [63:0] _T_662 = _T_656 & _T_661; // @[dbg.scala 441:59] - wire [63:0] _T_666 = _T_46 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 442:86] - wire [6:0] _T_669 = 5'h10 * _GEN_120; // @[dbg.scala 442:86] - wire [63:0] _T_670 = io_sb_axi_r_bits_data >> _T_669; // @[dbg.scala 442:78] - wire [63:0] _T_671 = _T_670 & 64'hffff; // @[dbg.scala 442:110] - wire [63:0] _T_672 = _T_666 & _T_671; // @[dbg.scala 442:45] - wire [63:0] _T_673 = _T_662 | _T_672; // @[dbg.scala 441:140] - wire [63:0] _T_677 = _T_50 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 443:86] - wire [6:0] _T_680 = 6'h20 * _GEN_121; // @[dbg.scala 443:86] - wire [63:0] _T_681 = io_sb_axi_r_bits_data >> _T_680; // @[dbg.scala 443:78] - wire [63:0] _T_682 = _T_681 & 64'hffffffff; // @[dbg.scala 443:107] - wire [63:0] _T_683 = _T_677 & _T_682; // @[dbg.scala 443:45] - wire [63:0] _T_684 = _T_673 | _T_683; // @[dbg.scala 442:129] - wire [63:0] _T_688 = _T_56 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_690 = _T_688 & io_sb_axi_r_bits_data; // @[dbg.scala 444:45] - wire [63:0] sb_bus_rdata = _T_684 | _T_690; // @[dbg.scala 443:131] - wire [31:0] _T_98 = _T_96 & sb_bus_rdata[31:0]; // @[dbg.scala 142:33] - wire [31:0] sbdata0_din = _T_94 | _T_98; // @[dbg.scala 141:68] - wire [31:0] _T_100 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_101 = _T_100 & io_dmi_reg_wdata; // @[dbg.scala 144:49] - wire [31:0] _T_105 = _T_96 & sb_bus_rdata[63:32]; // @[dbg.scala 145:33] - wire [31:0] sbdata1_din = _T_101 | _T_105; // @[dbg.scala 144:68] + wire _T_29 = ~dbg_dm_rst_l; // @[dbg.scala 106:54] + wire _T_30 = ~dbg_dm_rst_l; // @[dbg.scala 106:81] + reg temp_sbcs_22; // @[Reg.scala 27:20] + reg temp_sbcs_21; // @[Reg.scala 27:20] + reg temp_sbcs_20; // @[Reg.scala 27:20] + reg [4:0] temp_sbcs_19_15; // @[Reg.scala 27:20] + reg [2:0] temp_sbcs_14_12; // @[Reg.scala 27:20] + wire [19:0] _T_44 = {temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] + wire [11:0] _T_48 = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20}; // @[Cat.scala 29:58] + wire _T_51 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 127:42] + wire _T_53 = _T_51 & sbaddress0_reg[0]; // @[dbg.scala 127:56] + wire _T_55 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 128:23] + wire _T_57 = |sbaddress0_reg[1:0]; // @[dbg.scala 128:60] + wire _T_58 = _T_55 & _T_57; // @[dbg.scala 128:37] + wire _T_59 = _T_53 | _T_58; // @[dbg.scala 127:76] + wire _T_61 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 129:23] + wire _T_63 = |sbaddress0_reg[2:0]; // @[dbg.scala 129:60] + wire _T_64 = _T_61 & _T_63; // @[dbg.scala 129:37] + wire sbcs_unaligned = _T_59 | _T_64; // @[dbg.scala 128:64] + wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 131:35] + wire _T_66 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 132:51] + wire [3:0] _T_68 = _T_66 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_69 = _T_68 & 4'h1; // @[dbg.scala 132:64] + wire [3:0] _T_73 = _T_51 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_74 = _T_73 & 4'h2; // @[dbg.scala 132:117] + wire [3:0] _T_75 = _T_69 | _T_74; // @[dbg.scala 132:76] + wire [3:0] _T_79 = _T_55 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_80 = _T_79 & 4'h4; // @[dbg.scala 133:44] + wire [3:0] _T_81 = _T_75 | _T_80; // @[dbg.scala 132:129] + wire [3:0] _T_85 = _T_61 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_86 = _T_85 & 4'h8; // @[dbg.scala 133:97] + wire [3:0] sbaddress0_incr = _T_81 | _T_86; // @[dbg.scala 133:56] + wire _T_87 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 135:41] + wire sbdata0_reg_wren0 = _T_87 & _T_22; // @[dbg.scala 135:60] + wire _T_89 = sb_state == 4'h7; // @[dbg.scala 136:37] + wire _T_90 = _T_89 & sb_state_en; // @[dbg.scala 136:60] + wire _T_91 = ~sbcs_sberror_wren; // @[dbg.scala 136:76] + wire sbdata0_reg_wren1 = _T_90 & _T_91; // @[dbg.scala 136:74] + wire sbdata1_reg_wren0 = _T_87 & _T_24; // @[dbg.scala 138:60] + wire [31:0] _T_98 = sbdata0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_99 = _T_98 & io_dmi_reg_wdata; // @[dbg.scala 141:49] + wire [31:0] _T_101 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_103 = _T_101 & sb_bus_rdata[31:0]; // @[dbg.scala 142:33] + wire [31:0] _T_105 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_106 = _T_105 & io_dmi_reg_wdata; // @[dbg.scala 144:49] + wire [31:0] _T_110 = _T_101 & sb_bus_rdata[63:32]; // @[dbg.scala 145:33] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 352:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 352:23] + wire rvclkhdr_2_io_en; // @[lib.scala 352:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 352:23] reg [31:0] sbdata0_reg; // @[lib.scala 358:16] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 352:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 352:23] + wire rvclkhdr_3_io_en; // @[lib.scala 352:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 352:23] reg [31:0] sbdata1_reg; // @[lib.scala 358:16] - wire _GEN_53 = _T_557 & sbcs_reg[16]; // @[Conditional.scala 39:67] - wire _GEN_60 = _T_554 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_67 = _T_551 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_549 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_547 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] - wire _GEN_88 = _T_541 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] - wire _GEN_95 = _T_539 ? 1'h0 : _GEN_88; // @[Conditional.scala 39:67] - wire _GEN_102 = _T_532 ? 1'h0 : _GEN_95; // @[Conditional.scala 39:67] - wire _GEN_109 = _T_525 ? 1'h0 : _GEN_102; // @[Conditional.scala 39:67] - wire sbaddress0_reg_wren1 = sbcs_sbbusy_din ? 1'h0 : _GEN_109; // @[Conditional.scala 40:58] - wire [31:0] _T_111 = _T_123 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_112 = _T_111 & io_dmi_reg_wdata; // @[dbg.scala 157:59] - wire [31:0] _T_114 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_115 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] - wire [31:0] _T_117 = sbaddress0_reg + _T_115; // @[dbg.scala 158:54] - wire [31:0] _T_118 = _T_114 & _T_117; // @[dbg.scala 158:36] - wire [31:0] sbaddress0_reg_din = _T_112 | _T_118; // @[dbg.scala 157:78] - wire _T_132 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 166:41] - wire _T_133 = _T_132 & io_dmi_reg_en; // @[dbg.scala 166:54] - wire dmcontrol_wren = _T_133 & io_dmi_reg_wr_en; // @[dbg.scala 166:70] - wire [3:0] _T_139 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] - wire _T_168 = dbg_state == 3'h6; // @[dbg.scala 186:44] - wire _T_169 = _T_168 & io_dec_tlu_resume_ack; // @[dbg.scala 186:66] - wire _T_171 = ~temp[30]; // @[dbg.scala 186:113] - wire _T_172 = dmstatus_resumeack & _T_171; // @[dbg.scala 186:111] - wire dmstatus_resumeack_wren = _T_169 | _T_172; // @[dbg.scala 186:90] - wire _T_176 = _T_132 & io_dmi_reg_wdata[1]; // @[dbg.scala 188:63] - wire _T_177 = _T_176 & io_dmi_reg_en; // @[dbg.scala 188:85] - wire dmstatus_havereset_wren = _T_177 & io_dmi_reg_wr_en; // @[dbg.scala 188:101] - wire _T_180 = _T_132 & io_dmi_reg_wdata[28]; // @[dbg.scala 189:62] - wire _T_181 = _T_180 & io_dmi_reg_en; // @[dbg.scala 189:85] - wire dmstatus_havereset_rst = _T_181 & io_dmi_reg_wr_en; // @[dbg.scala 189:101] - wire _T_191 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 198:37] - wire _T_192 = io_dec_tlu_dbg_halted & _T_191; // @[dbg.scala 198:35] - wire _T_195 = ~dmstatus_havereset_rst; // @[dbg.scala 202:15] - wire _T_198 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 208:50] - wire _T_199 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 208:106] - wire _T_201 = _T_199 | _T_275; // @[dbg.scala 208:119] - wire _T_202 = io_dmi_reg_wr_en & _T_201; // @[dbg.scala 208:86] - wire _T_203 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 208:171] - wire _T_204 = _T_202 | _T_203; // @[dbg.scala 208:152] - wire abstractcs_error_sel0 = _T_198 & _T_204; // @[dbg.scala 208:66] - wire _T_207 = _T_130 & _T_275; // @[dbg.scala 209:64] - wire _T_209 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 209:126] - wire _T_211 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 209:163] - wire _T_212 = _T_209 | _T_211; // @[dbg.scala 209:135] - wire _T_213 = ~_T_212; // @[dbg.scala 209:98] - wire abstractcs_error_sel1 = _T_207 & _T_213; // @[dbg.scala 209:96] + wire sbaddress0_reg_wren0 = _T_87 & _T_21; // @[dbg.scala 155:63] + wire [31:0] _T_118 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_119 = _T_118 & io_dmi_reg_wdata; // @[dbg.scala 157:59] + wire [31:0] _T_121 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_122 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] + wire [31:0] _T_124 = sbaddress0_reg + _T_122; // @[dbg.scala 158:54] + wire [31:0] _T_125 = _T_121 & _T_124; // @[dbg.scala 158:36] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 352:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 352:23] + wire rvclkhdr_4_io_en; // @[lib.scala 352:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 352:23] + reg [31:0] _T_128; // @[lib.scala 358:16] + wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 163:94] + wire _T_133 = ~io_dmi_reg_wr_en; // @[dbg.scala 164:45] + wire _T_134 = io_dmi_reg_en & _T_133; // @[dbg.scala 164:43] + wire _T_136 = _T_134 & _T_22; // @[dbg.scala 164:63] + wire sbreadondata_access = _T_136 & sbcs_reg[15]; // @[dbg.scala 164:95] + wire _T_140 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 166:41] + wire _T_141 = _T_140 & io_dmi_reg_en; // @[dbg.scala 166:54] + wire dmcontrol_wren = _T_141 & io_dmi_reg_wr_en; // @[dbg.scala 166:70] + wire [3:0] _T_148 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] + reg [3:0] dm_temp; // @[Reg.scala 27:20] + reg dm_temp_0; // @[Reg.scala 27:20] + wire [27:0] _T_155 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] + wire [3:0] _T_157 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] + reg dmcontrol_wren_Q; // @[dbg.scala 181:12] + wire [1:0] _T_161 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_163 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_165 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_167 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_169 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_173 = {_T_167,_T_169,1'h1,7'h2}; // @[Cat.scala 29:58] + wire [19:0] _T_177 = {12'h0,_T_161,_T_163,2'h0,_T_165}; // @[Cat.scala 29:58] + wire _T_179 = dbg_state == 3'h6; // @[dbg.scala 186:44] + wire _T_180 = _T_179 & io_dec_tlu_resume_ack; // @[dbg.scala 186:66] + wire _T_182 = ~dmcontrol_reg[30]; // @[dbg.scala 186:113] + wire _T_183 = dmstatus_resumeack & _T_182; // @[dbg.scala 186:111] + wire dmstatus_resumeack_wren = _T_180 | _T_183; // @[dbg.scala 186:90] + wire _T_187 = _T_140 & io_dmi_reg_wdata[1]; // @[dbg.scala 188:63] + wire _T_188 = _T_187 & io_dmi_reg_en; // @[dbg.scala 188:85] + wire dmstatus_havereset_wren = _T_188 & io_dmi_reg_wr_en; // @[dbg.scala 188:101] + wire _T_191 = _T_140 & io_dmi_reg_wdata[28]; // @[dbg.scala 189:62] + wire _T_192 = _T_191 & io_dmi_reg_en; // @[dbg.scala 189:85] + wire dmstatus_havereset_rst = _T_192 & io_dmi_reg_wr_en; // @[dbg.scala 189:101] + wire _T_194 = ~reset; // @[dbg.scala 191:43] + wire _T_197 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 192:42] + reg _T_201; // @[Reg.scala 27:20] + wire _T_204 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 198:37] + reg _T_206; // @[dbg.scala 198:12] + wire _T_209 = ~dmstatus_havereset_rst; // @[dbg.scala 202:15] + reg _T_210; // @[Reg.scala 27:20] + wire [31:0] abstractcs_reg; + wire _T_212 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 208:50] + wire _T_213 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 208:106] + wire _T_214 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 208:138] + wire _T_215 = _T_213 | _T_214; // @[dbg.scala 208:119] + wire _T_216 = io_dmi_reg_wr_en & _T_215; // @[dbg.scala 208:86] + wire _T_217 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 208:171] + wire _T_218 = _T_216 | _T_217; // @[dbg.scala 208:152] + wire abstractcs_error_sel0 = _T_212 & _T_218; // @[dbg.scala 208:66] + wire _T_221 = _T_87 & _T_214; // @[dbg.scala 209:64] + wire _T_223 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 209:126] + wire _T_225 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 209:163] + wire _T_226 = _T_223 | _T_225; // @[dbg.scala 209:135] + wire _T_227 = ~_T_226; // @[dbg.scala 209:98] + wire abstractcs_error_sel1 = _T_221 & _T_227; // @[dbg.scala 209:96] wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 210:52] - wire _T_218 = ~dmstatus_reg[9]; // @[dbg.scala 211:98] - wire abstractcs_error_sel3 = _T_207 & _T_218; // @[dbg.scala 211:96] - wire _T_223 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 213:32] - reg [31:0] data1_reg; // @[lib.scala 358:16] - wire _T_227 = |data1_reg[1:0]; // @[dbg.scala 213:106] - wire _T_228 = _T_211 & _T_227; // @[dbg.scala 213:87] - wire _T_229 = _T_223 | _T_228; // @[dbg.scala 213:46] - wire abstractcs_error_sel4 = _T_277 & _T_229; // @[dbg.scala 212:96] - wire _T_231 = _T_199 & io_dmi_reg_en; // @[dbg.scala 215:61] - wire abstractcs_error_sel5 = _T_231 & io_dmi_reg_wr_en; // @[dbg.scala 215:77] - wire _T_232 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 216:54] - wire _T_233 = _T_232 | abstractcs_error_sel2; // @[dbg.scala 216:78] - wire _T_234 = _T_233 | abstractcs_error_sel3; // @[dbg.scala 216:102] - wire _T_235 = _T_234 | abstractcs_error_sel4; // @[dbg.scala 216:126] - wire abstractcs_error_selor = _T_235 | abstractcs_error_sel5; // @[dbg.scala 216:150] - wire [2:0] _T_237 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_238 = _T_237 & 3'h1; // @[dbg.scala 217:62] - wire [2:0] _T_240 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_241 = _T_240 & 3'h2; // @[dbg.scala 218:37] - wire [2:0] _T_242 = _T_238 | _T_241; // @[dbg.scala 217:74] - wire [2:0] _T_244 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_245 = _T_244 & 3'h3; // @[dbg.scala 219:37] - wire [2:0] _T_246 = _T_242 | _T_245; // @[dbg.scala 218:49] - wire [2:0] _T_248 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_249 = _T_248 & 3'h4; // @[dbg.scala 220:37] - wire [2:0] _T_250 = _T_246 | _T_249; // @[dbg.scala 219:49] - wire [2:0] _T_252 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_254 = _T_250 | _T_252; // @[dbg.scala 220:49] - wire [2:0] _T_256 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_258 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 222:40] - wire [2:0] _T_259 = _T_256 & _T_258; // @[dbg.scala 222:37] - wire [2:0] _T_261 = _T_259 & abstractcs_reg[10:8]; // @[dbg.scala 222:75] - wire [2:0] _T_262 = _T_254 | _T_261; // @[dbg.scala 221:49] - wire _T_263 = ~abstractcs_error_selor; // @[dbg.scala 223:15] - wire [2:0] _T_265 = _T_263 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_267 = _T_265 & abstractcs_reg[10:8]; // @[dbg.scala 223:50] - wire [2:0] abstractcs_error_din = _T_262 | _T_267; // @[dbg.scala 222:100] - wire [2:0] _T_312 = _T_363 ? 3'h2 : 3'h1; // @[dbg.scala 265:26] - wire [2:0] _T_329 = temp[1] ? 3'h0 : 3'h2; // @[dbg.scala 270:26] - wire _T_343 = dmstatus_reg[9] & _T_320; // @[dbg.scala 275:43] - wire _T_346 = ~temp[3]; // @[dbg.scala 276:33] - wire _T_347 = temp[30] & _T_346; // @[dbg.scala 276:31] - wire [2:0] _T_348 = _T_347 ? 3'h6 : 3'h3; // @[dbg.scala 276:12] - wire [2:0] _T_350 = temp[31] ? 3'h1 : 3'h0; // @[dbg.scala 277:12] - wire [2:0] _T_351 = _T_343 ? _T_348 : _T_350; // @[dbg.scala 275:26] - wire [2:0] _T_381 = _T_384 ? 3'h5 : 3'h4; // @[dbg.scala 286:62] - wire [2:0] _T_382 = temp[1] ? 3'h0 : _T_381; // @[dbg.scala 286:26] - wire [2:0] _T_396 = temp[1] ? 3'h0 : 3'h5; // @[dbg.scala 291:26] - wire [2:0] _GEN_15 = _T_405 ? _T_329 : 3'h0; // @[Conditional.scala 39:67] - wire [2:0] _GEN_20 = _T_394 ? _T_396 : _GEN_15; // @[Conditional.scala 39:67] - wire [2:0] _GEN_25 = _T_377 ? _T_382 : _GEN_20; // @[Conditional.scala 39:67] - wire [2:0] _GEN_30 = _T_339 ? _T_351 : _GEN_25; // @[Conditional.scala 39:67] - wire [2:0] _GEN_36 = _T_327 ? _T_329 : _GEN_30; // @[Conditional.scala 39:67] - wire [2:0] dbg_nxtstate = _T_309 ? _T_312 : _GEN_36; // @[Conditional.scala 40:58] - wire _T_366 = dbg_nxtstate == 3'h3; // @[dbg.scala 280:60] - wire _T_367 = dbg_state_en & _T_366; // @[dbg.scala 280:44] - wire _GEN_17 = _T_405 & dbg_state_en; // @[Conditional.scala 39:67] - wire _GEN_23 = _T_394 ? 1'h0 : _GEN_17; // @[Conditional.scala 39:67] - wire _GEN_28 = _T_377 ? 1'h0 : _GEN_23; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_339 ? _T_367 : _GEN_28; // @[Conditional.scala 39:67] - wire _GEN_39 = _T_327 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire abstractcs_busy_wren = _T_309 ? 1'h0 : _GEN_39; // @[Conditional.scala 40:58] + wire _T_232 = ~dmstatus_reg[9]; // @[dbg.scala 211:98] + wire abstractcs_error_sel3 = _T_221 & _T_232; // @[dbg.scala 211:96] + wire _T_234 = _T_214 & io_dmi_reg_en; // @[dbg.scala 212:61] + wire _T_235 = _T_234 & io_dmi_reg_wr_en; // @[dbg.scala 212:77] + wire _T_237 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 213:32] + wire _T_241 = |data1_reg[1:0]; // @[dbg.scala 213:106] + wire _T_242 = _T_225 & _T_241; // @[dbg.scala 213:87] + wire _T_243 = _T_237 | _T_242; // @[dbg.scala 213:46] + wire abstractcs_error_sel4 = _T_235 & _T_243; // @[dbg.scala 212:96] + wire _T_245 = _T_213 & io_dmi_reg_en; // @[dbg.scala 215:61] + wire abstractcs_error_sel5 = _T_245 & io_dmi_reg_wr_en; // @[dbg.scala 215:77] + wire _T_246 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 216:54] + wire _T_247 = _T_246 | abstractcs_error_sel2; // @[dbg.scala 216:78] + wire _T_248 = _T_247 | abstractcs_error_sel3; // @[dbg.scala 216:102] + wire _T_249 = _T_248 | abstractcs_error_sel4; // @[dbg.scala 216:126] + wire abstractcs_error_selor = _T_249 | abstractcs_error_sel5; // @[dbg.scala 216:150] + wire [2:0] _T_251 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_252 = _T_251 & 3'h1; // @[dbg.scala 217:62] + wire [2:0] _T_254 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_255 = _T_254 & 3'h2; // @[dbg.scala 218:37] + wire [2:0] _T_256 = _T_252 | _T_255; // @[dbg.scala 217:74] + wire [2:0] _T_258 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_259 = _T_258 & 3'h3; // @[dbg.scala 219:37] + wire [2:0] _T_260 = _T_256 | _T_259; // @[dbg.scala 218:49] + wire [2:0] _T_262 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_263 = _T_262 & 3'h4; // @[dbg.scala 220:37] + wire [2:0] _T_264 = _T_260 | _T_263; // @[dbg.scala 219:49] + wire [2:0] _T_266 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_268 = _T_264 | _T_266; // @[dbg.scala 220:49] + wire [2:0] _T_270 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_272 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 222:40] + wire [2:0] _T_273 = _T_270 & _T_272; // @[dbg.scala 222:37] + wire [2:0] _T_275 = _T_273 & abstractcs_reg[10:8]; // @[dbg.scala 222:75] + wire [2:0] _T_276 = _T_268 | _T_275; // @[dbg.scala 221:49] + wire _T_277 = ~abstractcs_error_selor; // @[dbg.scala 223:15] + wire [2:0] _T_279 = _T_277 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_281 = _T_279 & abstractcs_reg[10:8]; // @[dbg.scala 223:50] + reg abs_temp_12; // @[Reg.scala 27:20] + reg [2:0] abs_temp_10_8; // @[dbg.scala 230:12] + wire [10:0] _T_287 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] + wire [20:0] _T_289 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] + wire _T_294 = dbg_state == 3'h2; // @[dbg.scala 235:100] + wire command_wren = _T_235 & _T_294; // @[dbg.scala 235:87] wire [31:0] command_din = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20],3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] reg [31:0] command_reg; // @[Reg.scala 27:20] - wire _T_288 = _T_130 & _T_203; // @[dbg.scala 241:58] - wire data0_reg_wren0 = _T_288 & _T_278; // @[dbg.scala 241:89] - wire _T_290 = dbg_state == 3'h4; // @[dbg.scala 242:59] - wire _T_291 = io_core_dbg_cmd_done & _T_290; // @[dbg.scala 242:46] - wire _T_293 = ~command_reg[16]; // @[dbg.scala 242:83] - wire data0_reg_wren1 = _T_291 & _T_293; // @[dbg.scala 242:81] + wire _T_305 = _T_87 & _T_217; // @[dbg.scala 241:58] + wire data0_reg_wren0 = _T_305 & _T_294; // @[dbg.scala 241:89] + wire _T_307 = dbg_state == 3'h4; // @[dbg.scala 242:59] + wire _T_308 = io_core_dbg_cmd_done & _T_307; // @[dbg.scala 242:46] + wire _T_310 = ~command_reg[16]; // @[dbg.scala 242:83] + wire data0_reg_wren1 = _T_308 & _T_310; // @[dbg.scala 242:81] wire data0_reg_wren = data0_reg_wren0 | data0_reg_wren1; // @[dbg.scala 244:40] - wire [31:0] _T_295 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_296 = _T_295 & io_dmi_reg_wdata; // @[dbg.scala 245:45] - wire [31:0] _T_298 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_299 = _T_298 & io_core_dbg_rddata; // @[dbg.scala 245:92] - wire [31:0] data0_din = _T_296 | _T_299; // @[dbg.scala 245:64] + wire [31:0] _T_312 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_313 = _T_312 & io_dmi_reg_wdata; // @[dbg.scala 245:45] + wire [31:0] _T_315 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_316 = _T_315 & io_core_dbg_rddata; // @[dbg.scala 245:92] + wire [31:0] data0_din = _T_313 | _T_316; // @[dbg.scala 245:64] reg [31:0] data0_reg; // @[Reg.scala 27:20] - wire _T_302 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 250:77] - wire _T_303 = _T_130 & _T_302; // @[dbg.scala 250:58] - wire data1_reg_wren = _T_303 & _T_278; // @[dbg.scala 250:89] - wire [31:0] _T_306 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] data1_din = _T_306 & io_dmi_reg_wdata; // @[dbg.scala 251:44] - wire _T_325 = temp[31] & _T_320; // @[dbg.scala 267:45] - wire _T_334 = dmcontrol_wren_Q & temp[31]; // @[dbg.scala 272:44] - wire _T_337 = _T_334 & _T_320; // @[dbg.scala 272:64] - wire _T_368 = dbg_nxtstate == 3'h6; // @[dbg.scala 282:58] - wire _T_369 = dbg_state_en & _T_368; // @[dbg.scala 282:42] - wire _GEN_14 = _T_414 & _T_337; // @[Conditional.scala 39:67] - wire _GEN_19 = _T_405 ? _T_337 : _GEN_14; // @[Conditional.scala 39:67] - wire _GEN_22 = _T_394 ? _T_337 : _GEN_19; // @[Conditional.scala 39:67] - wire _GEN_27 = _T_377 ? _T_337 : _GEN_22; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_339 & _T_369; // @[Conditional.scala 39:67] - wire _GEN_35 = _T_339 ? _T_337 : _GEN_27; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_327 ? _T_337 : _GEN_35; // @[Conditional.scala 39:67] - wire _GEN_41 = _T_327 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _T_478 = _T_29 & reset; // @[dbg.scala 315:62] - wire _T_483 = command_reg[31:24] == 8'h2; // @[dbg.scala 324:62] - wire [30:0] _T_485 = {data1_reg[31:2],1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_487 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] - wire _T_490 = dbg_state == 3'h3; // @[dbg.scala 326:50] - wire _T_493 = ~_T_384; // @[dbg.scala 326:75] - wire _T_494 = _T_490 & _T_493; // @[dbg.scala 326:73] - wire _T_502 = command_reg[15:12] == 4'h0; // @[dbg.scala 328:117] - wire [1:0] _T_503 = {1'h0,_T_502}; // @[Cat.scala 29:58] - wire _T_542 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 366:48] - wire _T_573 = sb_state == 4'h4; // @[dbg.scala 407:36] - wire _T_574 = sb_state == 4'h5; // @[dbg.scala 407:71] - wire _T_580 = sb_state == 4'h6; // @[dbg.scala 418:70] - wire [63:0] _T_590 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_591 = _T_656 & _T_590; // @[dbg.scala 419:65] - wire [63:0] _T_598 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_599 = _T_666 & _T_598; // @[dbg.scala 419:138] - wire [63:0] _T_600 = _T_591 | _T_599; // @[dbg.scala 419:96] - wire [63:0] _T_606 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_607 = _T_677 & _T_606; // @[dbg.scala 420:45] - wire [63:0] _T_608 = _T_600 | _T_607; // @[dbg.scala 419:168] - wire [63:0] _T_615 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_616 = _T_688 & _T_615; // @[dbg.scala 420:119] - wire [7:0] _T_621 = _T_61 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _T_623 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 422:82] - wire [14:0] _GEN_122 = {{7'd0}, _T_621}; // @[dbg.scala 422:67] - wire [14:0] _T_624 = _GEN_122 & _T_623; // @[dbg.scala 422:67] - wire [7:0] _T_628 = _T_46 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_630 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] - wire [14:0] _T_631 = 15'h3 << _T_630; // @[dbg.scala 423:59] - wire [14:0] _GEN_123 = {{7'd0}, _T_628}; // @[dbg.scala 423:44] - wire [14:0] _T_632 = _GEN_123 & _T_631; // @[dbg.scala 423:44] - wire [14:0] _T_633 = _T_624 | _T_632; // @[dbg.scala 422:107] - wire [7:0] _T_637 = _T_50 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_639 = {sbaddress0_reg[2],1'h0}; // @[Cat.scala 29:58] - wire [10:0] _T_640 = 11'hf << _T_639; // @[dbg.scala 424:59] - wire [10:0] _GEN_124 = {{3'd0}, _T_637}; // @[dbg.scala 424:44] - wire [10:0] _T_641 = _GEN_124 & _T_640; // @[dbg.scala 424:44] - wire [14:0] _GEN_125 = {{4'd0}, _T_641}; // @[dbg.scala 423:97] - wire [14:0] _T_642 = _T_633 | _GEN_125; // @[dbg.scala 423:97] - wire [7:0] _T_646 = _T_56 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _GEN_126 = {{7'd0}, _T_646}; // @[dbg.scala 424:95] - wire [14:0] _T_648 = _T_642 | _GEN_126; // @[dbg.scala 424:95] + wire _T_320 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 250:77] + wire _T_321 = _T_87 & _T_320; // @[dbg.scala 250:58] + wire data1_reg_wren = _T_321 & _T_294; // @[dbg.scala 250:89] + wire [31:0] _T_324 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 352:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 352:23] + wire rvclkhdr_5_io_en; // @[lib.scala 352:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 352:23] + reg [31:0] _T_327; // @[lib.scala 358:16] + wire [2:0] dbg_nxtstate; + wire _T_328 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] + wire _T_330 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 265:43] + wire [2:0] _T_331 = _T_330 ? 3'h2 : 3'h1; // @[dbg.scala 265:26] + wire _T_333 = ~io_dec_tlu_debug_mode; // @[dbg.scala 266:45] + wire _T_334 = dmcontrol_reg[31] & _T_333; // @[dbg.scala 266:43] + wire _T_336 = _T_334 | dmstatus_reg[9]; // @[dbg.scala 266:69] + wire _T_337 = _T_336 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 266:87] + wire _T_340 = _T_337 & _T_11; // @[dbg.scala 266:117] + wire _T_344 = dmcontrol_reg[31] & _T_11; // @[dbg.scala 267:45] + wire _T_346 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_348 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 270:26] + wire _T_351 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 271:39] + wire _T_353 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 272:44] + wire _T_356 = _T_353 & _T_11; // @[dbg.scala 272:64] + wire _T_358 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] + wire _T_362 = dmstatus_reg[9] & _T_11; // @[dbg.scala 275:43] + wire _T_365 = ~dmcontrol_reg[3]; // @[dbg.scala 276:33] + wire _T_366 = dmcontrol_reg[30] & _T_365; // @[dbg.scala 276:31] + wire [2:0] _T_367 = _T_366 ? 3'h6 : 3'h3; // @[dbg.scala 276:12] + wire [2:0] _T_369 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 277:12] + wire [2:0] _T_370 = _T_362 ? _T_367 : _T_369; // @[dbg.scala 275:26] + wire _T_373 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 278:39] + wire _T_375 = ~dmcontrol_reg[31]; // @[dbg.scala 278:61] + wire _T_376 = _T_373 & _T_375; // @[dbg.scala 278:59] + wire _T_377 = _T_376 & dmcontrol_wren_Q; // @[dbg.scala 278:80] + wire _T_378 = _T_377 | command_wren; // @[dbg.scala 278:99] + wire _T_380 = _T_378 | dmcontrol_reg[1]; // @[dbg.scala 278:114] + wire _T_383 = ~_T_330; // @[dbg.scala 279:28] + wire _T_384 = _T_380 | _T_383; // @[dbg.scala 279:26] + wire _T_385 = dbg_nxtstate == 3'h3; // @[dbg.scala 280:60] + wire _T_386 = dbg_state_en & _T_385; // @[dbg.scala 280:44] + wire _T_387 = dbg_nxtstate == 3'h6; // @[dbg.scala 282:58] + wire _T_388 = dbg_state_en & _T_387; // @[dbg.scala 282:42] + wire _T_396 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] + wire _T_399 = |abstractcs_reg[10:8]; // @[dbg.scala 286:85] + wire [2:0] _T_400 = _T_399 ? 3'h5 : 3'h4; // @[dbg.scala 286:62] + wire [2:0] _T_401 = dmcontrol_reg[1] ? 3'h0 : _T_400; // @[dbg.scala 286:26] + wire _T_404 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_399; // @[dbg.scala 287:55] + wire _T_406 = _T_404 | dmcontrol_reg[1]; // @[dbg.scala 287:83] + wire _T_413 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_415 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 291:26] + wire _T_417 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 292:44] + wire _T_424 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] + wire _T_433 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] + wire _T_436 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 304:40] + wire _GEN_13 = _T_433 & _T_436; // @[Conditional.scala 39:67] + wire _GEN_14 = _T_433 & _T_356; // @[Conditional.scala 39:67] + wire [2:0] _GEN_15 = _T_424 ? _T_348 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_424 | _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_17 = _T_424 & dbg_state_en; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_424 ? _T_356 : _GEN_14; // @[Conditional.scala 39:67] + wire [2:0] _GEN_20 = _T_413 ? _T_415 : _GEN_15; // @[Conditional.scala 39:67] + wire _GEN_21 = _T_413 ? _T_417 : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_22 = _T_413 ? _T_356 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_23 = _T_413 ? 1'h0 : _GEN_17; // @[Conditional.scala 39:67] + wire [2:0] _GEN_25 = _T_396 ? _T_401 : _GEN_20; // @[Conditional.scala 39:67] + wire _GEN_26 = _T_396 ? _T_406 : _GEN_21; // @[Conditional.scala 39:67] + wire _GEN_27 = _T_396 ? _T_356 : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_396 ? 1'h0 : _GEN_23; // @[Conditional.scala 39:67] + wire [2:0] _GEN_30 = _T_358 ? _T_370 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_358 ? _T_384 : _GEN_26; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_358 ? _T_386 : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_358 & _T_388; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_358 ? _T_356 : _GEN_27; // @[Conditional.scala 39:67] + wire [2:0] _GEN_36 = _T_346 ? _T_348 : _GEN_30; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_346 ? _T_351 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_346 ? _T_356 : _GEN_35; // @[Conditional.scala 39:67] + wire _GEN_39 = _T_346 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_41 = _T_346 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _T_498 = _T_29 & reset; // @[dbg.scala 315:87] + reg [2:0] _T_499; // @[Reg.scala 27:20] + wire _T_504 = command_reg[31:24] == 8'h2; // @[dbg.scala 324:62] + wire [30:0] _T_506 = {data1_reg[31:2],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_508 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] + wire _T_511 = dbg_state == 3'h3; // @[dbg.scala 326:50] + wire _T_514 = ~_T_399; // @[dbg.scala 326:75] + wire _T_515 = _T_511 & _T_514; // @[dbg.scala 326:73] + wire _T_523 = command_reg[15:12] == 4'h0; // @[dbg.scala 328:117] + wire [1:0] _T_524 = {1'h0,_T_523}; // @[Cat.scala 29:58] + wire _T_535 = 4'h0 == sb_state; // @[Conditional.scala 37:30] + wire _T_537 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 343:39] + wire _T_538 = _T_537 | sbreadonaddr_access; // @[dbg.scala 343:61] + wire _T_540 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 346:65] + wire _T_541 = sbcs_wren & _T_540; // @[dbg.scala 346:38] + wire _T_543 = io_dmi_reg_wdata[14:12] == 3'h0; // @[dbg.scala 347:27] + wire [2:0] _GEN_118 = {{2'd0}, _T_543}; // @[dbg.scala 347:53] + wire [2:0] _T_545 = _GEN_118 & sbcs_reg[14:12]; // @[dbg.scala 347:53] + wire _T_546 = 4'h1 == sb_state; // @[Conditional.scala 37:30] + wire _T_547 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 350:41] + wire _T_549 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 351:40] + wire _T_550 = _T_549 | sbcs_illegal_size; // @[dbg.scala 351:57] + wire _T_553 = 4'h2 == sb_state; // @[Conditional.scala 37:30] + wire _T_560 = 4'h3 == sb_state; // @[Conditional.scala 37:30] + wire _T_561 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 363:38] + wire _T_562 = 4'h4 == sb_state; // @[Conditional.scala 37:30] + wire _T_563 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 366:48] + wire _T_566 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 367:45] + wire _T_567 = _T_566 & io_dbg_bus_clk_en; // @[dbg.scala 367:70] + wire _T_568 = 4'h5 == sb_state; // @[Conditional.scala 37:30] + wire _T_569 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 371:44] + wire _T_570 = 4'h6 == sb_state; // @[Conditional.scala 37:30] + wire _T_571 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 375:44] + wire _T_572 = 4'h7 == sb_state; // @[Conditional.scala 37:30] + wire _T_573 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 379:38] + wire _T_574 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 380:40] + wire _T_575 = 4'h8 == sb_state; // @[Conditional.scala 37:30] + wire _T_576 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 385:39] + wire _T_578 = 4'h9 == sb_state; // @[Conditional.scala 37:30] + wire _GEN_53 = _T_578 & sbcs_reg[16]; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_575 ? _T_576 : _T_578; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_575 & _T_574; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_575 ? 1'h0 : _T_578; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_575 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_572 ? _T_573 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_63 = _T_572 ? _T_574 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_572 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_572 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_570 ? _T_571 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_570 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] + wire _GEN_72 = _T_570 ? 1'h0 : _GEN_65; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_570 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] + wire _GEN_76 = _T_568 ? _T_569 : _GEN_69; // @[Conditional.scala 39:67] + wire _GEN_77 = _T_568 ? 1'h0 : _GEN_70; // @[Conditional.scala 39:67] + wire _GEN_79 = _T_568 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_568 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_562 ? _T_567 : _GEN_76; // @[Conditional.scala 39:67] + wire _GEN_84 = _T_562 ? 1'h0 : _GEN_77; // @[Conditional.scala 39:67] + wire _GEN_86 = _T_562 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_562 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire _GEN_90 = _T_560 ? _T_561 : _GEN_83; // @[Conditional.scala 39:67] + wire _GEN_91 = _T_560 ? 1'h0 : _GEN_84; // @[Conditional.scala 39:67] + wire _GEN_93 = _T_560 ? 1'h0 : _GEN_86; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_560 ? 1'h0 : _GEN_88; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_553 ? _T_550 : _GEN_90; // @[Conditional.scala 39:67] + wire _GEN_98 = _T_553 ? _T_547 : _GEN_91; // @[Conditional.scala 39:67] + wire _GEN_100 = _T_553 ? 1'h0 : _GEN_93; // @[Conditional.scala 39:67] + wire _GEN_102 = _T_553 ? 1'h0 : _GEN_95; // @[Conditional.scala 39:67] + wire _GEN_104 = _T_546 ? _T_550 : _GEN_97; // @[Conditional.scala 39:67] + wire _GEN_105 = _T_546 ? _T_547 : _GEN_98; // @[Conditional.scala 39:67] + wire _GEN_107 = _T_546 ? 1'h0 : _GEN_100; // @[Conditional.scala 39:67] + wire _GEN_109 = _T_546 ? 1'h0 : _GEN_102; // @[Conditional.scala 39:67] + reg [3:0] _T_582; // @[Reg.scala 27:20] + wire _T_589 = |io_sb_axi_r_bits_resp; // @[dbg.scala 406:69] + wire _T_590 = sb_bus_rsp_read & _T_589; // @[dbg.scala 406:39] + wire _T_592 = |io_sb_axi_b_bits_resp; // @[dbg.scala 406:122] + wire _T_593 = sb_bus_rsp_write & _T_592; // @[dbg.scala 406:92] + wire _T_595 = sb_state == 4'h4; // @[dbg.scala 407:36] + wire _T_596 = sb_state == 4'h5; // @[dbg.scala 407:71] + wire _T_602 = sb_state == 4'h6; // @[dbg.scala 418:70] + wire [63:0] _T_608 = _T_66 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_612 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_613 = _T_608 & _T_612; // @[dbg.scala 419:65] + wire [63:0] _T_617 = _T_51 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_620 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_621 = _T_617 & _T_620; // @[dbg.scala 419:138] + wire [63:0] _T_622 = _T_613 | _T_621; // @[dbg.scala 419:96] + wire [63:0] _T_626 = _T_55 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_628 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_629 = _T_626 & _T_628; // @[dbg.scala 420:45] + wire [63:0] _T_630 = _T_622 | _T_629; // @[dbg.scala 419:168] + wire [63:0] _T_634 = _T_61 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_637 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_638 = _T_634 & _T_637; // @[dbg.scala 420:119] + wire [7:0] _T_643 = _T_66 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _T_645 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 422:82] + wire [14:0] _GEN_119 = {{7'd0}, _T_643}; // @[dbg.scala 422:67] + wire [14:0] _T_646 = _GEN_119 & _T_645; // @[dbg.scala 422:67] + wire [7:0] _T_650 = _T_51 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_652 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_653 = 15'h3 << _T_652; // @[dbg.scala 423:59] + wire [14:0] _GEN_120 = {{7'd0}, _T_650}; // @[dbg.scala 423:44] + wire [14:0] _T_654 = _GEN_120 & _T_653; // @[dbg.scala 423:44] + wire [14:0] _T_655 = _T_646 | _T_654; // @[dbg.scala 422:107] + wire [7:0] _T_659 = _T_55 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_661 = {sbaddress0_reg[2],1'h0}; // @[Cat.scala 29:58] + wire [10:0] _T_662 = 11'hf << _T_661; // @[dbg.scala 424:59] + wire [10:0] _GEN_121 = {{3'd0}, _T_659}; // @[dbg.scala 424:44] + wire [10:0] _T_663 = _GEN_121 & _T_662; // @[dbg.scala 424:44] + wire [14:0] _GEN_122 = {{4'd0}, _T_663}; // @[dbg.scala 423:97] + wire [14:0] _T_664 = _T_655 | _GEN_122; // @[dbg.scala 423:97] + wire [7:0] _T_668 = _T_61 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _GEN_123 = {{7'd0}, _T_668}; // @[dbg.scala 424:95] + wire [14:0] _T_670 = _T_664 | _GEN_123; // @[dbg.scala 424:95] + wire [3:0] _GEN_124 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 441:99] + wire [6:0] _T_681 = 4'h8 * _GEN_124; // @[dbg.scala 441:99] + wire [63:0] _T_682 = io_sb_axi_r_bits_data >> _T_681; // @[dbg.scala 441:92] + wire [63:0] _T_683 = _T_682 & 64'hff; // @[dbg.scala 441:123] + wire [63:0] _T_684 = _T_608 & _T_683; // @[dbg.scala 441:59] + wire [4:0] _GEN_125 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 442:86] + wire [6:0] _T_691 = 5'h10 * _GEN_125; // @[dbg.scala 442:86] + wire [63:0] _T_692 = io_sb_axi_r_bits_data >> _T_691; // @[dbg.scala 442:78] + wire [63:0] _T_693 = _T_692 & 64'hffff; // @[dbg.scala 442:110] + wire [63:0] _T_694 = _T_617 & _T_693; // @[dbg.scala 442:45] + wire [63:0] _T_695 = _T_684 | _T_694; // @[dbg.scala 441:140] + wire [5:0] _GEN_126 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 443:86] + wire [6:0] _T_702 = 6'h20 * _GEN_126; // @[dbg.scala 443:86] + wire [63:0] _T_703 = io_sb_axi_r_bits_data >> _T_702; // @[dbg.scala 443:78] + wire [63:0] _T_704 = _T_703 & 64'hffffffff; // @[dbg.scala 443:107] + wire [63:0] _T_705 = _T_626 & _T_704; // @[dbg.scala 443:45] + wire [63:0] _T_706 = _T_695 | _T_705; // @[dbg.scala 442:129] + wire [63:0] _T_712 = _T_634 & io_sb_axi_r_bits_data; // @[dbg.scala 444:45] rvclkhdr rvclkhdr ( // @[lib.scala 327:22] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -59917,58 +59907,84 @@ module dbg( .io_en(rvclkhdr_1_io_en), .io_scan_mode(rvclkhdr_1_io_scan_mode) ); - rvclkhdr_757 rvclkhdr_2 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_2 ( // @[lib.scala 352:23] .io_l1clk(rvclkhdr_2_io_l1clk), .io_clk(rvclkhdr_2_io_clk), .io_en(rvclkhdr_2_io_en), .io_scan_mode(rvclkhdr_2_io_scan_mode) ); - rvclkhdr_757 rvclkhdr_3 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_3 ( // @[lib.scala 352:23] .io_l1clk(rvclkhdr_3_io_l1clk), .io_clk(rvclkhdr_3_io_clk), .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - rvclkhdr_757 rvclkhdr_4 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_4 ( // @[lib.scala 352:23] .io_l1clk(rvclkhdr_4_io_l1clk), .io_clk(rvclkhdr_4_io_clk), .io_en(rvclkhdr_4_io_en), .io_scan_mode(rvclkhdr_4_io_scan_mode) ); - rvclkhdr_757 rvclkhdr_5 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_5 ( // @[lib.scala 352:23] .io_l1clk(rvclkhdr_5_io_l1clk), .io_clk(rvclkhdr_5_io_clk), .io_en(rvclkhdr_5_io_en), .io_scan_mode(rvclkhdr_5_io_scan_mode) ); assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 329:19] - assign io_dbg_core_rst_l = ~temp[1]; // @[dbg.scala 100:21] - assign io_dbg_halt_req = _T_309 ? _T_325 : _GEN_38; // @[dbg.scala 261:19 dbg.scala 267:23 dbg.scala 272:23 dbg.scala 283:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 300:23 dbg.scala 305:23] - assign io_dbg_resume_req = _T_309 ? 1'h0 : _GEN_41; // @[dbg.scala 262:21 dbg.scala 282:25] - assign io_sb_axi_aw_valid = _T_573 | _T_574; // @[dbg.scala 407:22] + assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 100:21] + assign io_dbg_halt_req = _T_328 ? _T_344 : _GEN_38; // @[dbg.scala 261:19 dbg.scala 267:23 dbg.scala 272:23 dbg.scala 283:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 300:23 dbg.scala 305:23] + assign io_dbg_resume_req = _T_328 ? 1'h0 : _GEN_41; // @[dbg.scala 262:21 dbg.scala 282:25] + assign io_sb_axi_aw_valid = _T_595 | _T_596; // @[dbg.scala 407:22] assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 408:26] assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 413:28] assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 410:26] - assign io_sb_axi_w_valid = _T_573 | _T_580; // @[dbg.scala 418:21] - assign io_sb_axi_w_bits_data = _T_608 | _T_616; // @[dbg.scala 419:25] - assign io_sb_axi_w_bits_strb = _T_648[7:0]; // @[dbg.scala 422:25] + assign io_sb_axi_w_valid = _T_595 | _T_602; // @[dbg.scala 418:21] + assign io_sb_axi_w_bits_data = _T_630 | _T_638; // @[dbg.scala 419:25] + assign io_sb_axi_w_bits_strb = _T_670[7:0]; // @[dbg.scala 422:25] assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 439:21] assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 428:22] assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 429:26] assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 434:28] assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 431:26] assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 440:21] - assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_494 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 326:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_515 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 326:35] assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 327:35] - assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_483 ? 2'h2 : _T_503; // @[dbg.scala 328:34] - assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_483 ? {{1'd0}, _T_485} : _T_487; // @[dbg.scala 324:34] + assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_504 ? 2'h2 : _T_524; // @[dbg.scala 328:34] + assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_504 ? {{1'd0}, _T_506} : _T_508; // @[dbg.scala 324:34] assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg[1:0]; // @[dbg.scala 325:38] assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 449:39] assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 450:39] assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 451:39] assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 447:39] assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 448:39] - assign io_dbg_dma_io_dbg_dma_bubble = _T_494 | _T_290; // @[dbg.scala 330:32] + assign io_dbg_dma_io_dbg_dma_bubble = _T_515 | _T_307; // @[dbg.scala 330:32] + assign dbg_state = _T_499; // @[dbg.scala 315:13] + assign dbg_state_en = _T_328 ? _T_340 : _GEN_37; // @[dbg.scala 258:16 dbg.scala 266:20 dbg.scala 271:20 dbg.scala 278:20 dbg.scala 287:20 dbg.scala 292:20 dbg.scala 297:20 dbg.scala 304:20] + assign sb_state = _T_582; // @[dbg.scala 397:12] + assign sb_state_en = _T_535 ? _T_538 : _GEN_104; // @[dbg.scala 343:19 dbg.scala 351:19 dbg.scala 357:19 dbg.scala 363:19 dbg.scala 367:19 dbg.scala 371:19 dbg.scala 375:19 dbg.scala 379:19 dbg.scala 385:19 dbg.scala 391:19] + assign dmcontrol_reg = {_T_157,_T_155}; // @[dbg.scala 178:17] + assign sbaddress0_reg = _T_128; // @[dbg.scala 159:18] + assign sbcs_sbbusy_wren = _T_535 ? sb_state_en : _GEN_107; // @[dbg.scala 335:20 dbg.scala 344:24 dbg.scala 392:24] + assign sbcs_sberror_wren = _T_535 ? _T_541 : _GEN_105; // @[dbg.scala 337:21 dbg.scala 346:25 dbg.scala 352:25 dbg.scala 358:25 dbg.scala 380:25 dbg.scala 386:25] + assign sb_bus_rdata = _T_706 | _T_712; // @[dbg.scala 441:16] + assign sbaddress0_reg_wren1 = _T_535 ? 1'h0 : _GEN_109; // @[dbg.scala 339:24 dbg.scala 394:28] + assign dmstatus_reg = {_T_177,_T_173}; // @[dbg.scala 184:16] + assign dmstatus_havereset = _T_210; // @[dbg.scala 201:22] + assign dmstatus_resumeack = _T_201; // @[dbg.scala 193:22] + assign dmstatus_unavail = dmcontrol_reg[1] | _T_194; // @[dbg.scala 191:20] + assign dmstatus_running = ~_T_197; // @[dbg.scala 192:20] + assign dmstatus_halted = _T_206; // @[dbg.scala 197:19] + assign abstractcs_busy_wren = _T_328 ? 1'h0 : _GEN_39; // @[dbg.scala 259:24 dbg.scala 280:28 dbg.scala 298:28] + assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 401:19] + assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 402:25] + assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 403:25] + assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 404:19] + assign sb_bus_rsp_error = _T_590 | _T_593; // @[dbg.scala 406:20] + assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 405:20] + assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 336:19 dbg.scala 345:23 dbg.scala 393:23] + assign data1_reg = _T_327; // @[dbg.scala 252:13] + assign sbcs_reg = {_T_48,_T_44}; // @[dbg.scala 125:12] assign rvclkhdr_io_clk = clock; // @[lib.scala 328:17] assign rvclkhdr_io_en = _T_3 | io_clk_override; // @[lib.scala 329:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 330:23] @@ -59976,17 +59992,19 @@ module dbg( assign rvclkhdr_1_io_en = _T_6 | io_clk_override; // @[lib.scala 329:16] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 330:23] assign rvclkhdr_2_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_2_io_en = sbdata0wr_access | sbdata0_reg_wren1; // @[lib.scala 355:17] + assign rvclkhdr_2_io_en = sbdata0_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 355:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] assign rvclkhdr_3_io_clk = clock; // @[lib.scala 354:18] assign rvclkhdr_3_io_en = sbdata1_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 355:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] assign rvclkhdr_4_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_4_io_en = _T_123 | sbaddress0_reg_wren1; // @[lib.scala 355:17] + assign rvclkhdr_4_io_en = sbaddress0_reg_wren0 | sbaddress0_reg_wren1; // @[lib.scala 355:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] + assign abstractcs_reg = {_T_289,_T_287}; // @[dbg.scala 233:18] assign rvclkhdr_5_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_5_io_en = _T_303 & _T_278; // @[lib.scala 355:17] + assign rvclkhdr_5_io_en = _T_321 & _T_294; // @[lib.scala 355:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] + assign dbg_nxtstate = _T_328 ? _T_331 : _GEN_36; // @[dbg.scala 257:16 dbg.scala 265:20 dbg.scala 270:20 dbg.scala 275:20 dbg.scala 286:20 dbg.scala 291:20 dbg.scala 296:20 dbg.scala 303:20] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -60023,291 +60041,382 @@ initial begin `endif `ifdef RANDOMIZE_REG_INIT _RAND_0 = {1{`RANDOM}}; - dbg_state = _RAND_0[2:0]; + temp_sbcs_22 = _RAND_0[0:0]; _RAND_1 = {1{`RANDOM}}; - dm_temp = _RAND_1[3:0]; + temp_sbcs_21 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - dm_temp_0 = _RAND_2[0:0]; + temp_sbcs_20 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - dmstatus_havereset = _RAND_3[0:0]; + temp_sbcs_19_15 = _RAND_3[4:0]; _RAND_4 = {1{`RANDOM}}; - dmstatus_resumeack = _RAND_4[0:0]; + temp_sbcs_14_12 = _RAND_4[2:0]; _RAND_5 = {1{`RANDOM}}; - dmstatus_halted = _RAND_5[0:0]; + sbdata0_reg = _RAND_5[31:0]; _RAND_6 = {1{`RANDOM}}; - dmcontrol_wren_Q = _RAND_6[0:0]; + sbdata1_reg = _RAND_6[31:0]; _RAND_7 = {1{`RANDOM}}; - abs_temp_12 = _RAND_7[0:0]; + _T_128 = _RAND_7[31:0]; _RAND_8 = {1{`RANDOM}}; - abs_temp_10_8 = _RAND_8[2:0]; + dm_temp = _RAND_8[3:0]; _RAND_9 = {1{`RANDOM}}; - sb_state = _RAND_9[3:0]; + dm_temp_0 = _RAND_9[0:0]; _RAND_10 = {1{`RANDOM}}; - temp_sbcs_22 = _RAND_10[0:0]; + dmcontrol_wren_Q = _RAND_10[0:0]; _RAND_11 = {1{`RANDOM}}; - temp_sbcs_21 = _RAND_11[0:0]; + _T_201 = _RAND_11[0:0]; _RAND_12 = {1{`RANDOM}}; - temp_sbcs_20 = _RAND_12[0:0]; + _T_206 = _RAND_12[0:0]; _RAND_13 = {1{`RANDOM}}; - temp_sbcs_19_15 = _RAND_13[4:0]; + _T_210 = _RAND_13[0:0]; _RAND_14 = {1{`RANDOM}}; - temp_sbcs_14_12 = _RAND_14[2:0]; + abs_temp_12 = _RAND_14[0:0]; _RAND_15 = {1{`RANDOM}}; - sbaddress0_reg = _RAND_15[31:0]; + abs_temp_10_8 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; - sbdata0_reg = _RAND_16[31:0]; + command_reg = _RAND_16[31:0]; _RAND_17 = {1{`RANDOM}}; - sbdata1_reg = _RAND_17[31:0]; + data0_reg = _RAND_17[31:0]; _RAND_18 = {1{`RANDOM}}; - data1_reg = _RAND_18[31:0]; + _T_327 = _RAND_18[31:0]; _RAND_19 = {1{`RANDOM}}; - command_reg = _RAND_19[31:0]; + _T_499 = _RAND_19[2:0]; _RAND_20 = {1{`RANDOM}}; - data0_reg = _RAND_20[31:0]; + _T_582 = _RAND_20[3:0]; `endif // RANDOMIZE_REG_INIT + if (_T_30) begin + temp_sbcs_22 = 1'h0; + end + if (_T_30) begin + temp_sbcs_21 = 1'h0; + end + if (_T_30) begin + temp_sbcs_20 = 1'h0; + end + if (_T_30) begin + temp_sbcs_19_15 = 5'h0; + end + if (_T_30) begin + temp_sbcs_14_12 = 3'h0; + end + if (_T_30) begin + sbdata0_reg = 32'h0; + end + if (_T_30) begin + sbdata1_reg = 32'h0; + end + if (_T_30) begin + _T_128 = 32'h0; + end + if (_T_30) begin + dm_temp = 4'h0; + end + if (io_dbg_rst_l) begin + dm_temp_0 = 1'h0; + end + if (_T_30) begin + dmcontrol_wren_Q = 1'h0; + end + if (_T_30) begin + _T_201 = 1'h0; + end + if (_T_30) begin + _T_206 = 1'h0; + end + if (_T_30) begin + _T_210 = 1'h0; + end + if (_T_30) begin + abs_temp_12 = 1'h0; + end + if (_T_30) begin + abs_temp_10_8 = 3'h0; + end + if (_T_30) begin + command_reg = 32'h0; + end + if (_T_30) begin + data0_reg = 32'h0; + end + if (_T_30) begin + _T_327 = 32'h0; + end + if (_T_498) begin + _T_499 = 3'h0; + end + if (_T_30) begin + _T_582 = 4'h0; + end `endif // RANDOMIZE end // initial `ifdef FIRRTL_AFTER_INITIAL `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge rvclkhdr_io_l1clk) begin - if (_T_478) begin - dbg_state <= 3'h0; - end else if (dbg_state_en) begin - if (_T_309) begin - if (_T_363) begin - dbg_state <= 3'h2; + always @(posedge rvclkhdr_1_io_l1clk or posedge _T_30) begin + if (_T_30) begin + temp_sbcs_22 <= 1'h0; + end else if (sbcs_sbbusyerror_wren) begin + temp_sbcs_22 <= sbcs_sbbusyerror_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge _T_30) begin + if (_T_30) begin + temp_sbcs_21 <= 1'h0; + end else if (sbcs_sbbusy_wren) begin + temp_sbcs_21 <= sbcs_sbbusy_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge _T_30) begin + if (_T_30) begin + temp_sbcs_20 <= 1'h0; + end else if (sbcs_wren) begin + temp_sbcs_20 <= io_dmi_reg_wdata[20]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge _T_30) begin + if (_T_30) begin + temp_sbcs_19_15 <= 5'h0; + end else if (sbcs_wren) begin + temp_sbcs_19_15 <= io_dmi_reg_wdata[19:15]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge _T_30) begin + if (_T_30) begin + temp_sbcs_14_12 <= 3'h0; + end else if (sbcs_sberror_wren) begin + if (_T_535) begin + temp_sbcs_14_12 <= _T_545; + end else if (_T_546) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; end else begin - dbg_state <= 3'h1; + temp_sbcs_14_12 <= 3'h4; end - end else if (_T_327) begin - if (temp[1]) begin - dbg_state <= 3'h0; + end else if (_T_553) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; end else begin - dbg_state <= 3'h2; - end - end else if (_T_339) begin - if (_T_343) begin - if (_T_347) begin - dbg_state <= 3'h6; - end else begin - dbg_state <= 3'h3; - end - end else if (temp[31]) begin - dbg_state <= 3'h1; - end else begin - dbg_state <= 3'h0; - end - end else if (_T_377) begin - if (temp[1]) begin - dbg_state <= 3'h0; - end else if (_T_384) begin - dbg_state <= 3'h5; - end else begin - dbg_state <= 3'h4; - end - end else if (_T_394) begin - if (temp[1]) begin - dbg_state <= 3'h0; - end else begin - dbg_state <= 3'h5; - end - end else if (_T_405) begin - if (temp[1]) begin - dbg_state <= 3'h0; - end else begin - dbg_state <= 3'h2; + temp_sbcs_14_12 <= 3'h4; end + end else if (_T_560) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_562) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_568) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_570) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_572) begin + temp_sbcs_14_12 <= 3'h2; + end else if (_T_575) begin + temp_sbcs_14_12 <= 3'h2; end else begin - dbg_state <= 3'h0; + temp_sbcs_14_12 <= 3'h0; end end - if (_T_29) begin + end + always @(posedge rvclkhdr_2_io_l1clk or posedge _T_30) begin + if (_T_30) begin + sbdata0_reg <= 32'h0; + end else begin + sbdata0_reg <= _T_99 | _T_103; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge _T_30) begin + if (_T_30) begin + sbdata1_reg <= 32'h0; + end else begin + sbdata1_reg <= _T_106 | _T_110; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge _T_30) begin + if (_T_30) begin + _T_128 <= 32'h0; + end else begin + _T_128 <= _T_119 | _T_125; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge _T_30) begin + if (_T_30) begin dm_temp <= 4'h0; end else if (dmcontrol_wren) begin - dm_temp <= _T_139; + dm_temp <= _T_148; end + end + always @(posedge rvclkhdr_io_l1clk or posedge io_dbg_rst_l) begin if (io_dbg_rst_l) begin dm_temp_0 <= 1'h0; end else if (dmcontrol_wren) begin dm_temp_0 <= io_dmi_reg_wdata[0]; end - if (_T_29) begin - dmstatus_havereset <= 1'h0; - end else if (dmstatus_havereset_wren) begin - dmstatus_havereset <= _T_195; - end - if (_T_29) begin - dmstatus_resumeack <= 1'h0; - end else if (dmstatus_resumeack_wren) begin - dmstatus_resumeack <= _T_169; - end - if (_T_29) begin - dmstatus_halted <= 1'h0; - end else begin - dmstatus_halted <= _T_192; - end - if (_T_29) begin + end + always @(posedge rvclkhdr_io_l1clk or posedge _T_30) begin + if (_T_30) begin dmcontrol_wren_Q <= 1'h0; end else begin - dmcontrol_wren_Q <= dmcontrol_wren; + dmcontrol_wren_Q <= _T_141 & io_dmi_reg_wr_en; end - if (_T_29) begin + end + always @(posedge rvclkhdr_io_l1clk or posedge _T_30) begin + if (_T_30) begin + _T_201 <= 1'h0; + end else if (dmstatus_resumeack_wren) begin + _T_201 <= _T_180; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge _T_30) begin + if (_T_30) begin + _T_206 <= 1'h0; + end else begin + _T_206 <= io_dec_tlu_dbg_halted & _T_204; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge _T_30) begin + if (_T_30) begin + _T_210 <= 1'h0; + end else if (dmstatus_havereset_wren) begin + _T_210 <= _T_209; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge _T_30) begin + if (_T_30) begin abs_temp_12 <= 1'h0; end else if (abstractcs_busy_wren) begin - if (_T_309) begin + if (_T_328) begin abs_temp_12 <= 1'h0; - end else if (_T_327) begin + end else if (_T_346) begin abs_temp_12 <= 1'h0; end else begin - abs_temp_12 <= _T_339; + abs_temp_12 <= _T_358; end end - if (_T_29) begin + end + always @(posedge rvclkhdr_io_l1clk or posedge _T_30) begin + if (_T_30) begin abs_temp_10_8 <= 3'h0; end else begin - abs_temp_10_8 <= abstractcs_error_din; + abs_temp_10_8 <= _T_276 | _T_281; end end - always @(posedge rvclkhdr_1_io_l1clk) begin - if (_T_29) begin - sb_state <= 4'h0; - end else if (sb_state_en) begin - if (sbcs_sbbusy_din) begin - if (sbdata0wr_access) begin - sb_state <= 4'h2; - end else begin - sb_state <= 4'h1; - end - end else if (_T_525) begin - if (_T_530) begin - sb_state <= 4'h9; - end else begin - sb_state <= 4'h3; - end - end else if (_T_532) begin - if (_T_530) begin - sb_state <= 4'h9; - end else begin - sb_state <= 4'h4; - end - end else if (_T_539) begin - sb_state <= 4'h7; - end else if (_T_541) begin - if (_T_542) begin - sb_state <= 4'h8; - end else if (sb_bus_cmd_write_data) begin - sb_state <= 4'h5; - end else begin - sb_state <= 4'h6; - end - end else if (_T_547) begin - sb_state <= 4'h8; - end else if (_T_549) begin - sb_state <= 4'h8; - end else if (_T_551) begin - sb_state <= 4'h9; - end else if (_T_554) begin - sb_state <= 4'h9; - end else begin - sb_state <= 4'h0; - end - end - if (_T_29) begin - temp_sbcs_22 <= 1'h0; - end else if (sbcs_sbbusyerror_wren) begin - temp_sbcs_22 <= sbcs_sbbusyerror_din; - end - if (_T_29) begin - temp_sbcs_21 <= 1'h0; - end else if (sbcs_sbbusy_wren) begin - temp_sbcs_21 <= sbcs_sbbusy_din; - end - if (_T_29) begin - temp_sbcs_20 <= 1'h0; - end else if (sbcs_wren) begin - temp_sbcs_20 <= io_dmi_reg_wdata[20]; - end - if (_T_29) begin - temp_sbcs_19_15 <= 5'h0; - end else if (sbcs_wren) begin - temp_sbcs_19_15 <= io_dmi_reg_wdata[19:15]; - end - if (_T_29) begin - temp_sbcs_14_12 <= 3'h0; - end else if (sbcs_sberror_wren) begin - if (sbcs_sbbusy_din) begin - temp_sbcs_14_12 <= _T_524; - end else if (_T_525) begin - if (sbcs_unaligned) begin - temp_sbcs_14_12 <= 3'h3; - end else begin - temp_sbcs_14_12 <= 3'h4; - end - end else if (_T_532) begin - if (sbcs_unaligned) begin - temp_sbcs_14_12 <= 3'h3; - end else begin - temp_sbcs_14_12 <= 3'h4; - end - end else if (_T_539) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_541) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_547) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_549) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_551) begin - temp_sbcs_14_12 <= 3'h2; - end else if (_T_554) begin - temp_sbcs_14_12 <= 3'h2; - end else begin - temp_sbcs_14_12 <= 3'h0; - end - end - end - always @(posedge rvclkhdr_4_io_l1clk) begin - if (_T_29) begin - sbaddress0_reg <= 32'h0; - end else begin - sbaddress0_reg <= sbaddress0_reg_din; - end - end - always @(posedge rvclkhdr_2_io_l1clk) begin - if (_T_29) begin - sbdata0_reg <= 32'h0; - end else begin - sbdata0_reg <= sbdata0_din; - end - end - always @(posedge rvclkhdr_3_io_l1clk) begin - if (_T_29) begin - sbdata1_reg <= 32'h0; - end else begin - sbdata1_reg <= sbdata1_din; - end - end - always @(posedge rvclkhdr_5_io_l1clk) begin - if (_T_29) begin - data1_reg <= 32'h0; - end else begin - data1_reg <= data1_din; - end - end - always @(posedge clock) begin - if (_T_29) begin + always @(posedge clock or posedge _T_30) begin + if (_T_30) begin command_reg <= 32'h0; end else if (command_wren) begin command_reg <= command_din; end - if (_T_29) begin + end + always @(posedge clock or posedge _T_30) begin + if (_T_30) begin data0_reg <= 32'h0; end else if (data0_reg_wren) begin data0_reg <= data0_din; end end + always @(posedge rvclkhdr_5_io_l1clk or posedge _T_30) begin + if (_T_30) begin + _T_327 <= 32'h0; + end else begin + _T_327 <= _T_324 & io_dmi_reg_wdata; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge _T_498) begin + if (_T_498) begin + _T_499 <= 3'h0; + end else if (dbg_state_en) begin + if (_T_328) begin + if (_T_330) begin + _T_499 <= 3'h2; + end else begin + _T_499 <= 3'h1; + end + end else if (_T_346) begin + if (dmcontrol_reg[1]) begin + _T_499 <= 3'h0; + end else begin + _T_499 <= 3'h2; + end + end else if (_T_358) begin + if (_T_362) begin + if (_T_366) begin + _T_499 <= 3'h6; + end else begin + _T_499 <= 3'h3; + end + end else if (dmcontrol_reg[31]) begin + _T_499 <= 3'h1; + end else begin + _T_499 <= 3'h0; + end + end else if (_T_396) begin + if (dmcontrol_reg[1]) begin + _T_499 <= 3'h0; + end else if (_T_399) begin + _T_499 <= 3'h5; + end else begin + _T_499 <= 3'h4; + end + end else if (_T_413) begin + if (dmcontrol_reg[1]) begin + _T_499 <= 3'h0; + end else begin + _T_499 <= 3'h5; + end + end else if (_T_424) begin + if (dmcontrol_reg[1]) begin + _T_499 <= 3'h0; + end else begin + _T_499 <= 3'h2; + end + end else begin + _T_499 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge _T_30) begin + if (_T_30) begin + _T_582 <= 4'h0; + end else if (sb_state_en) begin + if (_T_535) begin + if (sbdata0_reg_wren0) begin + _T_582 <= 4'h2; + end else begin + _T_582 <= 4'h1; + end + end else if (_T_546) begin + if (_T_547) begin + _T_582 <= 4'h9; + end else begin + _T_582 <= 4'h3; + end + end else if (_T_553) begin + if (_T_547) begin + _T_582 <= 4'h9; + end else begin + _T_582 <= 4'h4; + end + end else if (_T_560) begin + _T_582 <= 4'h7; + end else if (_T_562) begin + if (_T_563) begin + _T_582 <= 4'h8; + end else if (sb_bus_cmd_write_data) begin + _T_582 <= 4'h5; + end else begin + _T_582 <= 4'h6; + end + end else if (_T_568) begin + _T_582 <= 4'h8; + end else if (_T_570) begin + _T_582 <= 4'h8; + end else if (_T_572) begin + _T_582 <= 4'h9; + end else if (_T_575) begin + _T_582 <= 4'h9; + end else begin + _T_582 <= 4'h0; + end + end + end endmodule module exu_alu_ctl( input clock, @@ -67218,430 +67327,430 @@ module lsu_trigger( wire [15:0] _T_287 = {_T_160,_T_153,_T_146,_T_139,_T_132,_T_125,_T_118,_T_111,_T_279}; // @[lib.scala 89:14] wire [7:0] _T_294 = {_T_216,_T_209,_T_202,_T_195,_T_188,_T_181,_T_174,_T_167}; // @[lib.scala 89:14] wire [31:0] _T_303 = {_T_272,_T_265,_T_258,_T_251,_T_244,_T_237,_T_230,_T_223,_T_294,_T_287}; // @[lib.scala 89:14] - wire _T_304 = &_T_303; // @[lib.scala 89:25] - wire _T_305 = _T_46 & _T_304; // @[lsu_trigger.scala 19:92] - wire _T_308 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] - wire _T_309 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] - wire _T_311 = _T_309 & _T_19; // @[lsu_trigger.scala 19:58] - wire _T_312 = _T_308 | _T_311; // @[lsu_trigger.scala 18:152] - wire _T_313 = _T_40 & _T_312; // @[lsu_trigger.scala 18:94] - wire _T_316 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 85:45] - wire _T_317 = ~_T_316; // @[lib.scala 85:39] - wire _T_318 = io_trigger_pkt_any_1_match_pkt & _T_317; // @[lib.scala 85:37] - wire _T_321 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[lib.scala 86:52] - wire _T_322 = _T_318 | _T_321; // @[lib.scala 86:41] - wire _T_324 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 88:36] - wire _T_325 = _T_324 & _T_318; // @[lib.scala 88:41] - wire _T_328 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[lib.scala 88:78] - wire _T_329 = _T_325 | _T_328; // @[lib.scala 88:23] - wire _T_331 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 88:36] - wire _T_332 = _T_331 & _T_318; // @[lib.scala 88:41] - wire _T_335 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[lib.scala 88:78] - wire _T_336 = _T_332 | _T_335; // @[lib.scala 88:23] - wire _T_338 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 88:36] - wire _T_339 = _T_338 & _T_318; // @[lib.scala 88:41] - wire _T_342 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[lib.scala 88:78] - wire _T_343 = _T_339 | _T_342; // @[lib.scala 88:23] - wire _T_345 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 88:36] - wire _T_346 = _T_345 & _T_318; // @[lib.scala 88:41] - wire _T_349 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[lib.scala 88:78] - wire _T_350 = _T_346 | _T_349; // @[lib.scala 88:23] - wire _T_352 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 88:36] - wire _T_353 = _T_352 & _T_318; // @[lib.scala 88:41] - wire _T_356 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[lib.scala 88:78] - wire _T_357 = _T_353 | _T_356; // @[lib.scala 88:23] - wire _T_359 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 88:36] - wire _T_360 = _T_359 & _T_318; // @[lib.scala 88:41] - wire _T_363 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[lib.scala 88:78] - wire _T_364 = _T_360 | _T_363; // @[lib.scala 88:23] - wire _T_366 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 88:36] - wire _T_367 = _T_366 & _T_318; // @[lib.scala 88:41] - wire _T_370 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[lib.scala 88:78] - wire _T_371 = _T_367 | _T_370; // @[lib.scala 88:23] - wire _T_373 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 88:36] - wire _T_374 = _T_373 & _T_318; // @[lib.scala 88:41] - wire _T_377 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[lib.scala 88:78] - wire _T_378 = _T_374 | _T_377; // @[lib.scala 88:23] - wire _T_380 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 88:36] - wire _T_381 = _T_380 & _T_318; // @[lib.scala 88:41] - wire _T_384 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[lib.scala 88:78] - wire _T_385 = _T_381 | _T_384; // @[lib.scala 88:23] - wire _T_387 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 88:36] - wire _T_388 = _T_387 & _T_318; // @[lib.scala 88:41] - wire _T_391 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[lib.scala 88:78] - wire _T_392 = _T_388 | _T_391; // @[lib.scala 88:23] - wire _T_394 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 88:36] - wire _T_395 = _T_394 & _T_318; // @[lib.scala 88:41] - wire _T_398 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[lib.scala 88:78] - wire _T_399 = _T_395 | _T_398; // @[lib.scala 88:23] - wire _T_401 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 88:36] - wire _T_402 = _T_401 & _T_318; // @[lib.scala 88:41] - wire _T_405 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[lib.scala 88:78] - wire _T_406 = _T_402 | _T_405; // @[lib.scala 88:23] - wire _T_408 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 88:36] - wire _T_409 = _T_408 & _T_318; // @[lib.scala 88:41] - wire _T_412 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[lib.scala 88:78] - wire _T_413 = _T_409 | _T_412; // @[lib.scala 88:23] - wire _T_415 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 88:36] - wire _T_416 = _T_415 & _T_318; // @[lib.scala 88:41] - wire _T_419 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[lib.scala 88:78] - wire _T_420 = _T_416 | _T_419; // @[lib.scala 88:23] - wire _T_422 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 88:36] - wire _T_423 = _T_422 & _T_318; // @[lib.scala 88:41] - wire _T_426 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[lib.scala 88:78] - wire _T_427 = _T_423 | _T_426; // @[lib.scala 88:23] - wire _T_429 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 88:36] - wire _T_430 = _T_429 & _T_318; // @[lib.scala 88:41] - wire _T_433 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[lib.scala 88:78] - wire _T_434 = _T_430 | _T_433; // @[lib.scala 88:23] - wire _T_436 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 88:36] - wire _T_437 = _T_436 & _T_318; // @[lib.scala 88:41] - wire _T_440 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[lib.scala 88:78] - wire _T_441 = _T_437 | _T_440; // @[lib.scala 88:23] - wire _T_443 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 88:36] - wire _T_444 = _T_443 & _T_318; // @[lib.scala 88:41] - wire _T_447 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[lib.scala 88:78] - wire _T_448 = _T_444 | _T_447; // @[lib.scala 88:23] - wire _T_450 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 88:36] - wire _T_451 = _T_450 & _T_318; // @[lib.scala 88:41] - wire _T_454 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[lib.scala 88:78] - wire _T_455 = _T_451 | _T_454; // @[lib.scala 88:23] - wire _T_457 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 88:36] - wire _T_458 = _T_457 & _T_318; // @[lib.scala 88:41] - wire _T_461 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[lib.scala 88:78] - wire _T_462 = _T_458 | _T_461; // @[lib.scala 88:23] - wire _T_464 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 88:36] - wire _T_465 = _T_464 & _T_318; // @[lib.scala 88:41] - wire _T_468 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[lib.scala 88:78] - wire _T_469 = _T_465 | _T_468; // @[lib.scala 88:23] - wire _T_471 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 88:36] - wire _T_472 = _T_471 & _T_318; // @[lib.scala 88:41] - wire _T_475 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[lib.scala 88:78] - wire _T_476 = _T_472 | _T_475; // @[lib.scala 88:23] - wire _T_478 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 88:36] - wire _T_479 = _T_478 & _T_318; // @[lib.scala 88:41] - wire _T_482 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[lib.scala 88:78] - wire _T_483 = _T_479 | _T_482; // @[lib.scala 88:23] - wire _T_485 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 88:36] - wire _T_486 = _T_485 & _T_318; // @[lib.scala 88:41] - wire _T_489 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[lib.scala 88:78] - wire _T_490 = _T_486 | _T_489; // @[lib.scala 88:23] - wire _T_492 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 88:36] - wire _T_493 = _T_492 & _T_318; // @[lib.scala 88:41] - wire _T_496 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[lib.scala 88:78] - wire _T_497 = _T_493 | _T_496; // @[lib.scala 88:23] - wire _T_499 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 88:36] - wire _T_500 = _T_499 & _T_318; // @[lib.scala 88:41] - wire _T_503 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[lib.scala 88:78] - wire _T_504 = _T_500 | _T_503; // @[lib.scala 88:23] - wire _T_506 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 88:36] - wire _T_507 = _T_506 & _T_318; // @[lib.scala 88:41] - wire _T_510 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[lib.scala 88:78] - wire _T_511 = _T_507 | _T_510; // @[lib.scala 88:23] - wire _T_513 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 88:36] - wire _T_514 = _T_513 & _T_318; // @[lib.scala 88:41] - wire _T_517 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[lib.scala 88:78] - wire _T_518 = _T_514 | _T_517; // @[lib.scala 88:23] - wire _T_520 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 88:36] - wire _T_521 = _T_520 & _T_318; // @[lib.scala 88:41] - wire _T_524 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[lib.scala 88:78] - wire _T_525 = _T_521 | _T_524; // @[lib.scala 88:23] - wire _T_527 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 88:36] - wire _T_528 = _T_527 & _T_318; // @[lib.scala 88:41] - wire _T_531 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[lib.scala 88:78] - wire _T_532 = _T_528 | _T_531; // @[lib.scala 88:23] - wire _T_534 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 88:36] - wire _T_535 = _T_534 & _T_318; // @[lib.scala 88:41] - wire _T_538 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[lib.scala 88:78] - wire _T_539 = _T_535 | _T_538; // @[lib.scala 88:23] - wire [7:0] _T_546 = {_T_371,_T_364,_T_357,_T_350,_T_343,_T_336,_T_329,_T_322}; // @[lib.scala 89:14] - wire [15:0] _T_554 = {_T_427,_T_420,_T_413,_T_406,_T_399,_T_392,_T_385,_T_378,_T_546}; // @[lib.scala 89:14] - wire [7:0] _T_561 = {_T_483,_T_476,_T_469,_T_462,_T_455,_T_448,_T_441,_T_434}; // @[lib.scala 89:14] - wire [31:0] _T_570 = {_T_539,_T_532,_T_525,_T_518,_T_511,_T_504,_T_497,_T_490,_T_561,_T_554}; // @[lib.scala 89:14] - wire _T_571 = &_T_570; // @[lib.scala 89:25] - wire _T_572 = _T_313 & _T_571; // @[lsu_trigger.scala 19:92] - wire _T_575 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] - wire _T_576 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] - wire _T_578 = _T_576 & _T_26; // @[lsu_trigger.scala 19:58] - wire _T_579 = _T_575 | _T_578; // @[lsu_trigger.scala 18:152] - wire _T_580 = _T_40 & _T_579; // @[lsu_trigger.scala 18:94] - wire _T_583 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 85:45] - wire _T_584 = ~_T_583; // @[lib.scala 85:39] - wire _T_585 = io_trigger_pkt_any_2_match_pkt & _T_584; // @[lib.scala 85:37] - wire _T_588 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[lib.scala 86:52] - wire _T_589 = _T_585 | _T_588; // @[lib.scala 86:41] - wire _T_591 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 88:36] - wire _T_592 = _T_591 & _T_585; // @[lib.scala 88:41] - wire _T_595 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[lib.scala 88:78] - wire _T_596 = _T_592 | _T_595; // @[lib.scala 88:23] - wire _T_598 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 88:36] - wire _T_599 = _T_598 & _T_585; // @[lib.scala 88:41] - wire _T_602 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[lib.scala 88:78] - wire _T_603 = _T_599 | _T_602; // @[lib.scala 88:23] - wire _T_605 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 88:36] - wire _T_606 = _T_605 & _T_585; // @[lib.scala 88:41] - wire _T_609 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[lib.scala 88:78] - wire _T_610 = _T_606 | _T_609; // @[lib.scala 88:23] - wire _T_612 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 88:36] - wire _T_613 = _T_612 & _T_585; // @[lib.scala 88:41] - wire _T_616 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[lib.scala 88:78] - wire _T_617 = _T_613 | _T_616; // @[lib.scala 88:23] - wire _T_619 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 88:36] - wire _T_620 = _T_619 & _T_585; // @[lib.scala 88:41] - wire _T_623 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[lib.scala 88:78] - wire _T_624 = _T_620 | _T_623; // @[lib.scala 88:23] - wire _T_626 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 88:36] - wire _T_627 = _T_626 & _T_585; // @[lib.scala 88:41] - wire _T_630 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[lib.scala 88:78] - wire _T_631 = _T_627 | _T_630; // @[lib.scala 88:23] - wire _T_633 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 88:36] - wire _T_634 = _T_633 & _T_585; // @[lib.scala 88:41] - wire _T_637 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[lib.scala 88:78] - wire _T_638 = _T_634 | _T_637; // @[lib.scala 88:23] - wire _T_640 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 88:36] - wire _T_641 = _T_640 & _T_585; // @[lib.scala 88:41] - wire _T_644 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[lib.scala 88:78] - wire _T_645 = _T_641 | _T_644; // @[lib.scala 88:23] - wire _T_647 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 88:36] - wire _T_648 = _T_647 & _T_585; // @[lib.scala 88:41] - wire _T_651 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[lib.scala 88:78] - wire _T_652 = _T_648 | _T_651; // @[lib.scala 88:23] - wire _T_654 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 88:36] - wire _T_655 = _T_654 & _T_585; // @[lib.scala 88:41] - wire _T_658 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[lib.scala 88:78] - wire _T_659 = _T_655 | _T_658; // @[lib.scala 88:23] - wire _T_661 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 88:36] - wire _T_662 = _T_661 & _T_585; // @[lib.scala 88:41] - wire _T_665 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[lib.scala 88:78] - wire _T_666 = _T_662 | _T_665; // @[lib.scala 88:23] - wire _T_668 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 88:36] - wire _T_669 = _T_668 & _T_585; // @[lib.scala 88:41] - wire _T_672 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[lib.scala 88:78] - wire _T_673 = _T_669 | _T_672; // @[lib.scala 88:23] - wire _T_675 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 88:36] - wire _T_676 = _T_675 & _T_585; // @[lib.scala 88:41] - wire _T_679 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[lib.scala 88:78] - wire _T_680 = _T_676 | _T_679; // @[lib.scala 88:23] - wire _T_682 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 88:36] - wire _T_683 = _T_682 & _T_585; // @[lib.scala 88:41] - wire _T_686 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[lib.scala 88:78] - wire _T_687 = _T_683 | _T_686; // @[lib.scala 88:23] - wire _T_689 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 88:36] - wire _T_690 = _T_689 & _T_585; // @[lib.scala 88:41] - wire _T_693 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[lib.scala 88:78] - wire _T_694 = _T_690 | _T_693; // @[lib.scala 88:23] - wire _T_696 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 88:36] - wire _T_697 = _T_696 & _T_585; // @[lib.scala 88:41] - wire _T_700 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[lib.scala 88:78] - wire _T_701 = _T_697 | _T_700; // @[lib.scala 88:23] - wire _T_703 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 88:36] - wire _T_704 = _T_703 & _T_585; // @[lib.scala 88:41] - wire _T_707 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[lib.scala 88:78] - wire _T_708 = _T_704 | _T_707; // @[lib.scala 88:23] - wire _T_710 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 88:36] - wire _T_711 = _T_710 & _T_585; // @[lib.scala 88:41] - wire _T_714 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[lib.scala 88:78] - wire _T_715 = _T_711 | _T_714; // @[lib.scala 88:23] - wire _T_717 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 88:36] - wire _T_718 = _T_717 & _T_585; // @[lib.scala 88:41] - wire _T_721 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[lib.scala 88:78] - wire _T_722 = _T_718 | _T_721; // @[lib.scala 88:23] - wire _T_724 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 88:36] - wire _T_725 = _T_724 & _T_585; // @[lib.scala 88:41] - wire _T_728 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[lib.scala 88:78] - wire _T_729 = _T_725 | _T_728; // @[lib.scala 88:23] - wire _T_731 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 88:36] - wire _T_732 = _T_731 & _T_585; // @[lib.scala 88:41] - wire _T_735 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[lib.scala 88:78] - wire _T_736 = _T_732 | _T_735; // @[lib.scala 88:23] - wire _T_738 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 88:36] - wire _T_739 = _T_738 & _T_585; // @[lib.scala 88:41] - wire _T_742 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[lib.scala 88:78] - wire _T_743 = _T_739 | _T_742; // @[lib.scala 88:23] - wire _T_745 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 88:36] - wire _T_746 = _T_745 & _T_585; // @[lib.scala 88:41] - wire _T_749 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[lib.scala 88:78] - wire _T_750 = _T_746 | _T_749; // @[lib.scala 88:23] - wire _T_752 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 88:36] - wire _T_753 = _T_752 & _T_585; // @[lib.scala 88:41] - wire _T_756 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[lib.scala 88:78] - wire _T_757 = _T_753 | _T_756; // @[lib.scala 88:23] - wire _T_759 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 88:36] - wire _T_760 = _T_759 & _T_585; // @[lib.scala 88:41] - wire _T_763 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[lib.scala 88:78] - wire _T_764 = _T_760 | _T_763; // @[lib.scala 88:23] - wire _T_766 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 88:36] - wire _T_767 = _T_766 & _T_585; // @[lib.scala 88:41] - wire _T_770 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[lib.scala 88:78] - wire _T_771 = _T_767 | _T_770; // @[lib.scala 88:23] - wire _T_773 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 88:36] - wire _T_774 = _T_773 & _T_585; // @[lib.scala 88:41] - wire _T_777 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[lib.scala 88:78] - wire _T_778 = _T_774 | _T_777; // @[lib.scala 88:23] - wire _T_780 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 88:36] - wire _T_781 = _T_780 & _T_585; // @[lib.scala 88:41] - wire _T_784 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[lib.scala 88:78] - wire _T_785 = _T_781 | _T_784; // @[lib.scala 88:23] - wire _T_787 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 88:36] - wire _T_788 = _T_787 & _T_585; // @[lib.scala 88:41] - wire _T_791 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[lib.scala 88:78] - wire _T_792 = _T_788 | _T_791; // @[lib.scala 88:23] - wire _T_794 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 88:36] - wire _T_795 = _T_794 & _T_585; // @[lib.scala 88:41] - wire _T_798 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[lib.scala 88:78] - wire _T_799 = _T_795 | _T_798; // @[lib.scala 88:23] - wire _T_801 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 88:36] - wire _T_802 = _T_801 & _T_585; // @[lib.scala 88:41] - wire _T_805 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[lib.scala 88:78] - wire _T_806 = _T_802 | _T_805; // @[lib.scala 88:23] - wire [7:0] _T_813 = {_T_638,_T_631,_T_624,_T_617,_T_610,_T_603,_T_596,_T_589}; // @[lib.scala 89:14] - wire [15:0] _T_821 = {_T_694,_T_687,_T_680,_T_673,_T_666,_T_659,_T_652,_T_645,_T_813}; // @[lib.scala 89:14] - wire [7:0] _T_828 = {_T_750,_T_743,_T_736,_T_729,_T_722,_T_715,_T_708,_T_701}; // @[lib.scala 89:14] - wire [31:0] _T_837 = {_T_806,_T_799,_T_792,_T_785,_T_778,_T_771,_T_764,_T_757,_T_828,_T_821}; // @[lib.scala 89:14] - wire _T_838 = &_T_837; // @[lib.scala 89:25] - wire _T_839 = _T_580 & _T_838; // @[lsu_trigger.scala 19:92] - wire _T_842 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] - wire _T_843 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] - wire _T_845 = _T_843 & _T_33; // @[lsu_trigger.scala 19:58] - wire _T_846 = _T_842 | _T_845; // @[lsu_trigger.scala 18:152] - wire _T_847 = _T_40 & _T_846; // @[lsu_trigger.scala 18:94] - wire _T_850 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 85:45] - wire _T_851 = ~_T_850; // @[lib.scala 85:39] - wire _T_852 = io_trigger_pkt_any_3_match_pkt & _T_851; // @[lib.scala 85:37] - wire _T_855 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[lib.scala 86:52] - wire _T_856 = _T_852 | _T_855; // @[lib.scala 86:41] - wire _T_858 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 88:36] - wire _T_859 = _T_858 & _T_852; // @[lib.scala 88:41] - wire _T_862 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[lib.scala 88:78] - wire _T_863 = _T_859 | _T_862; // @[lib.scala 88:23] - wire _T_865 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 88:36] - wire _T_866 = _T_865 & _T_852; // @[lib.scala 88:41] - wire _T_869 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[lib.scala 88:78] - wire _T_870 = _T_866 | _T_869; // @[lib.scala 88:23] - wire _T_872 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 88:36] - wire _T_873 = _T_872 & _T_852; // @[lib.scala 88:41] - wire _T_876 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[lib.scala 88:78] - wire _T_877 = _T_873 | _T_876; // @[lib.scala 88:23] - wire _T_879 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 88:36] - wire _T_880 = _T_879 & _T_852; // @[lib.scala 88:41] - wire _T_883 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[lib.scala 88:78] - wire _T_884 = _T_880 | _T_883; // @[lib.scala 88:23] - wire _T_886 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 88:36] - wire _T_887 = _T_886 & _T_852; // @[lib.scala 88:41] - wire _T_890 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[lib.scala 88:78] - wire _T_891 = _T_887 | _T_890; // @[lib.scala 88:23] - wire _T_893 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 88:36] - wire _T_894 = _T_893 & _T_852; // @[lib.scala 88:41] - wire _T_897 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[lib.scala 88:78] - wire _T_898 = _T_894 | _T_897; // @[lib.scala 88:23] - wire _T_900 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 88:36] - wire _T_901 = _T_900 & _T_852; // @[lib.scala 88:41] - wire _T_904 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[lib.scala 88:78] - wire _T_905 = _T_901 | _T_904; // @[lib.scala 88:23] - wire _T_907 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 88:36] - wire _T_908 = _T_907 & _T_852; // @[lib.scala 88:41] - wire _T_911 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[lib.scala 88:78] - wire _T_912 = _T_908 | _T_911; // @[lib.scala 88:23] - wire _T_914 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 88:36] - wire _T_915 = _T_914 & _T_852; // @[lib.scala 88:41] - wire _T_918 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[lib.scala 88:78] - wire _T_919 = _T_915 | _T_918; // @[lib.scala 88:23] - wire _T_921 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 88:36] - wire _T_922 = _T_921 & _T_852; // @[lib.scala 88:41] - wire _T_925 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[lib.scala 88:78] - wire _T_926 = _T_922 | _T_925; // @[lib.scala 88:23] - wire _T_928 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 88:36] - wire _T_929 = _T_928 & _T_852; // @[lib.scala 88:41] - wire _T_932 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[lib.scala 88:78] - wire _T_933 = _T_929 | _T_932; // @[lib.scala 88:23] - wire _T_935 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 88:36] - wire _T_936 = _T_935 & _T_852; // @[lib.scala 88:41] - wire _T_939 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[lib.scala 88:78] - wire _T_940 = _T_936 | _T_939; // @[lib.scala 88:23] - wire _T_942 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 88:36] - wire _T_943 = _T_942 & _T_852; // @[lib.scala 88:41] - wire _T_946 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[lib.scala 88:78] - wire _T_947 = _T_943 | _T_946; // @[lib.scala 88:23] - wire _T_949 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 88:36] - wire _T_950 = _T_949 & _T_852; // @[lib.scala 88:41] - wire _T_953 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[lib.scala 88:78] - wire _T_954 = _T_950 | _T_953; // @[lib.scala 88:23] - wire _T_956 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 88:36] - wire _T_957 = _T_956 & _T_852; // @[lib.scala 88:41] - wire _T_960 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[lib.scala 88:78] - wire _T_961 = _T_957 | _T_960; // @[lib.scala 88:23] - wire _T_963 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 88:36] - wire _T_964 = _T_963 & _T_852; // @[lib.scala 88:41] - wire _T_967 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[lib.scala 88:78] - wire _T_968 = _T_964 | _T_967; // @[lib.scala 88:23] - wire _T_970 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 88:36] - wire _T_971 = _T_970 & _T_852; // @[lib.scala 88:41] - wire _T_974 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[lib.scala 88:78] - wire _T_975 = _T_971 | _T_974; // @[lib.scala 88:23] - wire _T_977 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 88:36] - wire _T_978 = _T_977 & _T_852; // @[lib.scala 88:41] - wire _T_981 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[lib.scala 88:78] - wire _T_982 = _T_978 | _T_981; // @[lib.scala 88:23] - wire _T_984 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 88:36] - wire _T_985 = _T_984 & _T_852; // @[lib.scala 88:41] - wire _T_988 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[lib.scala 88:78] - wire _T_989 = _T_985 | _T_988; // @[lib.scala 88:23] - wire _T_991 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 88:36] - wire _T_992 = _T_991 & _T_852; // @[lib.scala 88:41] - wire _T_995 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[lib.scala 88:78] - wire _T_996 = _T_992 | _T_995; // @[lib.scala 88:23] - wire _T_998 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 88:36] - wire _T_999 = _T_998 & _T_852; // @[lib.scala 88:41] - wire _T_1002 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[lib.scala 88:78] - wire _T_1003 = _T_999 | _T_1002; // @[lib.scala 88:23] - wire _T_1005 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 88:36] - wire _T_1006 = _T_1005 & _T_852; // @[lib.scala 88:41] - wire _T_1009 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[lib.scala 88:78] - wire _T_1010 = _T_1006 | _T_1009; // @[lib.scala 88:23] - wire _T_1012 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 88:36] - wire _T_1013 = _T_1012 & _T_852; // @[lib.scala 88:41] - wire _T_1016 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[lib.scala 88:78] - wire _T_1017 = _T_1013 | _T_1016; // @[lib.scala 88:23] - wire _T_1019 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 88:36] - wire _T_1020 = _T_1019 & _T_852; // @[lib.scala 88:41] - wire _T_1023 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[lib.scala 88:78] - wire _T_1024 = _T_1020 | _T_1023; // @[lib.scala 88:23] - wire _T_1026 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 88:36] - wire _T_1027 = _T_1026 & _T_852; // @[lib.scala 88:41] - wire _T_1030 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[lib.scala 88:78] - wire _T_1031 = _T_1027 | _T_1030; // @[lib.scala 88:23] - wire _T_1033 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 88:36] - wire _T_1034 = _T_1033 & _T_852; // @[lib.scala 88:41] - wire _T_1037 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[lib.scala 88:78] - wire _T_1038 = _T_1034 | _T_1037; // @[lib.scala 88:23] - wire _T_1040 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 88:36] - wire _T_1041 = _T_1040 & _T_852; // @[lib.scala 88:41] - wire _T_1044 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[lib.scala 88:78] - wire _T_1045 = _T_1041 | _T_1044; // @[lib.scala 88:23] - wire _T_1047 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 88:36] - wire _T_1048 = _T_1047 & _T_852; // @[lib.scala 88:41] - wire _T_1051 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[lib.scala 88:78] - wire _T_1052 = _T_1048 | _T_1051; // @[lib.scala 88:23] - wire _T_1054 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 88:36] - wire _T_1055 = _T_1054 & _T_852; // @[lib.scala 88:41] - wire _T_1058 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[lib.scala 88:78] - wire _T_1059 = _T_1055 | _T_1058; // @[lib.scala 88:23] - wire _T_1061 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 88:36] - wire _T_1062 = _T_1061 & _T_852; // @[lib.scala 88:41] - wire _T_1065 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[lib.scala 88:78] - wire _T_1066 = _T_1062 | _T_1065; // @[lib.scala 88:23] - wire _T_1068 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 88:36] - wire _T_1069 = _T_1068 & _T_852; // @[lib.scala 88:41] - wire _T_1072 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[lib.scala 88:78] - wire _T_1073 = _T_1069 | _T_1072; // @[lib.scala 88:23] - wire [7:0] _T_1080 = {_T_905,_T_898,_T_891,_T_884,_T_877,_T_870,_T_863,_T_856}; // @[lib.scala 89:14] - wire [15:0] _T_1088 = {_T_961,_T_954,_T_947,_T_940,_T_933,_T_926,_T_919,_T_912,_T_1080}; // @[lib.scala 89:14] - wire [7:0] _T_1095 = {_T_1017,_T_1010,_T_1003,_T_996,_T_989,_T_982,_T_975,_T_968}; // @[lib.scala 89:14] - wire [31:0] _T_1104 = {_T_1073,_T_1066,_T_1059,_T_1052,_T_1045,_T_1038,_T_1031,_T_1024,_T_1095,_T_1088}; // @[lib.scala 89:14] - wire _T_1105 = &_T_1104; // @[lib.scala 89:25] - wire _T_1106 = _T_847 & _T_1105; // @[lsu_trigger.scala 19:92] - wire [2:0] _T_1108 = {_T_1106,_T_839,_T_572}; // @[Cat.scala 29:58] - assign io_lsu_trigger_match_m = {_T_1108,_T_305}; // @[lsu_trigger.scala 18:26] + wire [31:0] _GEN_0 = {{31'd0}, _T_46}; // @[lsu_trigger.scala 19:92] + wire [31:0] _T_304 = _GEN_0 & _T_303; // @[lsu_trigger.scala 19:92] + wire _T_307 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_308 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_310 = _T_308 & _T_19; // @[lsu_trigger.scala 19:58] + wire _T_311 = _T_307 | _T_310; // @[lsu_trigger.scala 18:152] + wire _T_312 = _T_40 & _T_311; // @[lsu_trigger.scala 18:94] + wire _T_315 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 85:45] + wire _T_316 = ~_T_315; // @[lib.scala 85:39] + wire _T_317 = io_trigger_pkt_any_1_match_pkt & _T_316; // @[lib.scala 85:37] + wire _T_320 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[lib.scala 86:52] + wire _T_321 = _T_317 | _T_320; // @[lib.scala 86:41] + wire _T_323 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 88:36] + wire _T_324 = _T_323 & _T_317; // @[lib.scala 88:41] + wire _T_327 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[lib.scala 88:78] + wire _T_328 = _T_324 | _T_327; // @[lib.scala 88:23] + wire _T_330 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 88:36] + wire _T_331 = _T_330 & _T_317; // @[lib.scala 88:41] + wire _T_334 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[lib.scala 88:78] + wire _T_335 = _T_331 | _T_334; // @[lib.scala 88:23] + wire _T_337 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 88:36] + wire _T_338 = _T_337 & _T_317; // @[lib.scala 88:41] + wire _T_341 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[lib.scala 88:78] + wire _T_342 = _T_338 | _T_341; // @[lib.scala 88:23] + wire _T_344 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 88:36] + wire _T_345 = _T_344 & _T_317; // @[lib.scala 88:41] + wire _T_348 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[lib.scala 88:78] + wire _T_349 = _T_345 | _T_348; // @[lib.scala 88:23] + wire _T_351 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 88:36] + wire _T_352 = _T_351 & _T_317; // @[lib.scala 88:41] + wire _T_355 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[lib.scala 88:78] + wire _T_356 = _T_352 | _T_355; // @[lib.scala 88:23] + wire _T_358 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 88:36] + wire _T_359 = _T_358 & _T_317; // @[lib.scala 88:41] + wire _T_362 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[lib.scala 88:78] + wire _T_363 = _T_359 | _T_362; // @[lib.scala 88:23] + wire _T_365 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 88:36] + wire _T_366 = _T_365 & _T_317; // @[lib.scala 88:41] + wire _T_369 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[lib.scala 88:78] + wire _T_370 = _T_366 | _T_369; // @[lib.scala 88:23] + wire _T_372 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 88:36] + wire _T_373 = _T_372 & _T_317; // @[lib.scala 88:41] + wire _T_376 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[lib.scala 88:78] + wire _T_377 = _T_373 | _T_376; // @[lib.scala 88:23] + wire _T_379 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 88:36] + wire _T_380 = _T_379 & _T_317; // @[lib.scala 88:41] + wire _T_383 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[lib.scala 88:78] + wire _T_384 = _T_380 | _T_383; // @[lib.scala 88:23] + wire _T_386 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 88:36] + wire _T_387 = _T_386 & _T_317; // @[lib.scala 88:41] + wire _T_390 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[lib.scala 88:78] + wire _T_391 = _T_387 | _T_390; // @[lib.scala 88:23] + wire _T_393 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 88:36] + wire _T_394 = _T_393 & _T_317; // @[lib.scala 88:41] + wire _T_397 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[lib.scala 88:78] + wire _T_398 = _T_394 | _T_397; // @[lib.scala 88:23] + wire _T_400 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 88:36] + wire _T_401 = _T_400 & _T_317; // @[lib.scala 88:41] + wire _T_404 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[lib.scala 88:78] + wire _T_405 = _T_401 | _T_404; // @[lib.scala 88:23] + wire _T_407 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 88:36] + wire _T_408 = _T_407 & _T_317; // @[lib.scala 88:41] + wire _T_411 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[lib.scala 88:78] + wire _T_412 = _T_408 | _T_411; // @[lib.scala 88:23] + wire _T_414 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 88:36] + wire _T_415 = _T_414 & _T_317; // @[lib.scala 88:41] + wire _T_418 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[lib.scala 88:78] + wire _T_419 = _T_415 | _T_418; // @[lib.scala 88:23] + wire _T_421 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 88:36] + wire _T_422 = _T_421 & _T_317; // @[lib.scala 88:41] + wire _T_425 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[lib.scala 88:78] + wire _T_426 = _T_422 | _T_425; // @[lib.scala 88:23] + wire _T_428 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 88:36] + wire _T_429 = _T_428 & _T_317; // @[lib.scala 88:41] + wire _T_432 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[lib.scala 88:78] + wire _T_433 = _T_429 | _T_432; // @[lib.scala 88:23] + wire _T_435 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 88:36] + wire _T_436 = _T_435 & _T_317; // @[lib.scala 88:41] + wire _T_439 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[lib.scala 88:78] + wire _T_440 = _T_436 | _T_439; // @[lib.scala 88:23] + wire _T_442 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 88:36] + wire _T_443 = _T_442 & _T_317; // @[lib.scala 88:41] + wire _T_446 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[lib.scala 88:78] + wire _T_447 = _T_443 | _T_446; // @[lib.scala 88:23] + wire _T_449 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 88:36] + wire _T_450 = _T_449 & _T_317; // @[lib.scala 88:41] + wire _T_453 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[lib.scala 88:78] + wire _T_454 = _T_450 | _T_453; // @[lib.scala 88:23] + wire _T_456 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 88:36] + wire _T_457 = _T_456 & _T_317; // @[lib.scala 88:41] + wire _T_460 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[lib.scala 88:78] + wire _T_461 = _T_457 | _T_460; // @[lib.scala 88:23] + wire _T_463 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 88:36] + wire _T_464 = _T_463 & _T_317; // @[lib.scala 88:41] + wire _T_467 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[lib.scala 88:78] + wire _T_468 = _T_464 | _T_467; // @[lib.scala 88:23] + wire _T_470 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 88:36] + wire _T_471 = _T_470 & _T_317; // @[lib.scala 88:41] + wire _T_474 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[lib.scala 88:78] + wire _T_475 = _T_471 | _T_474; // @[lib.scala 88:23] + wire _T_477 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 88:36] + wire _T_478 = _T_477 & _T_317; // @[lib.scala 88:41] + wire _T_481 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[lib.scala 88:78] + wire _T_482 = _T_478 | _T_481; // @[lib.scala 88:23] + wire _T_484 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 88:36] + wire _T_485 = _T_484 & _T_317; // @[lib.scala 88:41] + wire _T_488 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[lib.scala 88:78] + wire _T_489 = _T_485 | _T_488; // @[lib.scala 88:23] + wire _T_491 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 88:36] + wire _T_492 = _T_491 & _T_317; // @[lib.scala 88:41] + wire _T_495 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[lib.scala 88:78] + wire _T_496 = _T_492 | _T_495; // @[lib.scala 88:23] + wire _T_498 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 88:36] + wire _T_499 = _T_498 & _T_317; // @[lib.scala 88:41] + wire _T_502 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[lib.scala 88:78] + wire _T_503 = _T_499 | _T_502; // @[lib.scala 88:23] + wire _T_505 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 88:36] + wire _T_506 = _T_505 & _T_317; // @[lib.scala 88:41] + wire _T_509 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[lib.scala 88:78] + wire _T_510 = _T_506 | _T_509; // @[lib.scala 88:23] + wire _T_512 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 88:36] + wire _T_513 = _T_512 & _T_317; // @[lib.scala 88:41] + wire _T_516 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[lib.scala 88:78] + wire _T_517 = _T_513 | _T_516; // @[lib.scala 88:23] + wire _T_519 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 88:36] + wire _T_520 = _T_519 & _T_317; // @[lib.scala 88:41] + wire _T_523 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[lib.scala 88:78] + wire _T_524 = _T_520 | _T_523; // @[lib.scala 88:23] + wire _T_526 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 88:36] + wire _T_527 = _T_526 & _T_317; // @[lib.scala 88:41] + wire _T_530 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[lib.scala 88:78] + wire _T_531 = _T_527 | _T_530; // @[lib.scala 88:23] + wire _T_533 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 88:36] + wire _T_534 = _T_533 & _T_317; // @[lib.scala 88:41] + wire _T_537 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[lib.scala 88:78] + wire _T_538 = _T_534 | _T_537; // @[lib.scala 88:23] + wire [7:0] _T_545 = {_T_370,_T_363,_T_356,_T_349,_T_342,_T_335,_T_328,_T_321}; // @[lib.scala 89:14] + wire [15:0] _T_553 = {_T_426,_T_419,_T_412,_T_405,_T_398,_T_391,_T_384,_T_377,_T_545}; // @[lib.scala 89:14] + wire [7:0] _T_560 = {_T_482,_T_475,_T_468,_T_461,_T_454,_T_447,_T_440,_T_433}; // @[lib.scala 89:14] + wire [31:0] _T_569 = {_T_538,_T_531,_T_524,_T_517,_T_510,_T_503,_T_496,_T_489,_T_560,_T_553}; // @[lib.scala 89:14] + wire [31:0] _GEN_1 = {{31'd0}, _T_312}; // @[lsu_trigger.scala 19:92] + wire [31:0] _T_570 = _GEN_1 & _T_569; // @[lsu_trigger.scala 19:92] + wire _T_573 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_574 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_576 = _T_574 & _T_26; // @[lsu_trigger.scala 19:58] + wire _T_577 = _T_573 | _T_576; // @[lsu_trigger.scala 18:152] + wire _T_578 = _T_40 & _T_577; // @[lsu_trigger.scala 18:94] + wire _T_581 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 85:45] + wire _T_582 = ~_T_581; // @[lib.scala 85:39] + wire _T_583 = io_trigger_pkt_any_2_match_pkt & _T_582; // @[lib.scala 85:37] + wire _T_586 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[lib.scala 86:52] + wire _T_587 = _T_583 | _T_586; // @[lib.scala 86:41] + wire _T_589 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 88:36] + wire _T_590 = _T_589 & _T_583; // @[lib.scala 88:41] + wire _T_593 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[lib.scala 88:78] + wire _T_594 = _T_590 | _T_593; // @[lib.scala 88:23] + wire _T_596 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 88:36] + wire _T_597 = _T_596 & _T_583; // @[lib.scala 88:41] + wire _T_600 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[lib.scala 88:78] + wire _T_601 = _T_597 | _T_600; // @[lib.scala 88:23] + wire _T_603 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 88:36] + wire _T_604 = _T_603 & _T_583; // @[lib.scala 88:41] + wire _T_607 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[lib.scala 88:78] + wire _T_608 = _T_604 | _T_607; // @[lib.scala 88:23] + wire _T_610 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 88:36] + wire _T_611 = _T_610 & _T_583; // @[lib.scala 88:41] + wire _T_614 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[lib.scala 88:78] + wire _T_615 = _T_611 | _T_614; // @[lib.scala 88:23] + wire _T_617 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 88:36] + wire _T_618 = _T_617 & _T_583; // @[lib.scala 88:41] + wire _T_621 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[lib.scala 88:78] + wire _T_622 = _T_618 | _T_621; // @[lib.scala 88:23] + wire _T_624 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 88:36] + wire _T_625 = _T_624 & _T_583; // @[lib.scala 88:41] + wire _T_628 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[lib.scala 88:78] + wire _T_629 = _T_625 | _T_628; // @[lib.scala 88:23] + wire _T_631 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 88:36] + wire _T_632 = _T_631 & _T_583; // @[lib.scala 88:41] + wire _T_635 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[lib.scala 88:78] + wire _T_636 = _T_632 | _T_635; // @[lib.scala 88:23] + wire _T_638 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 88:36] + wire _T_639 = _T_638 & _T_583; // @[lib.scala 88:41] + wire _T_642 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[lib.scala 88:78] + wire _T_643 = _T_639 | _T_642; // @[lib.scala 88:23] + wire _T_645 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 88:36] + wire _T_646 = _T_645 & _T_583; // @[lib.scala 88:41] + wire _T_649 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[lib.scala 88:78] + wire _T_650 = _T_646 | _T_649; // @[lib.scala 88:23] + wire _T_652 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 88:36] + wire _T_653 = _T_652 & _T_583; // @[lib.scala 88:41] + wire _T_656 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[lib.scala 88:78] + wire _T_657 = _T_653 | _T_656; // @[lib.scala 88:23] + wire _T_659 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 88:36] + wire _T_660 = _T_659 & _T_583; // @[lib.scala 88:41] + wire _T_663 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[lib.scala 88:78] + wire _T_664 = _T_660 | _T_663; // @[lib.scala 88:23] + wire _T_666 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 88:36] + wire _T_667 = _T_666 & _T_583; // @[lib.scala 88:41] + wire _T_670 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[lib.scala 88:78] + wire _T_671 = _T_667 | _T_670; // @[lib.scala 88:23] + wire _T_673 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 88:36] + wire _T_674 = _T_673 & _T_583; // @[lib.scala 88:41] + wire _T_677 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[lib.scala 88:78] + wire _T_678 = _T_674 | _T_677; // @[lib.scala 88:23] + wire _T_680 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 88:36] + wire _T_681 = _T_680 & _T_583; // @[lib.scala 88:41] + wire _T_684 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[lib.scala 88:78] + wire _T_685 = _T_681 | _T_684; // @[lib.scala 88:23] + wire _T_687 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 88:36] + wire _T_688 = _T_687 & _T_583; // @[lib.scala 88:41] + wire _T_691 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[lib.scala 88:78] + wire _T_692 = _T_688 | _T_691; // @[lib.scala 88:23] + wire _T_694 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 88:36] + wire _T_695 = _T_694 & _T_583; // @[lib.scala 88:41] + wire _T_698 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[lib.scala 88:78] + wire _T_699 = _T_695 | _T_698; // @[lib.scala 88:23] + wire _T_701 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 88:36] + wire _T_702 = _T_701 & _T_583; // @[lib.scala 88:41] + wire _T_705 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[lib.scala 88:78] + wire _T_706 = _T_702 | _T_705; // @[lib.scala 88:23] + wire _T_708 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 88:36] + wire _T_709 = _T_708 & _T_583; // @[lib.scala 88:41] + wire _T_712 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[lib.scala 88:78] + wire _T_713 = _T_709 | _T_712; // @[lib.scala 88:23] + wire _T_715 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 88:36] + wire _T_716 = _T_715 & _T_583; // @[lib.scala 88:41] + wire _T_719 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[lib.scala 88:78] + wire _T_720 = _T_716 | _T_719; // @[lib.scala 88:23] + wire _T_722 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 88:36] + wire _T_723 = _T_722 & _T_583; // @[lib.scala 88:41] + wire _T_726 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[lib.scala 88:78] + wire _T_727 = _T_723 | _T_726; // @[lib.scala 88:23] + wire _T_729 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 88:36] + wire _T_730 = _T_729 & _T_583; // @[lib.scala 88:41] + wire _T_733 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[lib.scala 88:78] + wire _T_734 = _T_730 | _T_733; // @[lib.scala 88:23] + wire _T_736 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 88:36] + wire _T_737 = _T_736 & _T_583; // @[lib.scala 88:41] + wire _T_740 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[lib.scala 88:78] + wire _T_741 = _T_737 | _T_740; // @[lib.scala 88:23] + wire _T_743 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 88:36] + wire _T_744 = _T_743 & _T_583; // @[lib.scala 88:41] + wire _T_747 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[lib.scala 88:78] + wire _T_748 = _T_744 | _T_747; // @[lib.scala 88:23] + wire _T_750 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 88:36] + wire _T_751 = _T_750 & _T_583; // @[lib.scala 88:41] + wire _T_754 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[lib.scala 88:78] + wire _T_755 = _T_751 | _T_754; // @[lib.scala 88:23] + wire _T_757 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 88:36] + wire _T_758 = _T_757 & _T_583; // @[lib.scala 88:41] + wire _T_761 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[lib.scala 88:78] + wire _T_762 = _T_758 | _T_761; // @[lib.scala 88:23] + wire _T_764 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 88:36] + wire _T_765 = _T_764 & _T_583; // @[lib.scala 88:41] + wire _T_768 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[lib.scala 88:78] + wire _T_769 = _T_765 | _T_768; // @[lib.scala 88:23] + wire _T_771 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 88:36] + wire _T_772 = _T_771 & _T_583; // @[lib.scala 88:41] + wire _T_775 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[lib.scala 88:78] + wire _T_776 = _T_772 | _T_775; // @[lib.scala 88:23] + wire _T_778 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 88:36] + wire _T_779 = _T_778 & _T_583; // @[lib.scala 88:41] + wire _T_782 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[lib.scala 88:78] + wire _T_783 = _T_779 | _T_782; // @[lib.scala 88:23] + wire _T_785 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 88:36] + wire _T_786 = _T_785 & _T_583; // @[lib.scala 88:41] + wire _T_789 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[lib.scala 88:78] + wire _T_790 = _T_786 | _T_789; // @[lib.scala 88:23] + wire _T_792 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 88:36] + wire _T_793 = _T_792 & _T_583; // @[lib.scala 88:41] + wire _T_796 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[lib.scala 88:78] + wire _T_797 = _T_793 | _T_796; // @[lib.scala 88:23] + wire _T_799 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 88:36] + wire _T_800 = _T_799 & _T_583; // @[lib.scala 88:41] + wire _T_803 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[lib.scala 88:78] + wire _T_804 = _T_800 | _T_803; // @[lib.scala 88:23] + wire [7:0] _T_811 = {_T_636,_T_629,_T_622,_T_615,_T_608,_T_601,_T_594,_T_587}; // @[lib.scala 89:14] + wire [15:0] _T_819 = {_T_692,_T_685,_T_678,_T_671,_T_664,_T_657,_T_650,_T_643,_T_811}; // @[lib.scala 89:14] + wire [7:0] _T_826 = {_T_748,_T_741,_T_734,_T_727,_T_720,_T_713,_T_706,_T_699}; // @[lib.scala 89:14] + wire [31:0] _T_835 = {_T_804,_T_797,_T_790,_T_783,_T_776,_T_769,_T_762,_T_755,_T_826,_T_819}; // @[lib.scala 89:14] + wire [31:0] _GEN_2 = {{31'd0}, _T_578}; // @[lsu_trigger.scala 19:92] + wire [31:0] _T_836 = _GEN_2 & _T_835; // @[lsu_trigger.scala 19:92] + wire _T_839 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_840 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_842 = _T_840 & _T_33; // @[lsu_trigger.scala 19:58] + wire _T_843 = _T_839 | _T_842; // @[lsu_trigger.scala 18:152] + wire _T_844 = _T_40 & _T_843; // @[lsu_trigger.scala 18:94] + wire _T_847 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 85:45] + wire _T_848 = ~_T_847; // @[lib.scala 85:39] + wire _T_849 = io_trigger_pkt_any_3_match_pkt & _T_848; // @[lib.scala 85:37] + wire _T_852 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[lib.scala 86:52] + wire _T_853 = _T_849 | _T_852; // @[lib.scala 86:41] + wire _T_855 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 88:36] + wire _T_856 = _T_855 & _T_849; // @[lib.scala 88:41] + wire _T_859 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[lib.scala 88:78] + wire _T_860 = _T_856 | _T_859; // @[lib.scala 88:23] + wire _T_862 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 88:36] + wire _T_863 = _T_862 & _T_849; // @[lib.scala 88:41] + wire _T_866 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[lib.scala 88:78] + wire _T_867 = _T_863 | _T_866; // @[lib.scala 88:23] + wire _T_869 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 88:36] + wire _T_870 = _T_869 & _T_849; // @[lib.scala 88:41] + wire _T_873 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[lib.scala 88:78] + wire _T_874 = _T_870 | _T_873; // @[lib.scala 88:23] + wire _T_876 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 88:36] + wire _T_877 = _T_876 & _T_849; // @[lib.scala 88:41] + wire _T_880 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[lib.scala 88:78] + wire _T_881 = _T_877 | _T_880; // @[lib.scala 88:23] + wire _T_883 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 88:36] + wire _T_884 = _T_883 & _T_849; // @[lib.scala 88:41] + wire _T_887 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[lib.scala 88:78] + wire _T_888 = _T_884 | _T_887; // @[lib.scala 88:23] + wire _T_890 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 88:36] + wire _T_891 = _T_890 & _T_849; // @[lib.scala 88:41] + wire _T_894 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[lib.scala 88:78] + wire _T_895 = _T_891 | _T_894; // @[lib.scala 88:23] + wire _T_897 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 88:36] + wire _T_898 = _T_897 & _T_849; // @[lib.scala 88:41] + wire _T_901 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[lib.scala 88:78] + wire _T_902 = _T_898 | _T_901; // @[lib.scala 88:23] + wire _T_904 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 88:36] + wire _T_905 = _T_904 & _T_849; // @[lib.scala 88:41] + wire _T_908 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[lib.scala 88:78] + wire _T_909 = _T_905 | _T_908; // @[lib.scala 88:23] + wire _T_911 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 88:36] + wire _T_912 = _T_911 & _T_849; // @[lib.scala 88:41] + wire _T_915 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[lib.scala 88:78] + wire _T_916 = _T_912 | _T_915; // @[lib.scala 88:23] + wire _T_918 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 88:36] + wire _T_919 = _T_918 & _T_849; // @[lib.scala 88:41] + wire _T_922 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[lib.scala 88:78] + wire _T_923 = _T_919 | _T_922; // @[lib.scala 88:23] + wire _T_925 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 88:36] + wire _T_926 = _T_925 & _T_849; // @[lib.scala 88:41] + wire _T_929 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[lib.scala 88:78] + wire _T_930 = _T_926 | _T_929; // @[lib.scala 88:23] + wire _T_932 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 88:36] + wire _T_933 = _T_932 & _T_849; // @[lib.scala 88:41] + wire _T_936 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[lib.scala 88:78] + wire _T_937 = _T_933 | _T_936; // @[lib.scala 88:23] + wire _T_939 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 88:36] + wire _T_940 = _T_939 & _T_849; // @[lib.scala 88:41] + wire _T_943 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[lib.scala 88:78] + wire _T_944 = _T_940 | _T_943; // @[lib.scala 88:23] + wire _T_946 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 88:36] + wire _T_947 = _T_946 & _T_849; // @[lib.scala 88:41] + wire _T_950 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[lib.scala 88:78] + wire _T_951 = _T_947 | _T_950; // @[lib.scala 88:23] + wire _T_953 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 88:36] + wire _T_954 = _T_953 & _T_849; // @[lib.scala 88:41] + wire _T_957 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[lib.scala 88:78] + wire _T_958 = _T_954 | _T_957; // @[lib.scala 88:23] + wire _T_960 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 88:36] + wire _T_961 = _T_960 & _T_849; // @[lib.scala 88:41] + wire _T_964 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[lib.scala 88:78] + wire _T_965 = _T_961 | _T_964; // @[lib.scala 88:23] + wire _T_967 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 88:36] + wire _T_968 = _T_967 & _T_849; // @[lib.scala 88:41] + wire _T_971 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[lib.scala 88:78] + wire _T_972 = _T_968 | _T_971; // @[lib.scala 88:23] + wire _T_974 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 88:36] + wire _T_975 = _T_974 & _T_849; // @[lib.scala 88:41] + wire _T_978 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[lib.scala 88:78] + wire _T_979 = _T_975 | _T_978; // @[lib.scala 88:23] + wire _T_981 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 88:36] + wire _T_982 = _T_981 & _T_849; // @[lib.scala 88:41] + wire _T_985 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[lib.scala 88:78] + wire _T_986 = _T_982 | _T_985; // @[lib.scala 88:23] + wire _T_988 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 88:36] + wire _T_989 = _T_988 & _T_849; // @[lib.scala 88:41] + wire _T_992 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[lib.scala 88:78] + wire _T_993 = _T_989 | _T_992; // @[lib.scala 88:23] + wire _T_995 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 88:36] + wire _T_996 = _T_995 & _T_849; // @[lib.scala 88:41] + wire _T_999 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[lib.scala 88:78] + wire _T_1000 = _T_996 | _T_999; // @[lib.scala 88:23] + wire _T_1002 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 88:36] + wire _T_1003 = _T_1002 & _T_849; // @[lib.scala 88:41] + wire _T_1006 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[lib.scala 88:78] + wire _T_1007 = _T_1003 | _T_1006; // @[lib.scala 88:23] + wire _T_1009 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 88:36] + wire _T_1010 = _T_1009 & _T_849; // @[lib.scala 88:41] + wire _T_1013 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[lib.scala 88:78] + wire _T_1014 = _T_1010 | _T_1013; // @[lib.scala 88:23] + wire _T_1016 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 88:36] + wire _T_1017 = _T_1016 & _T_849; // @[lib.scala 88:41] + wire _T_1020 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[lib.scala 88:78] + wire _T_1021 = _T_1017 | _T_1020; // @[lib.scala 88:23] + wire _T_1023 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 88:36] + wire _T_1024 = _T_1023 & _T_849; // @[lib.scala 88:41] + wire _T_1027 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[lib.scala 88:78] + wire _T_1028 = _T_1024 | _T_1027; // @[lib.scala 88:23] + wire _T_1030 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 88:36] + wire _T_1031 = _T_1030 & _T_849; // @[lib.scala 88:41] + wire _T_1034 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[lib.scala 88:78] + wire _T_1035 = _T_1031 | _T_1034; // @[lib.scala 88:23] + wire _T_1037 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 88:36] + wire _T_1038 = _T_1037 & _T_849; // @[lib.scala 88:41] + wire _T_1041 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[lib.scala 88:78] + wire _T_1042 = _T_1038 | _T_1041; // @[lib.scala 88:23] + wire _T_1044 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 88:36] + wire _T_1045 = _T_1044 & _T_849; // @[lib.scala 88:41] + wire _T_1048 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[lib.scala 88:78] + wire _T_1049 = _T_1045 | _T_1048; // @[lib.scala 88:23] + wire _T_1051 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 88:36] + wire _T_1052 = _T_1051 & _T_849; // @[lib.scala 88:41] + wire _T_1055 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[lib.scala 88:78] + wire _T_1056 = _T_1052 | _T_1055; // @[lib.scala 88:23] + wire _T_1058 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 88:36] + wire _T_1059 = _T_1058 & _T_849; // @[lib.scala 88:41] + wire _T_1062 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[lib.scala 88:78] + wire _T_1063 = _T_1059 | _T_1062; // @[lib.scala 88:23] + wire _T_1065 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 88:36] + wire _T_1066 = _T_1065 & _T_849; // @[lib.scala 88:41] + wire _T_1069 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[lib.scala 88:78] + wire _T_1070 = _T_1066 | _T_1069; // @[lib.scala 88:23] + wire [7:0] _T_1077 = {_T_902,_T_895,_T_888,_T_881,_T_874,_T_867,_T_860,_T_853}; // @[lib.scala 89:14] + wire [15:0] _T_1085 = {_T_958,_T_951,_T_944,_T_937,_T_930,_T_923,_T_916,_T_909,_T_1077}; // @[lib.scala 89:14] + wire [7:0] _T_1092 = {_T_1014,_T_1007,_T_1000,_T_993,_T_986,_T_979,_T_972,_T_965}; // @[lib.scala 89:14] + wire [31:0] _T_1101 = {_T_1070,_T_1063,_T_1056,_T_1049,_T_1042,_T_1035,_T_1028,_T_1021,_T_1092,_T_1085}; // @[lib.scala 89:14] + wire [31:0] _GEN_3 = {{31'd0}, _T_844}; // @[lsu_trigger.scala 19:92] + wire [31:0] _T_1102 = _GEN_3 & _T_1101; // @[lsu_trigger.scala 19:92] + wire [127:0] _T_1105 = {_T_1102,_T_836,_T_570,_T_304}; // @[Cat.scala 29:58] + assign io_lsu_trigger_match_m = _T_1105[3:0]; // @[lsu_trigger.scala 18:26] endmodule module lsu_clkdomain( input clock, @@ -68219,69 +68328,69 @@ module lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[lib.scala 352:23] wire rvclkhdr_11_io_en; // @[lib.scala 352:23] wire rvclkhdr_11_io_scan_mode; // @[lib.scala 352:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 73:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 74:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 72:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 73:46] reg [31:0] buf_addr_0; // @[lib.scala 358:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 75:74] reg _T_4360; // @[Reg.scala 27:20] reg _T_4357; // @[Reg.scala 27:20] reg _T_4354; // @[Reg.scala 27:20] reg _T_4351; // @[Reg.scala 27:20] wire [3:0] buf_write = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 76:98] + wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 75:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 76:129] - wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 76:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 75:129] + wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 75:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 75:141] reg [31:0] buf_addr_1; // @[lib.scala 358:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 76:74] - wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 76:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 75:74] + wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 75:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 76:129] - wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 76:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 75:129] + wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 75:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 75:141] reg [31:0] buf_addr_2; // @[lib.scala 358:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 76:74] - wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 76:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 75:74] + wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 75:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 76:129] - wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 76:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 75:129] + wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 75:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 75:141] reg [31:0] buf_addr_3; // @[lib.scala 358:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 76:74] - wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 76:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 75:74] + wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 75:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 76:129] - wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 76:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 77:74] - wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 77:98] - wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 77:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 77:74] - wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 77:98] - wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 77:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 77:74] - wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 77:98] - wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 77:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 77:74] - wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 77:98] - wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 77:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 75:129] + wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 75:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 75:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 76:98] + wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 76:98] + wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 76:98] + wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 76:98] + wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 141:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 140:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 140:114] reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 141:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 140:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 140:114] reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 141:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 140:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 140:114] reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 140:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 140:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 499:60] wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 411:93] @@ -68363,23 +68472,23 @@ module lsu_bus_buffer( wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 412:89] wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_3 = {1'h0,_T_2725,_T_2719,_T_2713}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] - wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 146:144] - wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 146:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 146:97] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 145:122] + wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 145:144] + wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 145:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 145:97] reg [31:0] ibuf_addr; // @[lib.scala 358:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 152:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 151:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 152:73] - reg ibuf_valid; // @[lsu_bus_buffer.scala 239:54] - wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 152:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 152:99] + wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 151:73] + reg ibuf_valid; // @[lsu_bus_buffer.scala 238:54] + wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 151:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 151:99] wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 157:55] - wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 157:69] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 146:150] - wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 156:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 156:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 145:150] + wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 145:148] reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 499:60] wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] @@ -68393,11 +68502,11 @@ module lsu_bus_buffer( wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 412:89] wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_2 = {_T_2704,1'h0,_T_2692,_T_2686}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] - wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 146:144] - wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 146:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 146:97] - wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 145:122] + wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 145:144] + wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 145:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 145:97] + wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 145:148] reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 499:60] wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] @@ -68411,11 +68520,11 @@ module lsu_bus_buffer( wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 412:89] wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_1 = {_T_2677,_T_2671,1'h0,_T_2659}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] - wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 146:144] - wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 146:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 146:97] - wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 145:122] + wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 145:144] + wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 145:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 145:97] + wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 145:148] reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 499:60] wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] @@ -68429,253 +68538,253 @@ module lsu_bus_buffer( wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 412:89] wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_0 = {_T_2650,_T_2644,_T_2638,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] - wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 146:144] - wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 146:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 146:97] - wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 145:122] + wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 145:144] + wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 145:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 145:97] + wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 145:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 138:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 138:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 141:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 141:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 141:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 141:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 137:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 137:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 140:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 140:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 140:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 140:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 140:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 140:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 140:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 140:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] - wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 146:144] - wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 146:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 146:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 146:150] - wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] - wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 146:144] - wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 146:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 146:97] - wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] - wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 146:144] - wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 146:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 146:97] - wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] - wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 146:144] - wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 146:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 146:97] - wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 145:122] + wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 145:144] + wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 145:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 145:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 145:150] + wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 145:122] + wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 145:144] + wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 145:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 145:97] + wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 145:122] + wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 145:144] + wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 145:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 145:97] + wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 145:122] + wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 145:144] + wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 145:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 145:97] + wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 145:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 138:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 138:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 141:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 141:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 141:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 141:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 137:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 137:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 140:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 140:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 140:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 140:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 140:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 140:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 140:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 140:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] - wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 146:144] - wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 146:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 146:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 146:150] - wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] - wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 146:144] - wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 146:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 146:97] - wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] - wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 146:144] - wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 146:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 146:97] - wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] - wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 146:144] - wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 146:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 146:97] - wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 145:122] + wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 145:144] + wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 145:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 145:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 145:150] + wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 145:122] + wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 145:144] + wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 145:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 145:97] + wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 145:122] + wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 145:144] + wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 145:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 145:97] + wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 145:122] + wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 145:144] + wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 145:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 145:97] + wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 145:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 138:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 138:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 141:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 141:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 141:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 141:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 137:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 137:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 140:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 140:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 140:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 140:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 140:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 140:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 140:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 140:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] - wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 146:144] - wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 146:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 146:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 146:150] - wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] - wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 146:144] - wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 146:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 146:97] - wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] - wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 146:144] - wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 146:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 146:97] - wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] - wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 146:144] - wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 146:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 146:97] - wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 145:122] + wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 145:144] + wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 145:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 145:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 145:150] + wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 145:122] + wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 145:144] + wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 145:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 145:97] + wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 145:122] + wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 145:144] + wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 145:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 145:97] + wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 145:122] + wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 145:144] + wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 145:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 145:97] + wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 145:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 138:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 138:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 137:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 137:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 142:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 142:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 142:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 142:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] - wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 147:144] - wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 147:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 147:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 153:51] - wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 153:73] - wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 153:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 153:99] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 146:144] + wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 146:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 146:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 152:51] + wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 152:73] + wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 152:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 152:99] wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 158:55] - wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 158:69] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 147:150] - wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] - wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 147:144] - wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 147:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 147:97] - wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] - wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 147:144] - wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 147:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 147:97] - wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] - wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 147:144] - wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 147:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 147:97] - wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 157:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 157:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 146:150] + wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 146:144] + wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 146:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 146:97] + wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 146:144] + wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 146:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 146:97] + wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 146:144] + wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 146:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 146:97] + wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 146:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 139:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 139:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 142:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 142:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 142:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 142:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 138:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 138:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] - wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 147:144] - wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 147:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 147:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 147:150] - wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] - wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 147:144] - wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 147:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 147:97] - wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] - wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 147:144] - wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 147:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 147:97] - wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] - wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 147:144] - wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 147:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 147:97] - wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 146:144] + wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 146:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 146:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 146:150] + wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 146:144] + wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 146:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 146:97] + wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 146:144] + wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 146:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 146:97] + wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 146:144] + wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 146:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 146:97] + wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 146:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 139:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 139:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 142:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 142:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 142:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 142:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 138:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 138:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] - wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 147:144] - wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 147:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 147:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 147:150] - wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] - wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 147:144] - wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 147:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 147:97] - wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] - wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 147:144] - wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 147:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 147:97] - wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] - wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 147:144] - wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 147:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 147:97] - wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 146:144] + wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 146:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 146:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 146:150] + wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 146:144] + wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 146:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 146:97] + wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 146:144] + wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 146:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 146:97] + wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 146:144] + wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 146:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 146:97] + wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 146:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 139:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 139:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 142:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 142:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 142:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 142:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 138:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 138:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] - wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 147:144] - wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 147:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 147:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 147:150] - wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] - wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 147:144] - wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 147:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 147:97] - wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] - wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 147:144] - wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 147:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 147:97] - wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 147:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] - wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 147:144] - wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 147:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 147:97] - wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 146:144] + wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 146:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 146:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 146:150] + wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 146:144] + wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 146:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 146:97] + wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 146:144] + wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 146:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 146:97] + wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 146:144] + wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 146:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 146:97] + wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 146:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 139:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 139:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 138:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 138:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] @@ -68689,112 +68798,112 @@ module lsu_bus_buffer( wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[lib.scala 358:16] - wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 164:91] wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[lib.scala 358:16] - wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 164:91] wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[lib.scala 358:16] - wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 164:91] wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[lib.scala 358:16] - wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 165:91] - wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 165:123] - wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 165:123] - wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 164:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 164:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 164:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 164:123] wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 165:65] wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 165:65] wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 165:65] wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 166:65] - wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 166:97] - wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 166:97] - wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 165:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 165:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 165:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 165:97] wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 166:65] wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 166:65] wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 166:65] wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 167:65] - wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 167:97] - wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 167:97] - wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 166:97] wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 167:65] wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 167:65] wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 167:65] wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 168:65] - wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 168:97] - wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 168:97] - wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 167:97] wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] reg [31:0] ibuf_data; // @[lib.scala 358:16] - wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 169:32] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 168:32] wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 170:91] wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 170:91] wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 170:91] wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 171:91] - wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 171:123] - wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 171:123] - wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 170:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 170:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 170:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 170:123] wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 171:65] wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 171:65] wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 171:65] wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 172:65] - wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 172:97] - wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 172:97] - wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 171:97] wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 172:65] wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 172:65] wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 172:65] wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 173:65] - wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 173:97] - wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 173:97] - wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 172:97] wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 173:65] wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 173:65] wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 173:65] wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 174:65] - wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 174:97] - wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 174:97] - wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 173:97] wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] - wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 175:32] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 174:32] wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] - wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 182:55] - wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 183:24] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 181:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 182:24] wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] - wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 184:24] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 183:24] wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] - wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 185:24] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 184:24] wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] @@ -68829,90 +68938,90 @@ module lsu_bus_buffer( wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 202:40] - wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 204:31] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 201:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 203:31] wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] - wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 206:60] - wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 206:34] - wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 206:84] - wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 206:82] - wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 207:36] - wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 207:56] - wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 207:54] - wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 209:36] - reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 252:55] - wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 215:62] - wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 215:48] - wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 234:54] - wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 234:80] - wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 234:93] - wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 234:129] - wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 234:106] - wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 234:152] - wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 234:150] - wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 234:175] - wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 234:173] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 235:20] - wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 215:98] - wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 215:82] - wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 215:80] - wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 216:5] - wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 210:44] - wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 210:42] - wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 210:61] - wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 210:120] - wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 210:100] - wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 210:74] - wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 216:16] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 205:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 205:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 205:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 205:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 206:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 206:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 206:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 208:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 251:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 214:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 214:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 233:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 233:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 233:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 233:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 233:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 233:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 233:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 233:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 233:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 234:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 214:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 214:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 214:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 215:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 209:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 209:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 209:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 209:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 209:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 209:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 215:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 216:35] - wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 216:55] - wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 216:53] - wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 216:67] - wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 215:32] - wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 209:34] - wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 209:49] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 215:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 215:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 215:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 215:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 214:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 208:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 208:49] reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 615:49] reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 614:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] - wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 225:77] - wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 231:8] - wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 229:46] - wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 231:8] - wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 229:46] - wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 231:8] - wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 229:46] - wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 231:8] - wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 229:46] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 224:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 229:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 228:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 229:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 228:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 229:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 228:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 229:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 228:46] wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] - wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 232:59] - wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 232:93] - wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 236:65] - wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 236:63] - wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 236:96] - wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 236:48] - wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 236:96] - wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 236:48] - wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 236:96] - wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 236:48] - wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 236:96] - wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 236:48] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 231:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 231:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 235:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 235:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 235:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 235:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 235:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 235:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 235:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 235:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 235:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 235:48] wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] - wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 237:45] - wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 237:45] - wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 237:45] - wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 236:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 236:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 236:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 236:45] wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] - wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 239:58] - wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 239:93] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 238:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 238:93] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] @@ -68936,7 +69045,7 @@ module lsu_bus_buffer( wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 521:89] wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 521:142] wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 521:142] - wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:43] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 261:43] wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 522:73] wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 522:73] wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 522:126] @@ -68946,12 +69055,12 @@ module lsu_bus_buffer( wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 522:73] wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 522:126] wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 522:126] - wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:72] - wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 262:51] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 261:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 261:51] reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 360:54] - wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 262:97] - wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 262:80] - wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 262:114] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 261:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 261:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 261:114] wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 377:58] wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 377:45] wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 377:63] @@ -68981,10 +69090,10 @@ module lsu_bus_buffer( wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:104] wire [2:0] _T_2077 = {_T_2061,_T_2068,_T_2075}; // @[Cat.scala 29:58] wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 390:11] - wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 263:114] - wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 263:114] - wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 263:114] - wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 263:114] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 262:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 262:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 262:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 262:114] reg buf_nomerge_0; // @[Reg.scala 27:20] wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] @@ -68996,8 +69105,8 @@ module lsu_bus_buffer( wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] - wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 263:31] - wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 263:29] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 262:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 262:29] reg _T_4330; // @[Reg.scala 27:20] reg _T_4327; // @[Reg.scala 27:20] reg _T_4324; // @[Reg.scala 27:20] @@ -69010,10 +69119,10 @@ module lsu_bus_buffer( wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] - wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 264:5] - wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 263:140] - wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 266:58] - wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 266:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 263:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 262:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 265:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 265:72] wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] @@ -69021,14 +69130,14 @@ module lsu_bus_buffer( wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] - wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 266:123] - wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 266:101] - wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 264:119] - wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 264:117] - wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 265:75] - wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 265:95] - wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 265:79] - wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 265:123] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 265:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 265:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 263:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 263:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 264:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 264:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 264:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 264:123] wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 523:63] wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 523:74] wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 523:63] @@ -69042,12 +69151,12 @@ module lsu_bus_buffer( wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 523:74] wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 523:154] wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 523:154] - wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 268:53] - wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 268:31] - wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 268:64] - wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 268:89] - wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 268:61] - wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 283:32] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 267:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 267:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 267:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 267:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 267:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 282:32] wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 551:62] wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 551:73] wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] @@ -69067,9 +69176,9 @@ module lsu_bus_buffer( wire _T_4797 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 551:171] wire _T_4798 = _T_4797 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:189] wire bus_sideeffect_pend = _T_4796 | _T_4798; // @[lsu_bus_buffer.scala 551:157] - wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 283:74] - wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 283:52] - wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 283:50] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 282:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 282:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 282:50] wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] @@ -69077,9 +69186,9 @@ module lsu_bus_buffer( wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] - wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 284:36] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 283:36] wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 382:31] - wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 284:47] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 283:47] wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] @@ -69088,11 +69197,11 @@ module lsu_bus_buffer( wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] - wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 285:23] - wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 285:21] - wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 285:141] - wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 285:105] - wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 285:103] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 284:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 284:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 284:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 284:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 284:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -69117,7 +69226,7 @@ module lsu_bus_buffer( wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] - wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 286:77] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 285:77] wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] @@ -69125,9 +69234,9 @@ module lsu_bus_buffer( wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] - wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 286:150] - wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 286:148] - wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 286:8] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 285:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 285:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 285:8] wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 378:62] wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 378:59] wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 378:76] @@ -69159,7 +69268,7 @@ module lsu_bus_buffer( wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 378:123] wire [3:0] CmdPtr1Dec = {_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 383:31] - wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 286:181] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 285:181] wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] @@ -69168,24 +69277,25 @@ module lsu_bus_buffer( wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] - wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 286:197] - wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 286:269] - wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 285:164] - wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 283:98] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 285:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 285:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 284:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 282:98] reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[lsu_bus_buffer.scala 347:54] reg obuf_data_done; // @[lsu_bus_buffer.scala 348:55] wire _T_4856 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 555:54] wire _T_4857 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 555:75] - wire _T_4859 = _T_4856 ? _T_4857 : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 555:39] + wire _T_4858 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 555:153] + wire _T_4859 = _T_4856 ? _T_4857 : _T_4858; // @[lsu_bus_buffer.scala 555:39] wire bus_cmd_ready = obuf_write ? _T_4859 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 555:23] - wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 287:48] - wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 287:46] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 286:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 286:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 287:60] - wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 287:29] - wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 287:77] - wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 287:75] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 286:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 286:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 286:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 286:75] reg [31:0] obuf_addr; // @[lib.scala 358:16] wire _T_4804 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 553:56] wire _T_4805 = obuf_valid & _T_4804; // @[lsu_bus_buffer.scala 553:38] @@ -69222,10 +69332,10 @@ module lsu_bus_buffer( wire _T_4847 = _T_4841 & _T_4846; // @[lsu_bus_buffer.scala 553:78] wire _T_4851 = _T_4790 & _T_4847; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4853 | _T_4851; // @[Mux.scala 27:72] - wire _T_1239 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 287:118] - wire _T_1240 = _T_1236 & _T_1239; // @[lsu_bus_buffer.scala 287:116] - wire obuf_wr_en = _T_1240 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 287:142] - wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 289:47] + wire _T_1239 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 286:118] + wire _T_1240 = _T_1236 & _T_1239; // @[lsu_bus_buffer.scala 286:116] + wire obuf_wr_en = _T_1240 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 286:142] + wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 288:47] wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:40] wire _T_4863 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 558:35] wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 557:40] @@ -69233,12 +69343,12 @@ module lsu_bus_buffer( wire _T_4865 = _T_4863 & _T_4864; // @[lsu_bus_buffer.scala 558:52] wire _T_4866 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 558:112] wire bus_cmd_sent = _T_4865 | _T_4866; // @[lsu_bus_buffer.scala 558:89] - wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 289:33] - wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 289:65] - wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 289:63] - wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 289:77] - wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 289:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 290:26] + wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 288:33] + wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 288:65] + wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 288:63] + wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 288:77] + wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 288:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 289:26] wire [31:0] _T_1283 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1284 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1285 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -69246,7 +69356,7 @@ module lsu_bus_buffer( wire [31:0] _T_1287 = _T_1283 | _T_1284; // @[Mux.scala 27:72] wire [31:0] _T_1288 = _T_1287 | _T_1285; // @[Mux.scala 27:72] wire [31:0] _T_1289 = _T_1288 | _T_1286; // @[Mux.scala 27:72] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 292:25] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 291:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] wire [1:0] _T_1296 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] @@ -69258,7 +69368,7 @@ module lsu_bus_buffer( wire [1:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] wire [1:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] wire [1:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 295:23] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 294:23] wire [7:0] _T_2079 = {4'h0,_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 385:42] wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:48] @@ -69328,10 +69438,10 @@ module lsu_bus_buffer( wire [7:0] _T_1403 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1404 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 325:46] - wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 58:123] - wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 58:123] - wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 58:123] - wire _T_1409 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 57:123] + wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 57:123] + wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 57:123] + wire _T_1409 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 57:123] wire [31:0] _T_1410 = _T_1406 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1411 = _T_1407 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1412 = _T_1408 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -70128,10 +70238,10 @@ module lsu_bus_buffer( wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 524:93] wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 524:93] wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] - wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 57:118] - wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 57:118] - wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 57:118] - wire _T_3616 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 56:118] + wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 56:118] + wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 56:118] + wire _T_3616 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 56:118] wire _T_3618 = _T_3610 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3619 = _T_3612 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3620 = _T_3614 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -70195,10 +70305,10 @@ module lsu_bus_buffer( wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 466:90] wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 466:61] wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] - wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 57:118] - wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 57:118] - wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 57:118] - wire _T_3809 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 56:118] + wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 56:118] + wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 56:118] + wire _T_3809 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 56:118] wire _T_3811 = _T_3803 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3812 = _T_3805 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3813 = _T_3807 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -70262,10 +70372,10 @@ module lsu_bus_buffer( wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 466:90] wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 466:61] wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] - wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 57:118] - wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 57:118] - wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 57:118] - wire _T_4002 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 56:118] + wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 56:118] + wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 56:118] + wire _T_4002 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 56:118] wire _T_4004 = _T_3996 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_4005 = _T_3998 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_4006 = _T_4000 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -70329,10 +70439,10 @@ module lsu_bus_buffer( wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 466:90] wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 466:61] wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] - wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 57:118] - wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 57:118] - wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 57:118] - wire _T_4195 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 56:118] + wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 56:118] + wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 56:118] + wire _T_4195 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 56:118] wire _T_4197 = _T_4189 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_4198 = _T_4191 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_4199 = _T_4193 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -70473,10 +70583,10 @@ module lsu_bus_buffer( wire [31:0] _T_4680 = _T_4676 | _T_4677; // @[Mux.scala 27:72] wire [31:0] _T_4681 = _T_4680 | _T_4678; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_hi = _T_4681 | _T_4679; // @[Mux.scala 27:72] - wire _T_4683 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 58:123] - wire _T_4684 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 58:123] - wire _T_4685 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 58:123] - wire _T_4686 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire _T_4683 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 57:123] + wire _T_4684 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 57:123] + wire _T_4685 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 57:123] + wire _T_4686 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 57:123] wire [31:0] _T_4687 = _T_4683 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4688 = _T_4684 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4689 = _T_4685 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -70690,10 +70800,10 @@ module lsu_bus_buffer( assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 525:30] assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 526:30] assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 527:31] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 138:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 139:25] - assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 165:24] - assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 171:24] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 137:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 138:25] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 164:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 170:24] assign rvclkhdr_io_clk = clock; // @[lib.scala 354:18] assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 355:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] diff --git a/src/main/scala/dbg/dbg.scala b/src/main/scala/dbg/dbg.scala index d20b2e2c..fc207b68 100644 --- a/src/main/scala/dbg/dbg.scala +++ b/src/main/scala/dbg/dbg.scala @@ -450,6 +450,3 @@ class dbg extends Module with lib with RequireAsyncReset { io.dbg_dma.dbg_ib.dbg_cmd_write := io.dbg_dec.dbg_ib.dbg_cmd_write io.dbg_dma.dbg_ib.dbg_cmd_type := io.dbg_dec.dbg_ib.dbg_cmd_type } -object dbg_top extends App { - println((new chisel3.stage.ChiselStage).emitVerilog(new dbg())) -} \ No newline at end of file diff --git a/src/main/scala/lib/lib.scala b/src/main/scala/lib/lib.scala index caf91999..bba230f7 100644 --- a/src/main/scala/lib/lib.scala +++ b/src/main/scala/lib/lib.scala @@ -86,7 +86,7 @@ trait lib extends param{ matchvec(0) := masken_or_fullmask | (mask(0) === data(0)).asUInt for(i <- 1 to data.getWidth-1) matchvec(i) := Mux(mask(i-1,0).andR & masken_or_fullmask,"b1".U,(mask(i) === data(i)).asUInt) - matchvec.asUInt + matchvec.asUInt.andR() } /////////////////////////////////////////////////////////////////// diff --git a/src/main/scala/quasar_wrapper.scala b/src/main/scala/quasar_wrapper.scala index ee3d1c6c..a694850d 100644 --- a/src/main/scala/quasar_wrapper.scala +++ b/src/main/scala/quasar_wrapper.scala @@ -197,7 +197,6 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset { io.dma_hresp := core.io.dma_hresp } - object QUASAR_Wrp extends App { println((new chisel3.stage.ChiselStage).emitVerilog(new quasar_wrapper())) } \ No newline at end of file diff --git a/target/scala-2.12/classes/QUASAR_Wrp$.class b/target/scala-2.12/classes/QUASAR_Wrp$.class index 0396bf9d..269d4e31 100644 Binary files a/target/scala-2.12/classes/QUASAR_Wrp$.class and b/target/scala-2.12/classes/QUASAR_Wrp$.class differ diff --git a/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class b/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class index 6d6f0c25..684eeb3f 100644 Binary files a/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class and b/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/dbg/dbg_top$.class b/target/scala-2.12/classes/dbg/dbg_top$.class deleted file mode 100644 index 145ec281..00000000 Binary files a/target/scala-2.12/classes/dbg/dbg_top$.class and /dev/null differ diff --git a/target/scala-2.12/classes/dbg/dbg_top$delayedInit$body.class b/target/scala-2.12/classes/dbg/dbg_top$delayedInit$body.class deleted file mode 100644 index b11097a7..00000000 Binary files a/target/scala-2.12/classes/dbg/dbg_top$delayedInit$body.class and /dev/null differ diff --git a/target/scala-2.12/classes/dbg/dbg_top.class b/target/scala-2.12/classes/dbg/dbg_top.class deleted file mode 100644 index d4a8771c..00000000 Binary files a/target/scala-2.12/classes/dbg/dbg_top.class and /dev/null differ diff --git a/target/scala-2.12/classes/lib/lib.class b/target/scala-2.12/classes/lib/lib.class index e3604bd8..4e9b4fe9 100644 Binary files a/target/scala-2.12/classes/lib/lib.class and b/target/scala-2.12/classes/lib/lib.class differ