From 4bfc5b812fe8d187d3b127b843a1c7a1ce2e9d29 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Fri, 9 Oct 2020 18:07:06 +0500 Subject: [PATCH] Hard-coded values --- el2_ifu_iccm_mem.fir | 24 ++++++++++-------- el2_ifu_iccm_mem.v | 11 ++++---- src/main/scala/ifu/el2_ifu_iccm_mem.scala | 2 +- .../classes/ifu/el2_ifu_iccm_mem.class | Bin 93049 -> 93095 bytes 4 files changed, 20 insertions(+), 17 deletions(-) diff --git a/el2_ifu_iccm_mem.fir b/el2_ifu_iccm_mem.fir index 6c1c7b1d..65c94add 100644 --- a/el2_ifu_iccm_mem.fir +++ b/el2_ifu_iccm_mem.fir @@ -570,15 +570,17 @@ circuit el2_ifu_iccm_mem : node _T_427 = or(_T_426, _T_424) @[Mux.scala 27:72] wire _T_428 : UInt<39> @[Mux.scala 27:72] _T_428 <= _T_427 @[Mux.scala 27:72] - node _T_429 = bits(iccm_rd_addr_lo_q, 1, 1) @[el2_ifu_iccm_mem.scala 110:48] - node _T_430 = eq(_T_429, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 110:64] - node _T_431 = bits(iccm_rd_addr_lo_q, 1, 1) @[el2_ifu_iccm_mem.scala 110:48] - node _T_432 = eq(_T_431, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 110:64] - node _T_433 = mux(_T_430, iccm_bank_dout_fn_2, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_434 = mux(_T_432, iccm_bank_dout_fn_3, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_435 = or(_T_433, _T_434) @[Mux.scala 27:72] - wire _T_436 : UInt<39> @[Mux.scala 27:72] - _T_436 <= _T_435 @[Mux.scala 27:72] - node _T_437 = cat(_T_428, _T_436) @[Cat.scala 29:58] - io.iccm_rd_data_ecc <= _T_437 @[el2_ifu_iccm_mem.scala 109:23] + node _T_429 = bits(iccm_rd_addr_lo_q, 1, 1) @[el2_ifu_iccm_mem.scala 110:49] + node _T_430 = eq(_T_429, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 110:31] + node _T_431 = eq(_T_430, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 110:65] + node _T_432 = bits(iccm_rd_addr_lo_q, 1, 1) @[el2_ifu_iccm_mem.scala 110:49] + node _T_433 = eq(_T_432, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 110:31] + node _T_434 = eq(_T_433, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 110:65] + node _T_435 = mux(_T_431, iccm_bank_dout_fn_2, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_436 = mux(_T_434, iccm_bank_dout_fn_3, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_437 = or(_T_435, _T_436) @[Mux.scala 27:72] + wire _T_438 : UInt<39> @[Mux.scala 27:72] + _T_438 <= _T_437 @[Mux.scala 27:72] + node _T_439 = cat(_T_428, _T_438) @[Cat.scala 29:58] + io.iccm_rd_data_ecc <= _T_439 @[el2_ifu_iccm_mem.scala 109:23] diff --git a/el2_ifu_iccm_mem.v b/el2_ifu_iccm_mem.v index 28012a15..f1f23808 100644 --- a/el2_ifu_iccm_mem.v +++ b/el2_ifu_iccm_mem.v @@ -270,10 +270,11 @@ module el2_ifu_iccm_mem( wire [38:0] _T_425 = _T_421 | _T_422; // @[Mux.scala 27:72] wire [38:0] _T_426 = _T_425 | _T_423; // @[Mux.scala 27:72] wire [38:0] _T_427 = _T_426 | _T_424; // @[Mux.scala 27:72] - wire _T_430 = ~iccm_rd_addr_lo_q[1]; // @[el2_ifu_iccm_mem.scala 110:64] - wire [38:0] _T_433 = _T_430 ? iccm_bank_dout_fn_2 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_434 = iccm_rd_addr_lo_q[1] ? iccm_bank_dout_fn_3 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_435 = _T_433 | _T_434; // @[Mux.scala 27:72] + wire _T_430 = ~iccm_rd_addr_lo_q[1]; // @[el2_ifu_iccm_mem.scala 110:31] + wire _T_431 = ~_T_430; // @[el2_ifu_iccm_mem.scala 110:65] + wire [38:0] _T_435 = _T_431 ? iccm_bank_dout_fn_2 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_436 = _T_430 ? iccm_bank_dout_fn_3 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_437 = _T_435 | _T_436; // @[Mux.scala 27:72] assign _T_85__T_105_addr = _T_85__T_105_addr_pipe_0; assign _T_85__T_105_data = _T_85[_T_85__T_105_addr]; // @[el2_ifu_iccm_mem.scala 43:59] assign _T_85__T_101_data = io_iccm_wr_data[38:0]; @@ -299,7 +300,7 @@ module el2_ifu_iccm_mem( assign _T_88__T_104_mask = 1'h1; assign _T_88__T_104_en = iccm_clken_3 & wren_bank_3; assign io_iccm_rd_data = iccm_rd_addr_lo_q[0] ? _T_415 : iccm_rd_data_pre; // @[el2_ifu_iccm_mem.scala 22:19 el2_ifu_iccm_mem.scala 108:19] - assign io_iccm_rd_data_ecc = {_T_427,_T_435}; // @[el2_ifu_iccm_mem.scala 23:23 el2_ifu_iccm_mem.scala 109:23] + assign io_iccm_rd_data_ecc = {_T_427,_T_437}; // @[el2_ifu_iccm_mem.scala 23:23 el2_ifu_iccm_mem.scala 109:23] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif diff --git a/src/main/scala/ifu/el2_ifu_iccm_mem.scala b/src/main/scala/ifu/el2_ifu_iccm_mem.scala index 9dd55bb3..4c0f12b9 100644 --- a/src/main/scala/ifu/el2_ifu_iccm_mem.scala +++ b/src/main/scala/ifu/el2_ifu_iccm_mem.scala @@ -107,7 +107,7 @@ class el2_ifu_iccm_mem extends Module with el2_lib { io.iccm_rd_data := Mux(iccm_rd_addr_lo_q(0).asBool(),Cat(Fill(16,0.U),iccm_rd_data_pre(63,16)) ,iccm_rd_data_pre) io.iccm_rd_data_ecc :=Cat(Mux1H((0 until ICCM_NUM_BANKS).map(i=>(iccm_rd_addr_hi_q===i.U)->iccm_bank_dout_fn(i))), - Mux1H((0 until 2).map(i=>(iccm_rd_addr_lo_q(ICCM_BANK_HI-2)===i.U)->iccm_bank_dout_fn(i+2)))) + Mux1H((0 until 2).map(i=>(!iccm_rd_addr_lo_q(ICCM_BANK_HI-2)===i.U)->iccm_bank_dout_fn(i+2)))) } diff --git a/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class b/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class index ed665767bd3781045949b96b92a36040a558294a..2c4dfe099b46ca758cb0f0fa27abb0f7811e953f 100644 GIT binary patch literal 93095 zcmd3P2YejG_5bYM?w)j7*(bT4E!)VJn_Of`?#7st9p zB-GFoN(e1Lz+eHU83;8YKL{m+8WNHKA)%xYNa6o|GrN1ccXQ{(2juUMH8cC}y*F>( zym?c1_UZo~c#ttRJ@Ask2DUW!6h&K0>JZnpG&Z)?wME+kOi|c~#%(QK(bm$UmiF#w zXM25XQB`znedC^G9Zfy0(E#%+EUUGpffFMRFil~D<2g<3YOHUqSJ=oZo+#>!Hn&C_ zyNjv`T-~y@y}rArGdfRUTEm|1XqOT^Q&+UHTNU4!MM{48s{Emf=G#-gGe4}9`vz$F z*?x7aqUe?NVJ*`?Uda#Vmn$8k=j5#NEBU?wkqlpX#85H=l{t|kGy7{%MVT1Uc8;#h zDONds&MFmjOBH2MzTbC5er3*1O~7+>z)u$N$$;l%I`9KN8-JAv=lJ~voZ|-_e1^o} zt4ui0cT|i|PL{wg&O*M61$-^?U6n21JF@{_C*a!&-p>KAi@|gH3pnS$)#4w?StZ~* z`Ryc``UN2U=g z#|E<;nf~L1TpJlZSIIB2+`1D-?C0wFJ#;(uLT(xki>Z=+vHz%^a zCg2-hm{Y%fwG!A8!@Qm$S2RF?c@Ap-Y*XJljwI!|PBPWj^txODR zYf8sO%Es@gT-&s68U0?CqqMFI_(}^i^Cw4qZPmf5d}aL5=>mK4o#RKC)zU>DKDGlh;ihHoNCU zEu7Pymy@IASFIZzDGX{8^TtJdqjzo2ZCaOIuC`SMsh!U9g|nMxwXdJuyY!fWL;Gzg z9qgZ@j#f6#4r-CSaf)yBj=GYHoskix)c#jZjcD5!_iOEK9XYpo^XNH|{=>-bT88A8 zbA65LzoE1vXGHOmWn|}@jmYSYB~2So8nC-#eQ~t%n8~@ys7(K==BoTiU7BzXPmGU_PR>p^6xBC0+#$+D)oY) z*|5)G4$ptLzgXlS&E30bN_bua?6F1R`OX=tEVRluEn2?X=$*Wwq>1d+XUSDQx2b&Y z-nH#{rOp2Q%*ENm=5DE;n+Ls6x%UoUzNsYNKSRJ5>fO-yjE=?n&YbLFrCkex#@6JI94{iWe681GST8O;HS9 zo~qj7j&&!^o6s?|w4p`c+g!8(`Hh`3p=j9bEtKC>-RGMenV7R`QDo%inK?N-bzSo} zW`+w;pBraRUQ!e;XtSE?+v+$g%n4<@g3{M!Pt+bW7XdymjS~$c+4)$dDXuHkI3gquhGe zQoW#e^03(r3ri*sQPjE3<+*#;F56VxSUVWysSx(V>os@py8g#1q3V8l>qL3?E^6B} zuP37I$uAmKiuP%2?RV_7-tv;F#Z#2buBEw?xAogl+|hDU>Co&=GoleKbF>4G%D=vC z^IYH9%E^nTjGP@UpIfkda=0{#_A|SGrIHCh)LXT=G}@?D78ebhwIw>ZU`W6LuaCh4 zfM;3w^(STxtuLS3Tzk@h_1VM1TN-`A{D#e?Z3~V;KFg+c`lp1~XK$JY`Hb$#BXWEr z9Qkv*)m`PGb`xhGV|u5KoVR7!+{vT!^T*|6X)|(*Gv@ooj?J4jMc7lXv0?TulzVHx zN~IsvT58NP!GN#m1;NF!%35fJ}bKD_`$rr z4elON@1I+;KrdLlZ1c3{&9Iwt=;ye(1w)qQ&D&CY%;eofKgL-e@Lw>vJ22K&AC2RS zjzzoGUG>oxU5oY(yK&YB*GJj$um@$JvmE4S>QChI4M>D9-8wZAbkzTtA=$?k7cUq` zawNb@Am5OBZEkbr+U~`$vz`UH@QbzKElcOZ{v`0~xkj{7nBWLpPi|&5^MasaQBYZmd2Lu@{YD0Ev?ZNJGxss+PezekL9iP zU0nf|g`sY0x&X^o*Z>K!!UkECJL(&ctKS+eDC_8GEeNoX!urSk6kxd+GxOgibZaWx zyFoVyC_IT_ejI(l+Gry%hAK>@l){dPM`-TsXak#?j;a<6|La==Y`DTg@lZ!`Eun3c zbuCTZ+X8H)!uq*k3d@ttItmKv+dJ9|iUTZPVPm(~@2W3qt#99o`Nyt~B)3aUCfj_cVGU}GRbYSaK5t1w;QLsyO|OF`$Z#@6GuHFZK06BL$dKca%% zXid${Q6NoHSfFzke^A&^F{4@88EuL-7eqQcJ9;BsT^*#>sR}ckzXsSeOqLud3iGve zK%G^V*2{XRSeOT8)HgMC*0r=ZLTmP~REhX4oB!5O-+r7x7{upm{JFQYuBpDezHV0( zgxNMB>K1e(oXp=whTf3JE|tyjvwqoLpjz26*J9^b~&o|v^TDf z);BGSwt@LL=?^g}oTY|k3ymewkS%dmC-~Pz+er(kn3()c9X;J>ov;B^V10Xc-LCr9 zmL?Q21|j*QU0skKv*|j(i(^TcMbLy!tJ@iSD5i&gF&(@5lwcOiFpFXHmI^wX zge0OPGWpHWl4wQgNUCoDs$Bzv(OPa9uMQP_|wx5F|05=gU6hht$5 zio@jI2zXg#OKR#O6&0)NmLP}NQ`HLO$A4SBI#OF#v2bP05)_^wiRW7es zxSk7PqH#bmF9RntpDCl*b4lFqC3WuKOXGeo<-cc8wnBn_Wos&{D(WIj%3usNC~MFJ ztgoC7s5-4PpKp~|B3OOZENGgFsRtXf+N}!O}Rn}#Z z>ZS4eBv`yY2^OzUg2n5TVDb7SSiC+77Ozi&LB4(!<>kxj$|B2`)|FM(RHJ7S0G{qI z(zP`U>(*6PPzw_fUI!*bFj!b#ZlPpxB2hIkG5)m3Ng;TMHX`yAJeIE!fbgoaSi^cCi>Ev|Zgm}+G+fo9 zNOcVwZq35gtJkcosaw6UqH^`Za*oZKqjCYL73VlH zOwBnNRoAVHK&Al}+QNv#?b(O~6&kbnalM+}Z=gxcVM4vmtesU5G*MA)u>x9EmTUg# zt~3)U_)-}S6H>Ljt{QIE5|Y;<1cj#hn-~N_@u*%+$cp7vD6K_OUB0}ct_u2(Aqa%Tr&lb zw+|Et2$tT&lPDoCJbn{&rj>JShm{LfLKlMAws?*zEM7SVRxh5p)dwpVt$~f3Hf=qa zHf=p}o6f0R4x5hiLan08}5a=YnAPh_Xd%ZMZ9*=~UC z=?PqoL6PVz_*0F;`{pcPQ73GkVsfI8Vr6A{T}gRuc@-YA>7gtFX=#Xuu^E5n-1tv$ z$93ygtge9P;B;2=;CRACaJ-roHIXWE-l#cZVLw}1=(l7t!a%>dRm|B7L3n&@z2@M?`-CfPJpD+|uSKG?RjZ*@Xkgf)A1L{tCh6yGGZ1e|9mK_x4wWGY5~UBS|jR=;CM z>mG#_pwNdZ9fXoVmJE^-;FU<+`FG7NngpIDj84H-%v`i33#o%L-|EE16-x_EVMbX2OSW%AC^3Sa(i)_2kFol$SrR`fkRDf#qiKh3GrxVj#MHxe0Qd4 zG12x61^GFN@vBLUR3b5)yfC-UUOwN?P>y!f1Hq(6Dv=)Yi_B?lDMNu9b!LuVO=4*| z(Q`Pl@n~Wrm6#R!6a#bix{h7Z&d!#mXoj-PO%DW<9;rn7*=}q+n%GDsV$X47)uXh@ddMdzFCG%7r2mqFF21yAUG7QTJcC{Rjs>ZsMOA=sM zERdA2fC;z=@N5wvDItIr4CHw>^fcEsc64@PIjW9}zblRvFfCR{N?5@}TzTW!B9K~7 zNu2f2vq?b7#2iZEtcRX00;%ZlGHp(P}8m)Vn#qe zNkob>f|8gKP%@FAG|mX<*%XPA5`s7*D2*8bB_#x?PG=cPV>L}l2|-*9Lxoq=X=@rl-ehnv!Z~bVsYgMop-+H>vox%S87hR^1!$hn*db2rv$xJi)oTKQA@8 zp}e5Hn8kGECFIzR!>3<3wshcSBt__D#0ccWuKi?*zB<5_IX?fvwM+ctT`5$(?OVHiq)H z@_Q2E59pKPdDS&{v^JTCT%E#kc!8n3D@fmSh`~41L;-Rwb~X$oe-?n46FLDM0}`}JPvy*g8ir||yE<`zAZki@#DrrK8kCs!atka$Gdb!ID6ws1zAb8N zj6d;>K3e7!tC1Nz$rmD3s&e#iPI*upSPgOP`pr9pbcQe6*AF$|>yJ5i=dQN;uH$eP zqOHEW5v^lxN>g#mnP507@q!N#yUaR0QAJ>v(wPYa#&p*yu+3Ito%U~BHE~%QzQMjB zRFt8-k}#0Pj&EZ}Qxvw_L?#4H#ek^OJW>B6Z1kq6Kwsjm+!6ufypO_8`;u72Y($c) ztrp2|WIsMqyiR2&H3Yh%nC(R2b4-PZVxuP397at@vW!!L;T!84NA)tE*M6)D>Sm(f zq_%3;6da$#-!v*@vBB!tmQ3dJVHDw? zFtO5L4Xlx#sW-Q_=oJ=5_vkSD*r}m;25VwbBAAQb!XhYWJ$_Fkj*9hkM``gAjMo~z zBYpFOz&i?+EB5oTkCwnzY~>rQnQbMeWi;{-r;VH}4PS*|hV_hbkgI_D6^iTN3$Y4U zSHSnLtb-191<{>wsuNs?8|F=1tFVo3*q7Mr6Zd?nXx2FhA(c9z63SuQgx0GJ)*@2N z4YpmRR&oVyFxYXdm2^^Vur~3_8iTcq)H;K8h*Yh?c8JtQgY6Wl%?9ffv~>pS60mxM zbqiRd!FmKNYOq}*wbfv~BGqEB-6D0I!S;w$o57A3sSbmkAW}OGwpZ}$GT4bC)nl-e zM5@4x| z*jXZvZyW4vk@}9oz9mxU8tmI5b-uyQ5vdCe_8pP>p25B=QkNL)T#>rWVCM8|()HcDKQ<5~+I)cC|>|XRvET>H&jYD^d>`?1v)tu)(eq zsYea=BawQ{VAl)IPZ;b50ei||H;UBH40e-9J!7z&Me6?y_G6KH&S1BQ)bj?rRis`t z*li;9D}((+q<(F%+ePYCgWVxguN!QiNWE#WJ4Na(gWV-kzcbi=A=U2<)+bVbG}ztZ z*LMwek4XK=VE2mDpAB|Eq&_g%eIoUd!R{Bde>KT`oV%DMOzgZ-4l{C}m09 ze}nx@q;d@QbCDWguxCVS5O3MX80;79|Io7iLk;$<_+^;Eo)f7&gZ)yZMjGsSkvhU) zFNoA=gS{wH1qORbq{bQSS0XjRU@wbQp}~GFQj-n#ibzd0*sCHn&0w#IREfb}7pdt6 zdqbpV8thGxnr*P(h}2wzy(Lmd8tk_sb(Fz=CsIcn>}`=MGuZD%s={D@5UE85`=dxL zG1xmI1s%LAQt*K9i4;8GpG0b9b?c3@savZ-Zn$flkTRnc_*XPUR#BUF#}OQ;_0mry<0FQIz0Uqbb0zl7@1ehJmotIThS zBd^wZSfSJ-WOhyqVRZ6IpvZdXxYM(TK#ZNw6o^TMv(MTJJXyc%ID#vXWL`D?WbPs4 ziydV=gnaf1$3w^`PIC#Qq#CAG$3vmmgOsF|5IZ9*c*(k3aU9q@IxNs+{griUxUxdv z$c9fA4xK0ySkg+0oj(>xQzd4W4gPqf=lOmbMz*~si zoWV)R6w5NHKH|Etq|(Q1FR5UM>gDW{{-TQ13CGZ+JZX+G^OX7u`_%x!OC3TPS?-zJSO)&;_o~WnAgA`-Xc+?bQ(0J4oW6*fi6l2hM)D&aTc+?bgC@1P6 z=TM2rDdtd#$SLMfiO8mYr9RAI3qs};bErhlDdtd#$SLMfiO4DDP)=l;7NnR%#iM$( zU*hV~ehJm1{SvB2`z2J5_DiT9?Uztf=r!Rz6yX;}N`@qOX@p)+5ePDuMsJmfH#G!~ z%%xd4sa=|dMLj3)CuHuQkjVRsS6>94Y`7PP=NQP!dIG{WUz8CnWnC0UioHK05M^#R z;k6opD$`!Y? z2NIIWD&PH597LIr zTH76OV!~xQKI7Y1^vjD_LgoOEeW{~1>tCgdYsxCWE0gk93_dp=Tox{ch%5UCIPi0 z$R^XT{rKHG%{}b}?a^L3wlZvj{3t07Y~Z+RfjDkTFHcO0@wMI`s>FpojrDYQOig_! zUh7)8yD`cyO$w;k51g%zA;EX!6=tjHp-lzU*QXCGlShiRfxOR2) zbT&p?+M7Fys(G@ir5#3ac?JuRln(WoFWptr`5YM0_k-#c>JMODcVPrcVr zW{C!MAC9oYVN7vY&mkQFIv8zdPkk%s6rB0zX~Y94NqVx*1Ehbp#B{G)@EygxfT5)Q+-DLKU_`b^imdv zeZ!e~T^c;}2=%!jD1M1Dt})aX)R94!r@jc$U>&F)@n2!b#wv+dubuhfR+7y?C`J91 z`f^acK*iytStNr`eU%xXk-A{8=}8u4qc!j6v#;kF>R<8eQwEiPwgsCQ@sgV4($ z=o)W9aWg=y-~}h1M(oymDF&@-DU}Oz0Q+i0$31e;|44e9#;OwMPrM$E$kaw@m>CQZ z#)DJ%+lnk#5YWcJRLqXq^$RB*o2eCOp4FFZV2+AM?|+B3D2RGr?DR~% zcn5~xB1m54Xx{uaOhN|Rey1~bbs%+S{m^oI+Uq;_kn3&VYG})-tzDokk2g{{GJgv( zT_{|{>Uacrw*e9Z4QOjz4*g5+RO}|VE~u^5FvmGch_`_{m3!l5gwahsbnm@xTeQ8r z1EbX4{F+OvP`v=7CDzd}*9GJ4s6JEMqBR)WO7&4{$xZ!rEgDi+`O&5V6u_nCiq=^j z?QE%UZ8@G#d9>{~Y8dn3=5-uZ^=%DJ^>}T*zPY}!yQ6bYK!a!PSKmr^QdlsBo#7nv znxsJ37Jo0P^EwbOw}%{JdST`iyE8;<*E)h)o3;am-l?#{?Wn5*D?6WwV< zXkZZcoFEu}Cth51Y_4fCZ{F|(w!g7%8TB}F=JZ&4;X_01LYg#ui4zuHHgcTbBOiCM zfsTQXCoaVjkI>WQ2Ckmuuq&-$4T<#S4r@dqwI66#VHB!ejrXjI@iMc{ryxl9is6{W z6A}_tqDRG5L$=S8N8*IUG8pr< zRzrJLdo2iA@G8nOAq!ry?jIY6z|}QjNca{FM4r%o%U8f;`hdM8fEP#GYdY(3>rEH+ zt|)hZ?d>2G_ItR?1msG(NgQYa?T`Gm?4$?|%e39$yFpard$`uhZ6J<2eh=aCL~fQ+ z*k+-MzZh(#7`1(vk~ijRn*_yQp*&j#zFZ0y5(mLJ{0VJ20u>cHJk}WFS9WN1P5U&+ zRP8f(KkWKp7J=Ke0vhIxdI7EL7NCuYbzS}o-rO^^|7xFSY5&m~Qfez+Wz>E2mZE&Y zmM`GxaIm9w4b8I>3)+rX>A7}eZa^qo59k>f73laJP9tyTdX}MV6RB)NX%ngbSks^( zc%GhPD4ha^*L!AgCFz)p(JENMj@F*8uW~pl9G5;sABqk}4`Z%mdFXX5ow(;rAMPAI zau_vEeMF|7r@Q8O*6at1(cF3KM`W_Fo{yK9`20-Ar0!Tp*T_|6`<%4LDzvSH#-oo7 zBGYj$jeW^Ei8@AB6M|5|L`+pg!?4D2t6Lhkp#z5M@LKOsv&F~%$aOd+Q=hD3dKyIO zMNia;x5zP7tTgm#I!#4&c>54t&et0AVrVSmuqZ0=C9#XX@e=Q6*JofPw4K}S~+CtE;2 z8tt;V11`F8TS42}MlQp^_~C6aHCMe%FAwSwy&|MuJ+-!N<58kiSz^IV?GR2?p4T!QP4 zDJb@p0NBtfY$Yc48d79#Q`MRJYQ2V5!|L11deAW~!gnZ=?4jbi2+Zsni^?6SsK&MW zx*(XW#}K29u6(Sr1c((SQ6ecX=OT->*r#vMH&ShGa#p2ddD5y%LqAs3M;+>8OD@w$ zZ6oY{!?_w6BWV;Qn9J(XT=?}BhQ@@|Zv&_$mj(2r3~jgowF1j*ER*EVf=kDzAbMIcFi}`N+@Y`o zhh{Ac`*J*@e!PA{5Yp~NuVw4bwW1P}_aq_j$&mMyToxpGW2-RKPfxdS6}BLW)u(D^ zuIZ$Hy8aDnZQn$0XYf{(FffaCt4_+0epW8aBH;q2`!Mux3kPwIp`9kG_PgMEZZ0#Z zYBQ+QqB`aK^>&X#(28hteNQXRHS`Pg3$ga5U*uTNbYcYbixt)y8;7|26!%lwHD~uQ zmj?Aq^vmL_VRoz9kWE*>5aEL>N~H=W!XA*83!gfCrow% z`gIDcwOoP2v(rS#*6QJwoi@hw>#j*ABLpTNXAaZyiaSJcq&Q1mSQc730$Z*vUbSF|^R@-E&FB^b1vx z^gctoUipXwy$6Ec8&W=!Rapt|PwvlU{q+Yh)}?QSG{UEhwQ9(6DJ7T-BxGXf59tT9 z^!@t75^ZCqYH8ch9~CP5DI|F;mxaiqJ|u*D5}>DYSq^1yyHBk6EXRBc?h`Y-rVQJgn3C=@~@Ed%egFps2K+@B-7m( z<*T9?uYvOQTsDAeK5^Zi#sOmJ`kPFY>n*eibyW~4+%m9QNcVd~=@CQqKN`yMBK0n| z;>7UzPlj@$fc+U&0$<&yVm%{?s`B=j#0zO(B!d}z);s?!8E)uB#Z9-wu=o0Y=l*>iR zFqH3$R6j$xTBNWY^+S=$HI(Z`YM`OqBvONM1V`jH6vuM7EP-K$a*Oyi&rp6MQX>sz zpGX~HDEmcfw4vN1Qn-rhK9L${C=ZI%1VedPqzVn?ry_-m1~!V^rsBYl$ZeXTJRyF? zpm>bknel3OO=7KD|6+qd;q$E7ZD4wU_P7Hx`|APh@yPwGizF17lgkE@VWp6*#FEVj z94RuGj|`5=WrNVLl&3}UB4|0*D1fihENW@RXUqcS@XWTOjr~AvDzGp!P!U)JgH@gj zB8Br@#|r%&V<^uEwiv4pv9%_q-7#sF3u#tBnw7b1FmE4jkzvd{&a;)!IT+cU)z$wF6SiYJnV?!6RGBnw??DV|6cy0KC`kt}o_ zrFbG)=&nieM6%FDlKhDhKDs4RJdrGP1*CW)S?GR8@kFxF<&ENrWTBfG#S_Uw*Di`D zB!&sgwx)b#9lN7ltHsUdfxzXMu=cb!bnLF_sHAn~L9&Tbr4hI?@cm%miog#H^%1_q z6u`1^uB0nc-xWXq&`>`XsUI0yrAXaiXjLM0lc89ftOZ`1MXhdsM*o8`}HYp9$}7L;F~y?lrW(i`0FF_79OlM>e3KDcWUjcSXgf z78a0ZIg4m+CRv+e(I$Z=>t=BX%dJU)S`o`45I_%np@jXHy(=c!Y;#GRutlZ>1CIs+ zj|9;32Oh)1eJkJLbBJyPo(LSwWkUl`V&%>L6gd_!%K1ff+11_n3gfc+9W}IKNsCB0 zE4RF-tpWGk3rKDiPC2%&#U+UJYyv}~;47^=@w+gUt!&3Ul^;l?>+yr)=pCFQxQ1bt z^yz}NE&OWwNPBw+E{$L(W0#@%B)zRHZRHsfo|_*yf@jbj`S_l@xww~g@_ca8BFH;wTa_l)rvw~X-^ zcZ~5EH;nNZ_lxluw~O%@cZ=~DH;eK3b~C=ijB%$Jhu>+&xKE5f<2ErK<1R5C-)+M0 zG2?s9_<$MTXU4ccjN{|>FdpOXFdjc-#s|&#VKaWjjB#Ta$HRSLJjQKdJjPvNJjP97 zJjOj?JjN|yJjNYiJjM-SJjVTCJjU%{JjShHJbu=Ee$I?>9~g%}Z^pO_j6dThFdpL` zFdqNPj9)h6Uz_nOX8fud zxaW()xxYvuvxYditADZz;W{ms1IQ*|> zjJv$}Gj8(YG4Ao=@h4{dPc#11j6XBuf0;4v?&A2kxr@iRw~NQ0i7YuumDZZ1Uqwn|%8|Wq9ELWyGXDCBIJ@-=|Dk zHmPuOpHj4L^6en-2Y!w)iz)wMxdA0Jpv(+B1AvZRjIj}cUm!dJoHcx%cbZZRXcild z^TMU*yO5irDbsP*hwKFBCp8v=P&kwPl?pY|CDd$Ns5z;G!l`7hLLGTHn#y+xHQyHM zs8mAXIJ8%xBIyfNW(!rGN+_J{^(xe&!x3tXOH+$&p_Zf)3Wton3UyeTatn2gE!5If zLg9F^SD}_4j;0D+np$BCwKA1ZI4tZ{sKe6Kc$ZMCZK0}D357GtU#U(?ON`;EJgj#P4RhvpEoWuGWh1zHfwJDWQICAur3RUjX)Umcub*Y5H>8GzzsCrwd zhEzh~tcF*iqKD%W2D>!XYzwtDl~6b~;#H{aha=Pwmr%#qLbaw63MW{+3e|BqLJf5Z zwZj%_XDXp^gvP5-hvhuOE}^jHxI|A+(MmU z3w35Hp|FkRRj9)<^wqBFJKGlOTd9P??wMDi4$CFfxP&^#7V0~xgu?dSS1QyRmr&>0 zLYp!Y<}lDpajYsPEZAU7SiN?4^1Y>ahAgw@{bbLS2?h zC~WI`73#2N$Znyou!XuZl~CAW_A1n2xr7a_>idB$)K#g3!e+Nup$^L>Y;*~AjV;u* zsf5D5yH}wO%ck5yU1tmRqf|oS2!L0i4r_gClS@-K*h1ZyN+_I0_!@<}*%s=@sf5BI z2(LmNmQB^UGDT@x+9fPI2z(rsKYXJw@`Q5Lfw^0D4bI9 zD%4>)Pq$EgworGc5()=qyb5(#&a>WCefQcz9Y`e<&hB^>>aYyG!6nrFwonhG5(>wN zyb5(#Hsu!TAzP?}sf5CbCa*#rmh)_MY3dPMs7F%?g~L@}qfn38LOq^JD4gl?D%4@w zRI^J{PufB~l}ad_#PTZCVJ!u+RN!wKc<#`+h@bT@aOdr4@ z077^R{T1a^GlwD|5~@~skMbKie?uS${^FR1@*0@DrTo@pG8da)wEd+QPP#|=qnyiN zfniIjE5CzminUetJ1*Bda+ZHegXQ~jmLH_S@1qPCBbeX;& z_V7vdnZ7JE5~`R?pMl&|Vc5P*UZ!k65JNx-;YZ3j$A{Yjc+M-ql9*-`PMSRB9$z?B z#u;|T8NLB#jb!)+QsIb$Zx9ah_^k{FAhUt^8^kjlCa<57^6V1o$2Xj^GwTNrREAML zsGOH!lc~KRm4VbZLC$tM*KyD;MbJ0eEJcuKK&1%!#sEf&4EhR?vJ{!mGtW^$5+VVB z76JkZGErVOzWtu)-aM6Uif^jCeoEve6NcfeAFE^;cF8hC$*lTulmix2C-IgL8Bc`+d{zkd`l@o^gD_KELLx zG;NumsZe~!_?DXW9b-Lwzi*az*61N!EZH_`Wi0bl8LUN0yuustb}8{HZ^Xy>R!eNC z#v5^)H0QP6h})&a>%9?oNQpOiBi*1epC>hvE4>k)FD3qgH{uJV#8-PGzEDbhtvBL}q{P>G zBmSP0_Bfu?Tz?GDe=#}5#J;w{)IQO_ehD~_eOlLl=v^+h!04KKlDa?pOpAxZ^ZXY zwf#45#1BYw{)ac>2c^XS^hW%Ul=w4m#0RCs|Mo`wu$1_}-iRNO5&M}p;zwmw<@b3b z{;4!))f+Lswvo7K>esyy<5L3?;tX%Z_+o*CIOvV|NvXmNZ^TbYiTimY#`gduVu!pD zDN<7#b@h|*CY_WZOgGDR6%OAc~x_c3~JHwup<~-b6&d*7S zM|dOtrIdJ-H{$1|#QENcUyu@y@kacjlz6N+;+LevZ|{De)X{IsZmVJkJ~PTTF{En1(sW;+xrNkb$ zkl&LMd)z|)la$!w7V`U2;%aYY{;uF0Q z`=rDtdm{FqB0tBp?C{Sq`A?OzT#*LL)8s5yrNQ!a|2Jd@xN5w!Y{lfvanFw8|E65Z zwcc6Ap9%AyA!oTh4VGuhS#C&!66XFUMpvLUK%WaC}(*=8Z57qv%Dw`mOqlSyf_V(*UMR6ng+`o7%{<+W+ByhYCPx-?kcDrb3p8Z2*WipIpj& zyt7R3M0d+s9!P`bJ#v=!r@``GIm-vrV0l2!@?aV)?~}89Bn_7L%US+34VDkcSw5Zy z%LnDA{-k%738wy#T*{}tvrI7cgL0NXPlM&da+beHgXJS~md~ca@=-amcN#> z{BIg8Uy-u(vou(~Dm5a%&pXQmBYI6PrRtq!f)TwgXQ`*b@(nr5j5JujDQ6i>gXM4J z)nj;PnNU4%$yxSGgXM4K1rK>=nNZ`ule5fCgXPm9s2PgXQ1kEKAd1 z`FArj8`}I!(_pE{S*CGVQuWDMrg2zO^~+hN zaadAS@G*|}YENjzXnIUJnF%6cPa+aIZU>TINtV@GsmYijM z8Y~St%f>WVX3JSd(_q<8&T?xSEc?q@wxq!_BxiYC8Z2|Yj>PQLk5%I+0qkQqiv!ukMbQ8~;fZ348?e;^6lI<|Uc#g>o{9L#uS)`x$pkPtN-9ahEzhGx(lQNkO#V(XeGAEu% zQBo$ez+|zM3BNPidH3|RluVXLnaq!uq$DX5GPX*oxi5%kGCz?Ch>wv<5Q!%~Dv{V> z?n|W-@awLfx)2|*b=e0bsFD&djF&)s(>0!WnUr{OJn^DL@saa#DRE^y@nR|Q3aR3j z#uG0|Bz7onrL#%gIXR>m_~p2!5m)#@sVqpgl77T@%Y*Zvx5&THa{ z#kV)(iPuVVUKdX+zWf+ZyiS^PZ9K8~LSsDfdTGuZ6%~IlMJn>ekg&iv;-WpHbl1Lni zU=Xn_!94ra?eWCoLoRNybA3np=9|P(d~$+5ws1sYZPg>JFzfb7pyjIc~}IRV2-_{0T-`PNpn( z?)Q~{Ia!9nYNy&Ix zEOYskKJ~nZ4yqR%R4)pR^Y2qHyHCBMc7S?iXhf*wZuP1@^@j)59|62iy}3`ljX&Pr zr`{FAIs#bMj|a^B)GcO?bJeiQ^SnQ-+L>m})xw%1+hw8gj(kUkW_rkXWT?QI?;WVr z{%j=6S9{rM>_T=iV)4O-8m4~E^6|iYNBhBSihU?aK39tD->GhKZ^eGp&q=*RNZhuC!BT+A}mgMex56v+25VD#0K zchzq5D?{(9J@|l%*u2a1sokLv03p=pBkH4~K7FHD7S*TH;;6;PB(?a&LG=kjvDN-m za1_$vUp9F&GE@;UYBQ+!_G-g^NLHL zc z5i#;7+Zh7e`8~HC6}IySZaec~JAdT1Gak0{j<6l2#j+iwlGx6>4%^|rz-2ps@?txG z{vx(BCbTNKI`5KJ>X=YtauR2qjtQlzD!Gx33GGSFTyA7za@e<%)3^oNo*eP5ug`YG zT+Onbzu30(fo(e<+P3qNciZ{cvK=LxWpHP89+dpI;rFVa`k3%A|FRz(O{yTYB!ybQ z!s>wjlf?X7yw;`Qbvt_JC2SqrU^h$5vOi}V+|4rH&BwJoF-fKG7i~bx`!CntVzj)^ zx%L=4&uV2z$y=GmtRC0*0lvos5ADk=?9+VklPB%d0#2n}s3pXh?E0c~hAXnwXr#bo){fCxnhlPWU<%-Riou z0jb@qe%d5n#>M=R@@ zt_|UKzmVl=L&@%ywJbvmlh;s=X8GDM;Wd1jR$D+SNn03hwFP!AMk_SN-UtgFF?^pk z8tUAqjUT8@0ASLYeOghUR@$e{-lxq&#}FFdrybR&mGx;09crHxnwPwamL%-gP2yz| zAHLdX$;vh+leNG`PPuCYFtaP!+aN70HCE zvW2?F6sj$$P)-Y-mcs37D;&~K3oS~j(?sdTdX5qm&Nu>s)uO?TB zE+bwwGadD1*vt)WRoqAz0E~pS8r!zcH&wG4%ts6_LCC$WyUorFl_f2xRtsE^Z6wL8 zwmXxLUd*KDU*u=%!Gt$o^et%A%B z&4?95O?c^Vwxhy#+XdO3tRUxV=b0*;fnv~SCbMD!#4Bk5=Pl;^S)3y?PeS5>%;$XV z0>Mqq785D|Y!u=m=iDa}Docl{Go!K;tyXpE zGKbxnTngvBxGdD36j8f|<~F6Q3>zoC?0xnhtdUvkEbC}ggRl5nODM8+7BQZ=%fooa z&j&yDfIXMbLL$n;tjzZ|i}?GoGT!EC>a!4om}~hUrUZkSAM!yAJ_)N`M}rvl9tJT# z5`!53Y|HN;m1Gcey<&Zkco{=U;CI6Nv|9>cl~~rfpw>UB`k;1OpSG`0+aK?RghZmh z4CCXPGeHb;?FRbLEB8nEaJ0rk0FY1Wllvs67by4YlkV~ClgdMf@=0*=a-UT0*(a5I z_elpl`Xprjg?$oeJo+SHdh|)lOA^X zB!ENO!`vr5@R&YW@4PUm}fO`Gw(* zet&7GD0vTbi3_nbIpT|b+RNbl3XQS)v^T12RXexeICHD=np;)KLvGZPd2X6Kw`E>) zTgLY{OB8&Py$c16l}g9t#m~f+rf7#JTBTtpCM(Uyc4^)+OLMDT zn!jP-8@F$>J;hpyDj#8PPvxW!QTpwn6szB|(r=H|CA-xw{jJGL|989erILg|FL73f}H0_>FeKZNt@B;&U0UEZf`9o3=R!I z+3eL+;z3*0;L&f2%_B=J7ANO2gfDH!xQI3I?PC8Kyf6-TFw?`jEz(7*v%wDyjZ3O& ztgNfgf-r7pbZBT&auVl+cxVbwtGx)`*eZXXM`D>&&&30JwRo7lx;->BC~3}o#d^Pb zL?J13ZXg`sDjgUOIMk34&WNc2Jq@PVPF;jk>Y}huAM7yyaEjG)eb|0=bm2aI)INPQ z$O;mcgDqPa9vUCB1$}%BJjMYoJgA=9Eg~-eK zqxfkhWBc?qeR^%5zWJc~kNs*P>1NM7UfYPr+M17cog^Zrb{wwF#;A> z#DvvgnFsVAI!#Fbk;QfaZ`~ICjSwZ#rf+kR-hQusr@{{E`w!?3GIp>22yNn`80Avh zCz8?r%t=da2?f_NevjAKBA4|(&$C)=qLVu_SCOsqES8{G0-d~qsbGnHERoyC5{Z2* zg>qksX-O#e6;~;3H(Jj7&%Uv}tOlT#XjQ$5l zds`72h@6uQ%vW%4of*#L-uj(zro&qY!$IM#>Fke-A(@|?q5AfIwVF%78E1vFIOF%i zSq{cV*svKpx0_aSyOuN7-`}sU5q(Q`IGZ#5OE}xXv|qTN%@ik<$bRg8YgK4LQi=7C zfDG$#ATLjW>_RM0im1ND%illTpNsm}aDRuWp>QZBs*7QDa)xhnhB@IJ&hYQy90$YP zaBdQY4JjD9a!zp~@2&mnxGl-T$-%!BQgwy289Q4jr}J)$f2>p;lV;#zGJt<4BLi8r~BEo*F3t8)&E1+t5g@(gnu0=f@ zc4%=}cv!p^HHTrY4^2rbso1h3o3Xd-oVKt&g(k@sY|phmG(UMBV#jWH82hWRfQ;~P zhwORbyqN6HtZP#&FbC*B61Q|n?X3A)eq2TF#sirSd22(%lFKXn-H7lAE^k(NghSqu z;gJq`-Fc@th#;R%)rOWPm%=%ns!i1poEu&1L(R!KqFh>>x}r(3UGwKYXu z#nqJ^9_3Ki5#b|*y5c(W+ElwKVCWh~YzXPe#T3Jc{BSnQcNaY z>wvYX4qT#h+Gux9W5Q#&06F0?4gm_n1rBR**x$w!D=0~=dt<0FsUBR`y)l)!kQK<< z&c;ykQ_mz4`jfHYvAmE2!ebqU92XwvD5SGVYz(D16fJKO8$%~2Eu-pe5*tI?k|Sck zYP+V5q2z-q)!BA7=CHGqveaJW8W&!3E>Gb4TRh$|2L%=1C#fKS|^4l za;8JV6CF$o!}wAD7Q?2{u+=>aKB1tj$W|`4S}$8tPybTDQr%mM-3Yj z_Mw^0&dD4`0=$fq!hEqTFd`gVEz6oaIUHLry9k0{RmRzK)g7|uq9KN~=c@DCbJZQX z=b94ci*$ieVdpB{)bP|;{WyEBEr;y6OsSnsWsBFIYs;Z~uA*=ex2ODYk;9&*g{L{> zb?5zsd#){Bd#)|1T#>W&)g7|ua_iV*&$Z>yJy&tKn5%0{xY(htl5mMoS6t7v<%{%O z^@r}cO2ef*_p#wpNAAO|jmO{VNnXus9To)j(gM5(XzG z`>?*Hp#}7-U6-vX>Qa3@Hu)z~7g;!|3AkR-@>*-)EvzlTm#=d(gKhaHI{m+kCCb_(Kq+0*P9ykK%r zc}{sj?G3aCP7a)g_=&*FfmhYujJ%8~8PgCyk@0c{o->DLPRyK)__EBKGjCOUgFg$t z7JO6f&03qaHEX-tYg}pEYTT~&X0Oj~$!=A9`(@BeuJr_|oi z%+TV{F=}tl@SMpxMQU&Eh}@~U#fUp|PtQF=?H#aoz}5laH!yeLn1SOEKQi$7fiJ1O zgFYJU8?33lgF6PFGWc}F9}ZE5sA}(!7l-^|$h&Iq&|eLGXXu~Q-tgq`{P59g@36tc z#t$o0dxu{?{O;ig)ZV-&^M0N8nswxK;9^$xIXe>PKJZ@^Z}y5eG3PVYgjw*#{7sq) zraR!)Z=d6&XFQyavGUhw@czwzSwaq=`MA$5```<)A5z5uk68A-S} zIiJR6C#gXA9EZ0K@&P=@G$7Qse z4fL3iGqW#3dg6YXdSsL^VzV&$lWQy z;nLgYdMC>}Oc9)7q{GA6x^KYs0m6Y1_rv+K-ZW2_kGm}>J;|N@_y-OO)0r@t4h)F6*$~bQQhCr)mDroURjlwUD1fsNUlo66Wr$(Mt8DUDR2FA>zRElt{l(O;uqe*reAW3l9q0{g z+E-jEXUV>fyxfi#{a4A?P(WuPzpnh8)!4(Pe@&%ymh|h)(_O9TPiKCO1$Gwt>(1BR zFVFs(OYJQA{~~W^mtytrbN>fAaO&ZIm4CuG;mH4yBAm+jU&`P#4l&+%iyiep)QM9s z|7$t8vFOj3(|zSkcJ%*PF_v-`)AEB7#=G0;&3B34m+?pQW|E0+V^ij`<&0q^Fc(*L zjAesa3+`k42^*^1%fh}gHr#gs%k$mF@_jF|(Z1K&7{AI2{FB%?|7mQz|4LTqzkyBi zKg^2!|6_LMYPlLcN;6y?nC?_E7u;v-+Qb= z`vmc4Y>_^ZE!NkwCHjw8rT!*6CZMzBfw^o&;C{9;V-Q=Fc`jQWJd;%i53ri7g=|gM zr);h9JyvU6%+_bGX0`a9+xmX5u-g8ou?-={YD1IR#@r!nQ|>{;AF#~>Rhz@rtVtcjn~eaCR$Toq*+K zuLZ0Nu;Kmk0P6;9WIx;x8Ni5*jp)A=uw8&1(SHVDy?~AC{}f=m0UO=_PQdm6mLEC> zu;T$M2;nJk0$^iuiU8XS*tnc9U?&1LHn$kClK`8LI~=f+0UMut24JTER+zg3uu}n> zIDpD=8eo$LP&rNqY|_ASfPDk7sRKiReG{-L178B{48W!hJP6pCfE5ka06Pn?l0hE; zb~a$egHH$STYya;+y>aU0V^G%0(K5yGY9_#uNtXE(C1eutLBt0_><^0|EOUVDpC`0PJGGjvjs;V3z>4 zAn!H6E(NSC?{UB`11v&ssfjyTLt%Zfz672JFEX(Cuu;0*A+vDOJ%Ow43E*N2_uWn6 zT1N54rhWMp!{t|jYwg<{)NW>8)u|twl=F4*oW-Smo;f!r<$QfSXK|sOXU;uIIo}x1 z*?E(d2W^~`l=IE;oSnB!dEk7y{*5FxcuPFznU1#`J#fB4ztZGf0xT53^qZ3id3!uBaS^)bDtk03=Y8><#VzTcIlq*w_;`XBi^v{0 zS10BCU_58%o4$wS91J`ZI0z?4esX}>76RC|eu^>T%h1U`i*N&8O*e4OaetPH>m3H* zvfNAzn+9Oml*z(~hat{GJOc43#77_=&FOPk0UO8018X9N0ENh6GU6$Si&!x$K_~wl z@O&5m{1R~%;2HSK?7}$Ud5qLPW?`itQKW zhv8YDt~)_<+Pfjk!kRt44u zUVuCPDctfw4Y!IYI$VsR;+k4TNB5{`xcxyP=b&h~IYFVutk7lXiiWEMlmJ?wqT#K5 zC4d%#8<^+^Tvn-QxTjCi(W59DE-zH*l0iko4SI@(+vgM=9fG3aMifPdZ&XxVsH{*o zuV}cZQPI)GDRhUEqQfUE8t!OO=$bi&oWG*s8YzXY?^bBYp=h{nQqj@fig)PQHs1`! zS}52~!ErtuA!(yjI|UsS?4V#L1)cP37p1x>)kCRW6!cQCn}R(Q98bXs6znCu6Dc@} zf|Ch$3I(T9a2f@tQ}7K6zDdCu6r4%HSwwL*1>d6J+Z3Eb!FMS5E(PaOa2_F_Pr(Hg zTu8x16nu|@iz&E-f;#0@#xAAQWfWXa!4(u-Nx}Dt)ek6j6$MvQa1905Qt(3xuA|^b z6kJcpH&E(E3T~p{W(s~n!H+4pg@RitxQ&9_DY%1zeH7eD!Ce&WCsutF+)a=7P;f5= z2Pn9Yg8S*$2PpL*1rJehkb;LPc!YvS3FW7hdW?d{DR_c{C+UZ$D0rG4e@4O2DR_p0 zU-*YG_AI5Iqu`emJWs(36ud~mOBDQyf|n`yH3hFw@G1qbQSdqiZ&2_i1;3%-Eed{1 z!S5(|n}Xj{@COS1NWnW4yi37*6#R*T_bK=@1%IL70}4K*;3En?rr@s>{0)Kf3RURe z>G2;Fd_uuLDfpCv&nWm81^=etKNS3zg3l2si~@xMAHf=^hF9r-?U)#c?tflDgLbp-(nvI`^Wf} zGXE(QoJzrI6r7GPq5Ho{sWT`zlY+A-IGciR(XZd8)H#&;4yC?J!MPNiN5T0NTtLBv z6kJ4j-=pAS3N9hor4(F7!Q~WOLBW+2e4m0JP;eCmR};lG6kJQe4=K2gf*(q~Ie8KBnNW6wv3D{q#X( zKYhZOe{|UYPfC4C!DkfE$8-Jkxm!Pdpw|EYI=X|8h@vQtwFZ( zpc!P=N91`#08GTHGW8^~MR7ZICw>y~mLN@Bm>f@3ghZ1^v~`qGsj9fjDy+deY``XL z!8Yu`F6==I_Tc~y;Ruf51iEkvXK)U4Z~>Qa1=nx`w{Qpd@Boi64^Qw6FYpR)@D3la z2urXG9aw?S@+GsFJ~m^sg=$Q(1#1^#F2%-eLN;a7vSy4Wn`A)!#K+~AW!)NaHnEyA ZV8FmZqk*`nG6WfGG+a(X_p#E81FG)Y9G^ z?QCyoEvk-gYiQcLtfRT7H5y=kg=Mw2G;(6Z0j4Qza6G4}T}=(G4GJ4s%@akP(XFk~ zrtYE|0@t)`Yj5c8>5R@(nAW(rJKCiL&(sxd>^8+WW|5L#zAAsHqWSig@5&D=<-P%0 zezsrTrYL$pR-B@-BLvvl<)T)m0y*!OB3)M9q>~Gd@|rUnGXDb&&FS6!a06_0q6KZ2cID^ z_$m|5^BooAlanRzi?fjLVgX-^d{<=)_^xcg*9rIzg7tpbo{sPYVZ?pJEa#jiW zuKwk!Z;zs6<@n}j4$z`%q&TAav>pX|T0nZtZ^+2Z(Ru`YbH%RwhNF~-f2r34!)l+pR46-^zR*)USe@b~wVUiRkXXI5n@jY`lzC!?_}vWWO9 zqqLxZxS!XLe_lix-B3V$b9SkKk1^rn%K;z9;j0Fqyrlw8^;ta7uFn;sK4+mmPtvG9 zH;<0wVMhxXf0 zI@mu)9j$Df9n>Ou;}qZMo%JOZyCNe>sr|2-8qszv?$_GeI&$vT&7I?muYnDd) z!H)Ol4kSBo_php&)mAraOXb?>y{&b#yP|{h7I&;KZC*TjPD9AbXA#e5W?SCeuBH77 zhPLO;-m-1AvAAd$?AM<^p8F%cA*a2rqPaTji$~SIGcy=qvZ)_;;DL=V%eOq0Y-@i~PQ#M9&c8v??6jv7d19g*TO;HS9 zp6a^dj&&!`o6s?|w6R6sx3y>k@*6v6Lea3qR7b2Gjnow>AL1` z$_y8vJ~z&syrd{x(zdiPKWEkANS=RUxVK;4tgVYzSNAT@)BXOg%vBAWOB(C+>PUWm z$Tux>RnD;}=dQs8vnFkr;~TVj;QD2orZtt%-4qxb$&|=ro2RM+BEp_#W^bCgb+cY4>a$<-EZ8;571QHXMQ^Oi9k_>= z-xpMby&b=9$|mS*lCLqpVX$w5)>Bg!iR2B)$*da(kCdeim{3$VKPNNa*sIrTs=s{L zxQ6n=6E^o7xOPgobotVmMvl!VFUL1vGup**rCZtt=dCM`L}ujYM26&Ov#Hz`9Oc%# zmg)t)lZVZ2tSp&4L{aB%EzjMzcG;%lrnPld1_Uaz_P*7ZM53Dxw=TPMo9Z&BN( zc|8$rZ+_9RQnXKFTfgI`^_G`ZFP@@gb}h}FyuIIs;*OS+ONVA}nh}j?nWG(eRQ~mC zo9Ft*R!v?!W#sH=`P_m%lf$J^w4d4itCURmq2B7vrO_s>s<>#_tS!;O1w#T3ctZ>x z06fdWZ#XGyXhZqjt#v04Sf4#Cyrsz(%x~OW+P3gmTisP2YBzEAF{XFw$a!0q&7C|tKYv_KmNp}|IAgwV?AW|nQ-nSB8XIQsM!C23 zt5W(w?sY5jN*gz?-nVG^=6UY?^5*W2Xsh!3FPp~g0rlV;Ql)ltJ)As==(D1WP8iJF z+u-gY4gR?$3-yA<%QjEjx*2v;4*eWIw_wP!ym?#dj-9-R=*KwA1O5vKcL&D0>Z56V z(Q#hD;QA;#0rsE_be4nsOv6cBz5$8wrQ4=Pf{ywhGbH=C;^Kwl zNR9+}3FI5npv~P{wYGaP?5t;DF8pF$c+1kcus;dBX0B1}EI0W>)awrDBgbs7OWL^K z-nXcI6Y9Il_K!l3CHs1*-p7dg>Z&?9i~GG*3m2&a z;{B*GV(wOdrOlW7-GyZX*Jo!cti&4sR_@u^+S1h0UEa~Qv!ykr{mq&bI+J4U;7Yio$#? z9Z+YrrS-BNDi-EJ84bJp&V<1ikap{yBsxp+nZKL z8=99z+rWIB^hHbxXQ^S?LSsoZWJ{dY3I6rbcG3bWCMJJ#M^86eCu{%}*wEfxzq_He zr5QzxK}h~+R~MwmY`Pxs;#d-95j3IG>UYH+is_+Wj0e@NOK+5K{Z^>wbJv1h{_Gh0 z*3KT}-8rqE>zcG4vpuc@dRrWO8*-6CFEC{{s0EMMb#_63k*5W-)BuQbA|4 zkfpVQ^d!v5f^BahScq2_n|)S43(f^~)-k)mK+7ucRW1CR*FGvo$&$mb2ak zIJ!FKR#r6bKwFr1WDv6WjM|26_3Ju1VHrvl*^A|P+W2CM!iH449ggXjK$>kj91C+$ z947Zhz{@IIQd=LXs90UU1UbZ>s#hRC{%-Z^NL_tJ<;vP6C_F(HF9C9-W=VZ9#M%I# zWdUodHb6lc^eB>9Wwm8a=GK#7PESte*@RtI8(CbxXhn4eieNtrZUgC=UX|2WEw89t z&xJ72IG~u9fs>iflu_)tB<_7lz59J>-1}1gK7+Cq67(xuQ&nA2A6ZfcW2i-0gC<~o z)pQt-{ZPL=Qd_ka?*Xc*U%9%L6u2m|rn(kp$L%RnUS3&K!*zqkoB)Gn6JRA0Sg8aC zNfKyhNMJK1uvzHBobpvJkCatcLP7}?GD@J3QUZmX5-22EpS<}jgNXV%Ut2%4y)uTO%->yu#d`XpGqJ_#1DPlAzr)hi+u z^|dSN*VI(judH5E16cyqHET-X=`a{TDrPsLyo@+vp6v$Mo}R!p z7!--lf9(74^dADJCZhDOOgM*O!#nl~>~-n;yy{kd}sc7@P5D&W*oPJpD+|uSKG?RjZ*xLigtdEjMpM6Zif@uy0?sp(ppq3-G8Lo0u3%|MYuLH7b+5t- zQ0T*z4#fSHP%dLi4i<8@wzM}J$^b0sah78!1qvW55^JHZTX=f@l3|(&TXfAJP+8%}R zkB+aJ1RF;?2?!dX4@;guxxF~egLG&N%241&otfjUNh~cVdJZQx z9!+ed60<^|Vqnf*-?2N|+1b(@%}|!P>49L^W|1Jet@@4WL>S z4axGm=zO!CMuq1L(_oO45WtRH1bDUxkdzRh?p*|Uwg`}v5TL$Y1bDUxkdzR_Y(cE) zWGHBUE*8MBSRg53fogUy3q_lnGL)7$Ho&sjASq!(hG99uu9g!})mWEuNdgRu1(FgL zFaZ|yOp6tg5>_w~SKfHG2&C3i5@$X1 zY!Xm1F^7^k>!D|hKx#cDFcGnoZI%p+&h=g04c$=f*WJ<*s>MrcSS4|WMb8$2)UZlm zD^7jTvqc~^qY_w!iy&q&^h%-+*no>5W*#L{^C*ck4|=vllA1>eYTA`U%n0a}M5H() zD2W*XB@+osC#wD zQ&K_@SJS1jnx>?LAg-oMV>L}l2|-*FKeWrlf=*uBNBQYMPP~g1DNV9;<0e zN(ka=dU~v;DXDfwceW~Q)PyQ~lZtPQ)`yuhN;kq?I%|Go%3&yP zD!-!QyhUSnoJei#ZmjRdzRC86uIrG^Gg} z#{s_6M%iZyINz@ukcf&>|68#74@&KNzm0YhbK*fD_>8N`$H2UEcVj0s7)1&7j;&j} zqTN{Xuz>}JPvy*g8ir||yE}1!AZki@)P!Rb8kCs!atka$Gg)vLl-M>h-xjqs#-I2` zA1!l=)yNE<VRk>xUZf^~apMb9Y-q*YP+D(bmx2 zgw`=PrKz~(OfVdkc)^E=U1pu0s3NdS>C6NIW4h}U*k&uSPWv5KOj;Rn)Y}CY>Bd7^UmT^ijd}Dp%s9whN+K*L1{Y>fw2_mg;j0kLu%0mvaura&LUBEOAy(n)3;6z( zbM+=eBDKq4`vku(gPkN&Jq9~jq@1PT zw+;49k@}9oz9mxU8tmI5b-uyQ7O4vj_8pPB*kI>~)TIVHSEMdC*m;8Ul?FRsq`qsg z3qUM)&AyRi5>`IZk z+hA9T)IA3Ku8`$`!LAmt`wVuCNIhV%YeniogIy<54;k!wk$S{n-xH}v4R(V_J#Mh? zi`0(|cBA0@q`__yu%``nvq=5KV7G|Wa|XLrq<&_wABfZo2D?q9UNqS4BK5Mt?hvV8 z80=1w`lZ3{5~cDG2qX|Vkw^_Icz5vjKgcCSeN)?f#ORKGJ=pGf_|VE2i)?-}fV zk@}Ot9uTQN8|U)nE?^*xwEIut@#WV2_B@Ck8ttQvWvCqayWR zgFPlvpBe0N&c&}7>_;5t_Z#fTBBdGZ36TmI>`9TzG}u#uBFkV;i&VD3o)K^R8|)_{ zm1D4HMQVV-o)f7-yk#G2u%EJ@p=JAr8ti%TW|+ZV5UD(a{amC*8tg@pI?7-#iPUI= zy)04%275)M#u@AvA~nHauZmQm!G0-HlMVKoNKG}^>moJHU~h<2iNW3!sp$s$l}ODr z*jpks+hD&IsksJwTcnOQ*l$E?fx&(&QpXtV9g!+C*zZKD!eGA_sYM3+gGeng*t;SH z9lR$}@PL05DR{s?iPTDiy)RO$4fbb|sx{bOL<-*X1Cd&9un$EF-tr@n+GMbgMd~<% z{Z*v480>E%)o8H4i&V40{vlFZ4faow+HSCaiPR2*eIin=2K!W`+70$^k=kjn|A?zS=1(=kCTl|^6)J*w_4?61S3C${UG7&j9IvD7I8=V*QfJVm%J)v3L zq*V_s$22FkIM-Q3ks%*F#hb9e!x2S+GhS8;7LIvxF%_o!K%>B%AmPb}lg_g--cgIa zqn3C_E%lCSs>(~TW_ag1(>v-cfm(PNy_#owJ`b{~TW_3A?RrBt_3I7U)UY>XQ^($r zO)YyvHuZeCil*~F)4bIlp?b7mLiK3BgzC|L3Du+h5~@f0B~*|0OQ@z^WqwN>d9}{N z3Z)(;vvXPqqmxepMbJ^Q!SDa}Oh5>?q@5 zs^q?bPKO^h zW5T3E;{s9Ee$0&N{Pbb-wU3w|mR)RAn?i$*1L=p&nvS14Y$t{F5~ka9Qq(meTNak0 zIhu#vg_LqU>c`yuoxGYYJj)p5`mwOw1(Er8el$4NgUYKI?3`Ae6v;FO-a_Q&3{FC( zSe8lk5!Zz!l|E*BNd-GxFK3_h7geNAIF=^mNpp;ur_@*2p9TnS(uy>3Qg=>{4+#V- zse6q7zQ8+biZQ73L_IAYq!@$7qox>x#-pYfgT|w#7=y;6rWk|9qo$ZcIZ+Qghe||F zF^5V-PBDi{L^ky+^aw5~TAjKRi9@V4$5?7D* zOQ;_0mry<0FQIz0Uqbb0zl54XuL<9w2){5=G9{HsUdJ=F3rM8 z?b0kP>N$BoA#?wPMBZO~`XcaT!@W2>$3Rxr6A-rfp^RWD>!LVP?E4vkD090BpVbId znf78|+Xx)lfWq|=jzE(w`B~rQq-JD)vXfdSXRnwnvshP`OzPNoKD<6omw@fK$jhEA9$y)6R=Q6?mL70Z|F zi%MF?$|+wQluW7>TgFO}H#XB2ixf7~mWUKK)2f8Vv6*(PfMGLjseoZKty-k8nYK)% zu$i`8q_CN`LQvon&?C^HU6z$XOUn$lT5!hKuudc41u<9+tED1iM{bQk!;aiq0mF{m zIswCu+TN;rx*ey0E%Drl0rgJQeRD^>`5EWx2~}0LjL9p4N4+F0`xMtMvG2;t%@CKj==nXuEnET0y7a%s)>f9%y?Z`$j7AMdOqDBD+AsFDr9}NI!BYhTa6! zCk$nwc=r@ewD@L_CwK;)z*4Mrd09Yx7XH>|Yk|2H{WMd3PW>6KCUbfz3&XzQ%)BlQ z9(siOLJ$-`M;X@`>Pza#Aj?xRUneN%hxerVVX74fQty_FL!&2Qaw} zAXaeFl&2BL?7_Yrtf1IXDnw2G(ZF3w+^4?}G7LXB8DeFonMORwHYxu79KTcyJlH&S zJgMTZs7_B~vw-?{Fr%7}d2-9^qmTM$miiC%Ul?x*wx1eGwGa(w+|f{kXo%hYEKVFn zcyFlTM2unC;v8CoVBj21L- zFEMFYGjQgMw;HV<&Vh+k$WUs<+gyd65EH^}L2k$6eo9+h&dyNp)&>TlmqE}qzJlUr zfLOr?PCSj+t@lz4TGdi27v=!=)rgLJkN^firDCC*p89*)Y?MroKC3=qbHQ~2A9 zELRZF#=um}j@k8wla9^Q3bb+hF}Q)9{^7WsP$zvI#QaCby3o)$}E@E{& z0=(M*iGc>RH7Oi9+vESYdJ%3k@vlX>X$W*feOazt)w@6suYbU>pL;6i2dP2e5x_pInI=kptJ1dg|+|r5K zzFcI2DcoM`lLH%Tp2@`lu&Ubj0}0a>kE3O$Sy8!93BqDdjW^L*Sb-2Gd^((=m3dEh zOKZ{U=(b9J=O?TX^Tx1kg>i4WJ)W7VouQqDg$=>%Tdt3?+-}MJ#QV#bdlL-|;+`D@ z!|%k4i;m4TP3FxTp1}4u)-9tRN6wrcOD}wAs9i{thA(i!!plaE^J4OGml)_6_;}(n zEb$0EU18wrNe;Wp8rG0VU+l0(6jHldy9T3B?OJ?iRg90Bbv^|_!dDE(ES`{%s1iLY zt|CI1q%fg3QTK6-)# z(Q0Up)kEt--gThf8Exj&XlQq8cTxX;x5B2!x>H&{uv(de1zqABGdiIl7~MTVNPMqE z3Daogy;;=MeTK3{q~P#<#n6jT9umG{jN!!-5(%oDMoNS#@eUz+2lv1XBegu+ki~@; zblWk8KXltIS+w2b@g|6Iaq$vV0VaHd+*Kgn@Je_hQ~R;@Brt@(a_a!ZPtzDk`i_(T zBvX4vdlpqCP{g;Q0qv)B*Ny<0i!YA*ojKw2nXE{A0c(_^X}w6M7sMJ$4A0PB;Y%YL zJ|S5ln!_)#DqGOj(%#cmuni*ze9u*YUJ+Lq!F%&+jdfk-^doUXVi}D2S*xMFuDub2 zEcg^OL*VL~F(iDO1|m;tzu_xjGJU{a62Ob2?X{f^xb>!sdRLUYzxGZL z3i}=0Wdd>)-6RgQfc6LeS$0wchh^IC@Vy|a@sGIH%55NyJAM!2@kDNxQrKppioY0a zr5Lq+n36ZFp9cDD9(eVHRs;j{F?`VjOj`cO=hEa$wgr4v`2>A0>eHgM!HYL|LmraoN9 zr^K=8oi*{nIyCp&`lw76){nyXOMGgkkEUY8dPS}(+ufu+Oraemv>Ux3h)l=2H1-AO zBXxSM=zF2MLbxHbvB$sUI-H!TPtvE*f^d7c=!81)0Xb%g zRfb-qPeU)H!&!&uUcT0t14BC*hxJg28;RX9znZ?-pJ(W$`t%_3m=W?VHPlW%Ox0)i z*YRUUxCfuE$fNOPRmvf%m5)_|xDjfx#R0j^(~r(&ejQU+^GZQSFA*nOKwpS5KHm)M}SNKUJ9CIAfCciVm}Z7 z8(N87#KaCmip*_lb*8>buc3vohW4@^^ht~G6BJ3dPjOQOCUs3k2>-Bs?Ckgs&uSOT2*Q2n?-#bhx(|`Wg4k%gxzI0 z7b0UMje?{JB+a?->&p#|39H`*(Dqyw&@o>dENNs-hjR_v z0&^Yj%G7u2XsWSMmSg=QCQP>wrU%*V&SjY-e-_3I_!WqrR*Xp$)&O@X?7*Q}%fh}G zkErj}PY6QV6VYqgx^pe2#N<6m$a^y6Jtda~N#58340X}dEnI~yOk(w^nwe`Rsh_5w zPOa_h$PKeXw4#JDS*%-iQik+1b6FM%7ckw2p?^y_h;JL(X`*Vs1Fq-fGJ~o%gE}p$ zQ@&Mi_c#Qth;D7@X{Bj~e!hMImfiFV9ZQ)`jDUWz!dheF5O<&AeoDLM>>lRQpni#d zS$s9jj#WcyCuR2SSLj!grmuodz8li7#PA=Bit%G9(nAo>D#_lWHn?QLF?}ri$T4v%2NFn`z zq1~u_M1tN2LGKSKAIYk$g!d;8=Cc0!4>8uIp9yJ#PZ?{~kmXWJFbzn^#LyqsAIZ}1 z)elLujY+DdZ9{)dsO)h_@}pc9B9Hor5bjBUp2}r8l)ddfvDmX5Q!Tj9pE%v81?KMa zxlH|8{iisJB1XE;2l0rdjHTl7#dvd{6BFiTVacx`=U?QqT(VX1dq-Pw=0Y;ljZwZP zit#!q-^gVHsOA%w>}ebzhOTdAqFld5n^0E;k@^izvIyzkF_a!LRR6u9oFG!~Vi!&f zpRt*Cl7PLBDuJ)>=v-~+f8lG>`Ui$`s(AU4p`0#Ke>Ie|L<%(-eOQZ z#_r5`wY%o8R;_=&!JzPY*2FeY8kmkM2+ZiO2e3sT_p>gNP+)d08%TzgLbei1HX|@k zWN<$C7u2Q#6`6tZKqU-Tc_E0@ zVw`*u`l~XO=LB1fRfpJG6Ep6ZG|PlE%OTB*TsD}u4>xkmCj?dt?_7H zlvuGs!dHxa7x9FIuNWE>Pe}NRv0Wyfknj~_DONlo;VZ_(L_8tkqpMN*6D53f1*&*L z!bg{wiYFv|bVI3lLc&MakBTRfg)S5oPb3T77Al@d7P=KwJdrGP&!>1IS?Cf^@kFxF z4W0an5B3bC-Oz}jr(5;x_iDaQGFU1qdLibsUCz6FOs}xTp3*AI1 zo=6tDW>P$nEOdt?f1-qsE{GIQBn#dCD4s|by4q1Zkt}p?qj(}&=u$@UM6%G0i{c51 zVZySlDPLK~o@m!c=8=gP~Q4)QyH#EmAid+Gg!IYLd4a+PT_!l)BB(&etxW)E$P_ zCsKDA+GFDFenWd)!0t7)_q9J0UZ0_TEK>Iy+TTU$prQRkq|lKKC}@s$nLAxkv7dzn zq*=})n)^uBeps|wpvk&foWF8wQlM7EvIqpw179d%|3zjkZ|*rnHv&%t9?4}x16UQ#w10&h3mE164!Z1`Zu|h_vWA_tv{*@tNH`|9 zyr-=ZSKJFoZZ!@#wywqfhxBX$L!#g-t?Tf+FqN%p$2^svMWmbYgW}X3oFce}VV3l( z1#4UQt@M%h_72>6jAdRRhR~mjUn~$j0qS2v_xD$|w?Y=$|HABmLm)oOXFjGfv;tiD zlS{{&v6GieCz*MSbId%(iDae(o<`co=Hflh3)|20@r+Z$_A|Z5*lx>W z?PtQrIbi#_0?#<>Yd0ch>>@SaT z^4EorGracmD)7ZgUXF)DyFA8`T^{4WE{}0sm&Z7)%VQkXd@feqy z@pz{h?=oXtXU5@O<}N1Xxi_3V7Ys+|i ziuwLjGya+xpJv9mtc>H~sxltqqB0)inlc`rX~wvsj6dUoG9Kf4G9Ke{G9KeJUpbx$rq1t#TSqN zV8*!Ki$CLXFCOD+FCOD!FCODsFCODkFCODcFCODUFCKqr#vhq6uJYpWznU?w@#4?8 z#EZwc!i&fMG~<7n@h4{dsTu#JpN3?bX^xcD~cKWC{`Y2nRJvM zBa&zEb|3m3KjJ9|75ofM^`yc+Wze$8PcUurUHg^cg#(lkllqkWK4pBLGHKbQ!pVI~ z(YncZfxsX53BoL<{Fmhhl+1uKGw>_`I(jk2Mg*QicoaBm_+j2@N->~WY&4Dvm!j`N zZi=Q%$1$IuB8{Vy8Vf-v97q0Ag&OG+YPK!ZoK!;LK(be%jy@7i<-3HMZws{`l~6bd z?Nz8q`a+f2LY1cy3Ws{V3bp7+gc{@0)M8txC8>nM`C_j^9g(KoLLF-hwKSDbI9cpf zsO3kZsREa#R@g$VOeGY~340alh%`0cCDdwLsG3wl;dt_wD%1p*P-|?V)}|5)C!oGm zp&~A!*4skWr4kB9u)ac}HrhgMN+lFd8-1xlmAf=`oGny+Dxq-j=_?ef!4|49l~6dV z;Z>;Uk+_7xE=_H$H{D%6f65o(A_sN-#+T2l#y6D(eZ>NpaihPs5>X$!S0 zl~6cB<5j35a-LzAP+hiA-Km7aW`S3sdXGd?D_lbDv4z^3N+|4Ge5pdMbP08$E!4hL zLSf_NOBHIBOQ@4=p-xF96gF(U3Ux%|DYsBxvxPb>l~C9_@+#Cfj>M+iLY-j?b!IA| zu#M$as3S7;)voIMrY+RBQVE6KGp|A&kxQs`33awD)OS(|h3&g9Rj4&Cq0Y61Ixm$_ z*gE`Dg<9_t>H=G+3sVV&UCb|4s5+NW7u!Nzl1eD-rFs?Wi26RaP?y<4U7kuPZ0mXz z>WF5@ZlSKUg}N%0P}pJiD%26Vgbl9hyV@4&np8qzv)ijsN8}PVx`evU7V7#`LSf(C zt58Q|Q*NPdu!Z`5Dxq)$z^hP4v_7@TrKy{2p>9qk6iy?2g+kqG3-yCkLg5gESD}u` zrs`dqy4@D)j#NV7JjRzQ)E1XeciBSSok}Pi4e=_}5gEE$sC#Uo?oA~WPN{em>WG}D zTc|!;sQXe0g#$BQg*qbV+2E?a2W+7ZrV^K5cy>M>iW$5RP~!&P6QP(QYXdLorjIMd}-s3Wqetu9SH zWefFmDxq)^%d1dFv=r3p66z};=Skaz?)v$dO3q<_b=J?v zsGq-bJ^U+44*&Iu01qyM+4j4X5nP`oRO0VU!Ol=VjPr zYA;A-AoWdGIr?cu>~p zn}JFqdycu`dwsKR10YckE+)|8Y#*I2BVwFx<2D^Cyw^AHNpu$X`4&==gb~LX{7lJ8 z=r;tzkXgHm?-*Z1!mwO0Tm**YpOfKY-xAZ{938{~-}JksTjpmf z6yLGFrDlD{SPwtoo8_G~dPo;bwq05o%RE&EYmpMK@J75tO1#P&@$tUZ5*w=ZM%*UN zd963%b}8|CZ^Ru^;tk%2cS?yjc_ZE>B|gp@ai>(Npo)WM%*pUx!D_Wk2L44 z-iUWgiMM+r?v)bn@J75xO5Ey=c(0VW-5c=r&#cdn5jal=uv9 z#Air}&+jrbxd@eSUHFP0MD z=#BUiDe=wTh%c2A-|CI{GAZ$G-iR-k65rvC_zEfUUEYYVloIdvMtqf&_+D?s-<7ti zK5xWVOLM;88}T(#;)C9Zuay%2&>Qh}QsRfb5nnGQKIDz~ds5=Zyb<3ZCH|2&;_pj| zpYTR}qtr;A@1Deh#!y=|HT{eK`HTv-iRNR5`XNC_=i$$|IHim zL(-i8;f?rVDe=F&5kDd&{?r@sAt~{Hyb(VtCH|i`;>Tpfe&&t%aamRQecp(FB+Xg% zMvNcYNL)1a>)wd*D+3bZ3~$8vu>uKk&>QhnQiU1bh@X}c_wz=Kp8}AG9r8wu%PJ+r zx!#DMl@bs1M*N(Vc(6C(pZbT`V*B_8i&l8AKYY7%_abh0hCMIMdAPTnUyu@y@J9S| zDe)+8#4k#T^Su$jBqbi>jre6L@mO!fuSkiz!r% znK1tua+d4UV0osT<%Tp^o+W3wDGiq2l(Rf84VK@M*Z3CiEE8({+j1!zy|YZH@w4Sp zHhX88Amw-DQf~FmGC|67M%UQOk!SVt*%bjVkyim@v zGYys($?dY+JIe&Syjae1cN#1&k+a;B2Fpw3x;()<%Y@c*nVjXmG+16PXL)iOEU%EW zJT(oLSISwQmIlkK(gL)o1EnhX|TLq&ho}ISl%ILd2<>p@07E=H4T<` z$ywf(2FttUEbmBz<$gKKyV78JkDTTHG+5p%XL)ZLEDy*%QJ;5~3Ef$rT*~{svrOglFd`8al*J-f)iJawc(qQ?loaH-d zuzXJL+Y7! zgz9-)&az(`EPo>}c*r};gc|>?oMmnrEZ>o{9GC{n-^p1HPJ`v|{eQ4`@x@JLdf})8 z{;+qJxQ)rZi~p`%%HiHwCRERRa+V{~VEIQm%TZ~t{F9tzei|&_m$Mv`2FpLoS&mJE z*3EI*R7oRS91kL4_j(qQ>lIm_ZSSpH4UvNR2rf0whI zkp|0u$XTXw$CUq{a+Y(_kn&%0mh;kJ`H7t6{4`j8DrdPc4VM3wvy7y{@;`ExO4RvkG@T&pU(q0PTE4VH?WWg3SiRiB(?8iyrSznovcH^VOByUga+b%Z!7@kAvMmjkxpI~rX|Nn1XSpj4mILK1 zyV77eNY1h+4VHuDEPK;nIYiEKZyGFz%2}S62FtLVKJoL#&M^x zIxe1gc%sw*@5Q!&VkVxz>_oY$^ z_;uG#U5FpBb=e0bsFo5}#!Dc6(>0!WnUr{OJn^DL@saa#DREUi@nR|Q3aR3j#uG0| zBz7onrLm_~p2!5mHR>vfqpgW27Qg8kul+UBoY%w?i{IXi zCtfSfd0jlQ_~plV;&sxT>*9&UFEqvzub1Y$F`iibz+60Woiyjo@xWSnsY-uvG{GEc;Zb`;-+|F@yj&v#G9qW(Rku*QVTmyO1v$exFwM|6u}^3 zdxClPsXO9{#Sgi-#m@B|?VE2BNAZ&r^kWN071mWhCT{ufU-%%KSXX$T+J3+{Z_?v8 zF@4hGkKBzGp|T+?&%XIToB994h6I?eBB1VcIx}aMN15Z6Twg`fEX$u{l;vc~a@PT0 z`4^LAD6Dp>U7|}=?qNZuL-O|u`_#SK@a=u-$w=*3Hn~sz`u*w|ll>2=XZ5LP-^4PP zPw7+7d*qOM!6Egc&^Z5o_3{VRE9(ZRSA|A|O72sy=~KUVNc}#*`_)_f)I0d&U481k zF|4D2WxYIT=BI8kbDXP&Ri5V$!>XNW)?6*DIkH_A8t=$=WN4;`d`E@~ocZ33O6|`^ zvV66doyIO?mmn5D*ig&V&saVlm`@3)r@{=>-p}xB95%ogQ2PSvS)Z|Xrddca#DE)U zA_ty@a)aEEMzEc1B)8ZFPf@q5eWO?w)u+XU?GtNrQZ zY6sp=)MnM8`TWr86q?_!K0mxqeX&n{#YNJboa8lR@rEp$6Oz-sDbFT{ot>QKHJ3og zCr5nSg?LPI#CPsOy=iP98v;9;$mX*7wmnslJq?MO57|>Cx1UjNQxS%iXg^N^ncI&J z7sHqs`IGGof$jW`+l~s``8~It`LLZoaN8LV+j&>mj?!Y;4pK>M=RJq*a9`lEoj-Z8 zoj-pb+ZhvDm0X?oN-K3ts3|##vrfl^QdO1Q$i{^BCTA`;vN1XA+sSF%0_{kS`1V(4 zJ7TV8+0I{V+xft@oeyo>`N+HNd~Df{lFc%>vpNq-{@d^e)K7d&c$k0N4~`~P5L%K# zEns1FK>tl*elA|?Qt-M9O=k&P$2Qo_60_{j*ammAjCb>KEl*5R>Gz8^pymCKYi}`H z-e+8UjGbq-GNk0KOk-A$>-zw|#{>`U%Pj2EeDISe@7DrOrCsDG+xSpL(z0n;F+FM7 z5-CO+WstV&mE6Y=ZJ*Hr^hs ztY^A5gxh^3%hQIE-79NZh88BTp&Y~VwPC_*_%f}wfK-yUFx+Yj>|BgiXpFrP7CK`1 zer+_=xnCPUP@4e2q%-@pqCTy(Pn*48n}?1eG`>$;(5IF4X_XGOPYTUTUPVh1_Uk6` zGKn9)+GxqjHYSs`%JOh*4?G;nS^?3P+D^^ca-VcaRg|LTsu(o5e{D=G8wsH*Y@sTW z2~}+ib)6|xTT-E%7CJ43+tpS$q@NaAlvJmQ(u?&fA$^-IeOof=SK87a1BH@G4M<-@ zt`J>DylQ4T>dUa18``S4kuU%l32U{sZJlqbW;2+N7+!*qds}y#of#@iT2QSHxFFj| zl38tcCLg_+ZCBeAzt-)PcC#(*=48@t){Yb7cDC7+cH(}msc`r~t%os+PG&5YGtG9a zoWGVzPZRB&Cnl?e(`-H6W=>D`pr~IL{n0m5scd#=S!$J`rTWG2A8fvDR%@Sjj#ZG^ zp&7A)s0kna&307y9=jlWk`?4!?L1S3Ghj$E>=X$eq?j6Zt zr>3BFwCPBwB{?GX#IX+byU9CL%_VmZ`(ARM^07Pwz9l)0bLrc;E_IfLHYZ1y53kC+&Wy@Zv|81r z%N%x3aw(ki;<8YCQbg@Kn%k7JGHjgmviI44u|{UCv#g_04SvPfT0)VnvxxD`y&lFh zem?lI2kg0g77|e&Wo5p1Sj69tmGL%DQ=duXZ8XYvG?32nvhx18r@^YV4?%5}m zd-q8PJ^CbM{<(b;XgvBPV0!dP<)P2-lPV7DlalJZ!mCfJ_t?!mCe$ z);;>9ivNFoQaOB51$U!MraK05RhkU7Tz<%wi{n~TzruswL&+7d9wVxx6Uiqcr zj(&e>s3>_4bg2umG&$nSecG$w{2Gn1`m|rw)TwrEzjo$U?KQXRkcZr;CG*@gd2Y+R z=C+LQZ^(CFwBN?7Y*~sGQq8HdWqg#LjIBer%TkoqHL_S1syu8Z@CgX1#p*nNe@J_` zPx}*QVzFLSEK)4RCP_8>3tPsmi(9U5fYom!m89Q(U;DE;U%1;`d^pLjfDfdr&n=;~ zN##~w6UOtAwIFa&Xq2;KZV7cIr@a>ijg^WP`Tb06X^M7ul2saZQnJ!~Y?tP3voyEc zrTH5MzH$3DJ5sEbsPYl!j#N(iFs0uSO0oJaEB%gGU9#Kl(%+t}^nbTYf0{XoU2d0N zUYBj5HOcG4T^dQ{v^m6EZi}}(nRx%O#iLzT8X}%zi^nTRYj(7wcD^c@q`{$OO7_&+ zQ#Iy)T9&D8;mh%o^0Yh3bBbM_Q>5i#ih8`YQ9bismdnZ+8_|aG?ycDDy-)kJ@P3`) z&sT^I>(SV-*0nx8^N@P#0d)k;EvqNd=B}PK$==(|q&;SHL%A!dI+gT*T7$4zaBaUj4(GgP9)IZILcgo$YvNXk2o;p@}XC z<2F2ph9)H^an5sxrf{Iz%ixV2@E3U`RzLL|JfO3RhuMqPLqmg-=FAtO52!~Kl0xSO z!U3+*0pWl{4H@B#m>ST5VCL-9ML4A{3j6dy4yz8QSQOX82h`Dp`}L9g^?Z8)FhC2#o zmv@}gm~~O!5?PUUh|-b*9@5qxP-n`J+AWoI5gv532O`IIK8jLUrM~ZgItS&kD8J^S zoR(tbK$PCTqDInOM&`h1^$kuW(-vF&{Y zYwgk!WPT{$k>lkUh#XKCnkB%{jrJu(6R~+%lnX*m^R#PmL1>hNp(sKGEUt_R3)eaG zpnkp6g!CIMwhMXdHeH&26GTb0Y5ZPR%%=4_AJF$J?2vx%LH!}d9?&19y;&5aTuS>y zGTLXHw3VR!84TwIl;2$KwFF$`vfiKbtQMQ-FD0TcmY`PwoxFmnV2OP!k=w@-iG3`E za$k#SNhtT1u2R~{tqSEjDo3u|UpYz1VJt^4$c;&VyHEdZVhNYKYFwDmN;nz)caHY9 zA~X;=C+YrIaBrO%&g9ktsXRQC}fVxKXE!p90&h*dWYzNbR;eIw#96%!bvHPu6p@m5$);|O? ztjB@8JO#1~u{c`>!4pBqlP)t-8!Z?N|QsW*_>v&l-Y+LF7iZwqxed^eDstM(Q zkLfqHP2_QD4!)nrFccaT9>g{FpYR}u#s-H63ysANQ#dyfYD3eL%7$|kwrhka|8s~^ z8yXrDMLkHO(Bgw7%8>975+%UGLmZ+E4G&Ev${Nq21bmK~Sd(Hx6!1G_UXvg*I?vl6 zvaG5L`&^l<4b4faCY}klwjh&OI8Vxws}Q}3y*6;b>T%XO=L)D=UZLS|m}^lBhaFlR z79JL_Ma`j(^`R+AB^5hyt`%6PEv!$WNwNjobFB}}Po9U^h#MZpwka$i5FYN3JujRW zliithU5a(%K!#=MP_lCvUzcLO8OU(RTNfIZTwdYtMubOjd4u5*4tYn0M>^zn=bhqw z0kxaN=~P{4X>uu?)2X^t4Z*p8wLY{pIY*RBi&IxLDYk3jtS*IPRE4@mg-3C98R1b5 zbsZHxN~kNYBd<%fNdkthVZ?@zo?J{ZjK~k?^W6J|^BuX54v&`Rz9GeA(zU!-m+BlP zI;V|x=QJifh6@l1k8uc45H4_7i^KjlhKiFGDXDdD3{|Bda&b@L!pRDFFA>*vHinWP zW+su)pNtKU<%P@*k98DsTzH(Lkj^HtF_hx0v%E=c44sm+jHuux#f*~lrA6EnyV&sl;|~k&=45v?BD~#9D3XwO0Gu@`wjM4n9a_~ z97Y1XjFZBAu`G}mj;)qu&7B;Mt(WnGAX>At=c+$!&qYHF`wWS*`>6NYbJZWd=b94c zi*$jJVdpB{)bP|;{W!DUa@d~Bl-k);ws`Hiwj93aDhd~IdpasyO z<+&GxOC7mS4^Nlo-r%+8+H#nltKROpW`t*O0mg-AI0Tp(p6Rd_hZ|@-Y|kaJ?nbXY zS7R!3;XRj>`(b;oS>ai{kQ2hQ9EF@6p6w{4vq?05{+_GRYtPl_wdZO~;c;C(SEGlX zYfg9$*Fa%-jza@;!*gRAi0iqU4&QUl3(wwNI+QHQISzVLYo~!ZjJy!$u zTx1S>jv2s;p*1VVRBf8WWSdjy(baP`$MsxT4z%X4^TYFbNlU`>9VJ~5UJxrO`Z;V( zI<08yVGgiZ@;axR_LORCs4i(I&nK9%@>+Aot)bB%v6fNADrJ*crIdY&wk<`U!*@-@AH#Pc0&|^MWIlv2KoX^U~pox59>QN zw283{+YhN{C6hla|+WljwxtGZQ^ zT1ZlLwDw$hFtAoa;ixyuhT5F_J#>}Z^%Y-cC2QxQMFo?*}7yOBf63(8As zZ=gMJO5ilaPX=BMysq|U=5}v4JlRd`0aY^wD77 zU`_2E+%fpn!KWksaELNQReOiLJmmL7-cx&r{$l96L;s}qh9`&ThmTQvhYcP!epsQ} zJN(As_YFU&_U1j6_shIDtdpbz7qha@*wHx7f&Z%bU{`!#IiIN}%z`iGAIMZN-2u1W zeTD;^@o+kw%D;}mXEpz08F5rjO4&Oh(OIA2Kxt4y>LgG0o(o`p*RzrtNw_#UrNR;1 z=rfAq3T{r0hr_dq$*yOi!?1L+^@1zv5661NN#G?c*Fy%*OuXX9WweJ4^q7$| zv(H20uq2ORMUy7Z<4d663^mFFw%=t)Y9l0y>*{~zX-6_G5(%a^G z56e435u9SA!^7FSZ^Eqr!hsR@Bl)vFAWxT%yDcc=*imT;=2X`g!ON+(9ya<5kj^RL z7sb!5MtnF}@C6C#6!wec>2OKx4L0rzl-4Qn7tNRM;IKYm6}`kKY{C~TyHoxzgEu#o z*ay7Ttnf=HfU^)^7Jp}Dh+)R-Z1R^>7H4_B%sd?Z#ndmcD9+-1+4(pf=uK?ems~1m z$-aub+>RIhSIJjUKxZMps{EYQ*u$oOMWu9>^sCI%U9IR(XMTkRb{6`p&ez>9&;E)_ z?JW8KMc&RX#p>VZ{vYVTsfYio{1e6rNB9rJ&z7)v>eY5743lR{n2Vb@#vh|0Fife;OO_zls(5Z(@`DkFp~F zzu7c(1}jm&!Dg!0u-WPZxDxK4Y=O3fE!0k95$$yR-NDMV2N6Ha%C$%E_eWNt{S)!0 zY>_^ZE!NkwCHnVSmHrkxHlVZR*d16A_#s=FF^H|oJeREwp2=#02U%@aC0mpA30rGi z%<7Cw*!t|%tPVfZw!YtMtgioQY(t2#y3k~{F?R^tlzRyA2W<0z6>Q6Zm8^cC!L|%K zp4AV!h;12sG;0`q5b^I>g+?SQoaHZb#2z;*yO zAovzw#{)Jv_%vXxfDOvp0azPgL$hiDYX@wIaTj16fDJRQ0Bk2<;p|qxb^(@`y%w-e zz=rqB1FQ?Mk^OM-W1t(b5&f3})&tm4{bvBS8?aIRp9ZWKu+jbR0c;Oo`JrP0+Y4Ah z2v30%02`B21lWmyjmrrGwhyqexy68;1lWY!;eeeC*!bKt06PV+!rYyJoeJ2*0aT8! z0XBI6mE$zPCJh`1*y(^x9T)=a>wrxe_zGa(0BqX8Lx7zDSkYh&urmQG8T0{QX8~3` z_;kR&3E1?(ZGe3Xu+kwaVBZF8=HR~ob~a!$hP(&ZcL19`F0c_ULKLK_wU~`AQ z4A^;q%?Td^*!h4R9WDgy0>I`CD+KI9z!nS}2-rn{%^!Xcu!{jZX7~+&T>{v`yf*;5 z6tJ?qCjh$)un2vnCaziyh4n@HVt5{W$iU{qM(K;Z%)&|c2d=(9aIO18OzXBq@gb&t zrxnAUR)Op6OB&Q}X5PT5Z%)ejd-0sbU3{K7Hzwu${dmseo;uH*yOVOhDW0?Qf+`Q% zI4LRTTjDu8FOTxT`856XBsKVhc+Sqdm^^U4Lch}FTmr8}7YSt)a?`jyp0V>5p$u*i z?puWPoAq0g2zh5bFLA%Q=PG+FDd)T6Ig88BJ#&6JS@G|QXYBl(Ut0Xvk_wq{6^gi} z+^dj*(f}@=leXXc;-z$cNPYO)56nx-`GI)O&X1Hma9);_^Mmo6o!=LG;Ji91=ZE4s zJHPZjEazb0;lLwsa^xomm~A0|ZR@8PBfbos{Lc_>z!&ERZZGc7GI49e0NjC_iDAo8y`I^v2N;>{JQt4E-!yhOTf2(0)kCS>6!cQChl0HnoIt^e6zn6slPEZuf>Q`~Dg|Go z;4}(Or{L=pe1n2BC^(aXvxwrG6nu+d3I916~*;5GBDfk`*H&F0>3T`Cin<#ZN z1-DReD+PB_@B<2Nqu_Q5?x5f<3ht(0KLz(ta4!W1h*cj2_tE416g)t|K?)wE;D_|~ zAxb?=!6OtLqTo>q9;4uKLirJ;eoVm=6g)}6Q}p6#3Z9|IpHT2D1y<3f`pPR}{QO!LKQJn}Xj^@LLMrq2PBE z{GNh8Q1C7V?@{na3jRdF`xN|{g1=Dk0R1xF#4ehMn6@-=vO27Pe}2ffbcE$En&W6eM_1DR0_UE z!D$qnjvqMpe}htIP;e#%XHoD?3cf{ezfGyLDfJynokPL76r4xF`4n70!G#oDM0gid za0vyM66`VxE~nrM3a+H!Dhj?!!POL8L&3E~aUBKMQ}8_sZlK`%6x>L`O%&Wr$hS~% zD+NEG;5G_wr{E3>?xf%@3ht(0KLz(ta4!W1h)W-(?xWy-3Lc=~AO#On@Iwk7qTpdd zeuPqoD0q~D$0&G`g2yTN5d}Y{;0X$zqTp!?o}u6;6g*48bHwVW6#R@HpQqpj3Vu$( zixj*>Z(pX=D-`^Kf>$Z{B?Yfh@H(NqL8&(>_!R|jQSfVe@iqnY8}okpRd_%Bj=P_J zdEHOHmF|C+QtwglM+*K#0sTt1|IZZAFJb#Xpx{FaKBC}b3jRs~{c5tGe&^Uvzf8=3 zOW6M}N_|4Xrxeg{;{Lym?%$*0D2(Iy=RD_0x01TIrS4K|L#_Q->e3P|5fKqBEiKU! z5iJoB5fKqh5fKp)5fMdyOjGr|pXKFz`OeSz9=^`IQn9UcXDg-H`WIx4)#;~Z@iZHy zSrv6Upia5dX>ED~dY~8jfXbuy10_WtfI(Y{L%h!X8Y) zJ{-Uy9KkW1z$u);Ib6UjT*4Jx!wtl)uW}1_a1Rgg2y^fR&+r1T@CNVj0SmAQORx+p z@L9fO7SqS3ZKe=|DK>ANLZhYFsEx@cY*N;av1H>6sGoSb{PNheR-8?&p{!Gh%9My) TWim?C-7+H9{T-jQwM+U3!|g@j