diff --git a/el2_ifu_compress_ctl.fir b/el2_ifu_compress_ctl.fir index 81cbba2b..559bf485 100644 --- a/el2_ifu_compress_ctl.fir +++ b/el2_ifu_compress_ctl.fir @@ -3,1187 +3,1189 @@ circuit el2_ifu_compress_ctl : module el2_ifu_compress_ctl : input clock : Clock input reset : UInt<1> - output io : {flip in : UInt<32>, out : UInt<32>} + output io : {flip in : UInt<16>, out : UInt<32>} node _T = bits(io.in, 1, 0) @[el2_ifu_compress_ctl.scala 192:20] node _T_1 = neq(_T, UInt<2>("h03")) @[el2_ifu_compress_ctl.scala 192:26] - node _T_2 = bits(io.in, 12, 5) @[el2_ifu_compress_ctl.scala 48:22] - node _T_3 = orr(_T_2) @[el2_ifu_compress_ctl.scala 48:29] - node _T_4 = mux(_T_3, UInt<7>("h013"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 48:20] - node _T_5 = bits(io.in, 10, 7) @[el2_ifu_compress_ctl.scala 29:26] - node _T_6 = bits(io.in, 12, 11) @[el2_ifu_compress_ctl.scala 29:35] - node _T_7 = bits(io.in, 5, 5) @[el2_ifu_compress_ctl.scala 29:45] - node _T_8 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 29:51] - node _T_9 = cat(_T_8, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_10 = cat(_T_5, _T_6) @[Cat.scala 29:58] - node _T_11 = cat(_T_10, _T_7) @[Cat.scala 29:58] - node _T_12 = cat(_T_11, _T_9) @[Cat.scala 29:58] - node _T_13 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_14 = cat(UInt<2>("h01"), _T_13) @[Cat.scala 29:58] - node _T_15 = cat(_T_14, _T_4) @[Cat.scala 29:58] - node _T_16 = cat(_T_12, UInt<5>("h02")) @[Cat.scala 29:58] - node _T_17 = cat(_T_16, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_18 = cat(_T_17, _T_15) @[Cat.scala 29:58] - node _T_19 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_20 = cat(UInt<2>("h01"), _T_19) @[Cat.scala 29:58] - node _T_21 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_2 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_3 = cat(_T_2, io.in) @[Cat.scala 29:58] + node _T_4 = bits(_T_3, 12, 5) @[el2_ifu_compress_ctl.scala 48:22] + node _T_5 = orr(_T_4) @[el2_ifu_compress_ctl.scala 48:29] + node _T_6 = mux(_T_5, UInt<7>("h013"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 48:20] + node _T_7 = bits(_T_3, 10, 7) @[el2_ifu_compress_ctl.scala 29:26] + node _T_8 = bits(_T_3, 12, 11) @[el2_ifu_compress_ctl.scala 29:35] + node _T_9 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 29:45] + node _T_10 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 29:51] + node _T_11 = cat(_T_10, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_12 = cat(_T_7, _T_8) @[Cat.scala 29:58] + node _T_13 = cat(_T_12, _T_9) @[Cat.scala 29:58] + node _T_14 = cat(_T_13, _T_11) @[Cat.scala 29:58] + node _T_15 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_16 = cat(UInt<2>("h01"), _T_15) @[Cat.scala 29:58] + node _T_17 = cat(_T_16, _T_6) @[Cat.scala 29:58] + node _T_18 = cat(_T_14, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_19 = cat(_T_18, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_20 = cat(_T_19, _T_17) @[Cat.scala 29:58] + node _T_21 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_22 = cat(UInt<2>("h01"), _T_21) @[Cat.scala 29:58] - node _T_23 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_24 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_24.bits <= _T_18 @[el2_ifu_compress_ctl.scala 17:14] - _T_24.rd <= _T_20 @[el2_ifu_compress_ctl.scala 18:12] - _T_24.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] - _T_24.rs2 <= _T_22 @[el2_ifu_compress_ctl.scala 20:13] - _T_24.rs3 <= _T_23 @[el2_ifu_compress_ctl.scala 21:13] - node _T_25 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] - node _T_26 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] - node _T_27 = cat(_T_25, _T_26) @[Cat.scala 29:58] - node _T_28 = cat(_T_27, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_29 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_30 = cat(UInt<2>("h01"), _T_29) @[Cat.scala 29:58] - node _T_31 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_23 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_24 = cat(UInt<2>("h01"), _T_23) @[Cat.scala 29:58] + node _T_25 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_26 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_26.bits <= _T_20 @[el2_ifu_compress_ctl.scala 17:14] + _T_26.rd <= _T_22 @[el2_ifu_compress_ctl.scala 18:12] + _T_26.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] + _T_26.rs2 <= _T_24 @[el2_ifu_compress_ctl.scala 20:13] + _T_26.rs3 <= _T_25 @[el2_ifu_compress_ctl.scala 21:13] + node _T_27 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] + node _T_28 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] + node _T_29 = cat(_T_27, _T_28) @[Cat.scala 29:58] + node _T_30 = cat(_T_29, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_31 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_32 = cat(UInt<2>("h01"), _T_31) @[Cat.scala 29:58] - node _T_33 = cat(_T_32, UInt<7>("h07")) @[Cat.scala 29:58] - node _T_34 = cat(_T_28, _T_30) @[Cat.scala 29:58] - node _T_35 = cat(_T_34, UInt<3>("h03")) @[Cat.scala 29:58] - node _T_36 = cat(_T_35, _T_33) @[Cat.scala 29:58] - node _T_37 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_38 = cat(UInt<2>("h01"), _T_37) @[Cat.scala 29:58] - node _T_39 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_33 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_34 = cat(UInt<2>("h01"), _T_33) @[Cat.scala 29:58] + node _T_35 = cat(_T_34, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_36 = cat(_T_30, _T_32) @[Cat.scala 29:58] + node _T_37 = cat(_T_36, UInt<3>("h03")) @[Cat.scala 29:58] + node _T_38 = cat(_T_37, _T_35) @[Cat.scala 29:58] + node _T_39 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_40 = cat(UInt<2>("h01"), _T_39) @[Cat.scala 29:58] - node _T_41 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_41 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_42 = cat(UInt<2>("h01"), _T_41) @[Cat.scala 29:58] - node _T_43 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_44 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_44.bits <= _T_36 @[el2_ifu_compress_ctl.scala 17:14] - _T_44.rd <= _T_38 @[el2_ifu_compress_ctl.scala 18:12] - _T_44.rs1 <= _T_40 @[el2_ifu_compress_ctl.scala 19:13] - _T_44.rs2 <= _T_42 @[el2_ifu_compress_ctl.scala 20:13] - _T_44.rs3 <= _T_43 @[el2_ifu_compress_ctl.scala 21:13] - node _T_45 = bits(io.in, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] - node _T_46 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] - node _T_47 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] - node _T_48 = cat(_T_47, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_49 = cat(_T_45, _T_46) @[Cat.scala 29:58] - node _T_50 = cat(_T_49, _T_48) @[Cat.scala 29:58] - node _T_51 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_52 = cat(UInt<2>("h01"), _T_51) @[Cat.scala 29:58] - node _T_53 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_43 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_44 = cat(UInt<2>("h01"), _T_43) @[Cat.scala 29:58] + node _T_45 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_46 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_46.bits <= _T_38 @[el2_ifu_compress_ctl.scala 17:14] + _T_46.rd <= _T_40 @[el2_ifu_compress_ctl.scala 18:12] + _T_46.rs1 <= _T_42 @[el2_ifu_compress_ctl.scala 19:13] + _T_46.rs2 <= _T_44 @[el2_ifu_compress_ctl.scala 20:13] + _T_46.rs3 <= _T_45 @[el2_ifu_compress_ctl.scala 21:13] + node _T_47 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] + node _T_48 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] + node _T_49 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] + node _T_50 = cat(_T_49, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_51 = cat(_T_47, _T_48) @[Cat.scala 29:58] + node _T_52 = cat(_T_51, _T_50) @[Cat.scala 29:58] + node _T_53 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_54 = cat(UInt<2>("h01"), _T_53) @[Cat.scala 29:58] - node _T_55 = cat(_T_54, UInt<7>("h03")) @[Cat.scala 29:58] - node _T_56 = cat(_T_50, _T_52) @[Cat.scala 29:58] - node _T_57 = cat(_T_56, UInt<3>("h02")) @[Cat.scala 29:58] - node _T_58 = cat(_T_57, _T_55) @[Cat.scala 29:58] - node _T_59 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_60 = cat(UInt<2>("h01"), _T_59) @[Cat.scala 29:58] - node _T_61 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_55 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_56 = cat(UInt<2>("h01"), _T_55) @[Cat.scala 29:58] + node _T_57 = cat(_T_56, UInt<7>("h03")) @[Cat.scala 29:58] + node _T_58 = cat(_T_52, _T_54) @[Cat.scala 29:58] + node _T_59 = cat(_T_58, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_60 = cat(_T_59, _T_57) @[Cat.scala 29:58] + node _T_61 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_62 = cat(UInt<2>("h01"), _T_61) @[Cat.scala 29:58] - node _T_63 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_63 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_64 = cat(UInt<2>("h01"), _T_63) @[Cat.scala 29:58] - node _T_65 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_66 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_66.bits <= _T_58 @[el2_ifu_compress_ctl.scala 17:14] - _T_66.rd <= _T_60 @[el2_ifu_compress_ctl.scala 18:12] - _T_66.rs1 <= _T_62 @[el2_ifu_compress_ctl.scala 19:13] - _T_66.rs2 <= _T_64 @[el2_ifu_compress_ctl.scala 20:13] - _T_66.rs3 <= _T_65 @[el2_ifu_compress_ctl.scala 21:13] - node _T_67 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] - node _T_68 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] - node _T_69 = cat(_T_67, _T_68) @[Cat.scala 29:58] - node _T_70 = cat(_T_69, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_71 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_72 = cat(UInt<2>("h01"), _T_71) @[Cat.scala 29:58] - node _T_73 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_65 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_66 = cat(UInt<2>("h01"), _T_65) @[Cat.scala 29:58] + node _T_67 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_68 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_68.bits <= _T_60 @[el2_ifu_compress_ctl.scala 17:14] + _T_68.rd <= _T_62 @[el2_ifu_compress_ctl.scala 18:12] + _T_68.rs1 <= _T_64 @[el2_ifu_compress_ctl.scala 19:13] + _T_68.rs2 <= _T_66 @[el2_ifu_compress_ctl.scala 20:13] + _T_68.rs3 <= _T_67 @[el2_ifu_compress_ctl.scala 21:13] + node _T_69 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] + node _T_70 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] + node _T_71 = cat(_T_69, _T_70) @[Cat.scala 29:58] + node _T_72 = cat(_T_71, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_73 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_74 = cat(UInt<2>("h01"), _T_73) @[Cat.scala 29:58] - node _T_75 = cat(_T_74, UInt<7>("h03")) @[Cat.scala 29:58] - node _T_76 = cat(_T_70, _T_72) @[Cat.scala 29:58] - node _T_77 = cat(_T_76, UInt<3>("h03")) @[Cat.scala 29:58] - node _T_78 = cat(_T_77, _T_75) @[Cat.scala 29:58] - node _T_79 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_80 = cat(UInt<2>("h01"), _T_79) @[Cat.scala 29:58] - node _T_81 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_75 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_76 = cat(UInt<2>("h01"), _T_75) @[Cat.scala 29:58] + node _T_77 = cat(_T_76, UInt<7>("h03")) @[Cat.scala 29:58] + node _T_78 = cat(_T_72, _T_74) @[Cat.scala 29:58] + node _T_79 = cat(_T_78, UInt<3>("h03")) @[Cat.scala 29:58] + node _T_80 = cat(_T_79, _T_77) @[Cat.scala 29:58] + node _T_81 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_82 = cat(UInt<2>("h01"), _T_81) @[Cat.scala 29:58] - node _T_83 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_83 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_84 = cat(UInt<2>("h01"), _T_83) @[Cat.scala 29:58] - node _T_85 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_86 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_86.bits <= _T_78 @[el2_ifu_compress_ctl.scala 17:14] - _T_86.rd <= _T_80 @[el2_ifu_compress_ctl.scala 18:12] - _T_86.rs1 <= _T_82 @[el2_ifu_compress_ctl.scala 19:13] - _T_86.rs2 <= _T_84 @[el2_ifu_compress_ctl.scala 20:13] - _T_86.rs3 <= _T_85 @[el2_ifu_compress_ctl.scala 21:13] - node _T_87 = bits(io.in, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] - node _T_88 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] - node _T_89 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] - node _T_90 = cat(_T_89, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_91 = cat(_T_87, _T_88) @[Cat.scala 29:58] - node _T_92 = cat(_T_91, _T_90) @[Cat.scala 29:58] - node _T_93 = shr(_T_92, 5) @[el2_ifu_compress_ctl.scala 58:32] - node _T_94 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_95 = cat(UInt<2>("h01"), _T_94) @[Cat.scala 29:58] - node _T_96 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_85 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_86 = cat(UInt<2>("h01"), _T_85) @[Cat.scala 29:58] + node _T_87 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_88 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_88.bits <= _T_80 @[el2_ifu_compress_ctl.scala 17:14] + _T_88.rd <= _T_82 @[el2_ifu_compress_ctl.scala 18:12] + _T_88.rs1 <= _T_84 @[el2_ifu_compress_ctl.scala 19:13] + _T_88.rs2 <= _T_86 @[el2_ifu_compress_ctl.scala 20:13] + _T_88.rs3 <= _T_87 @[el2_ifu_compress_ctl.scala 21:13] + node _T_89 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] + node _T_90 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] + node _T_91 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] + node _T_92 = cat(_T_91, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_93 = cat(_T_89, _T_90) @[Cat.scala 29:58] + node _T_94 = cat(_T_93, _T_92) @[Cat.scala 29:58] + node _T_95 = shr(_T_94, 5) @[el2_ifu_compress_ctl.scala 58:32] + node _T_96 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_97 = cat(UInt<2>("h01"), _T_96) @[Cat.scala 29:58] - node _T_98 = bits(io.in, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] - node _T_99 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] - node _T_100 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] - node _T_101 = cat(_T_100, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_102 = cat(_T_98, _T_99) @[Cat.scala 29:58] - node _T_103 = cat(_T_102, _T_101) @[Cat.scala 29:58] - node _T_104 = bits(_T_103, 4, 0) @[el2_ifu_compress_ctl.scala 58:65] - node _T_105 = cat(UInt<3>("h02"), _T_104) @[Cat.scala 29:58] - node _T_106 = cat(_T_105, UInt<7>("h03f")) @[Cat.scala 29:58] - node _T_107 = cat(_T_93, _T_95) @[Cat.scala 29:58] - node _T_108 = cat(_T_107, _T_97) @[Cat.scala 29:58] - node _T_109 = cat(_T_108, _T_106) @[Cat.scala 29:58] - node _T_110 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_111 = cat(UInt<2>("h01"), _T_110) @[Cat.scala 29:58] - node _T_112 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_98 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_99 = cat(UInt<2>("h01"), _T_98) @[Cat.scala 29:58] + node _T_100 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] + node _T_101 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] + node _T_102 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] + node _T_103 = cat(_T_102, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_104 = cat(_T_100, _T_101) @[Cat.scala 29:58] + node _T_105 = cat(_T_104, _T_103) @[Cat.scala 29:58] + node _T_106 = bits(_T_105, 4, 0) @[el2_ifu_compress_ctl.scala 58:65] + node _T_107 = cat(UInt<3>("h02"), _T_106) @[Cat.scala 29:58] + node _T_108 = cat(_T_107, UInt<7>("h03f")) @[Cat.scala 29:58] + node _T_109 = cat(_T_95, _T_97) @[Cat.scala 29:58] + node _T_110 = cat(_T_109, _T_99) @[Cat.scala 29:58] + node _T_111 = cat(_T_110, _T_108) @[Cat.scala 29:58] + node _T_112 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_113 = cat(UInt<2>("h01"), _T_112) @[Cat.scala 29:58] - node _T_114 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_114 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_115 = cat(UInt<2>("h01"), _T_114) @[Cat.scala 29:58] - node _T_116 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_117 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_117.bits <= _T_109 @[el2_ifu_compress_ctl.scala 17:14] - _T_117.rd <= _T_111 @[el2_ifu_compress_ctl.scala 18:12] - _T_117.rs1 <= _T_113 @[el2_ifu_compress_ctl.scala 19:13] - _T_117.rs2 <= _T_115 @[el2_ifu_compress_ctl.scala 20:13] - _T_117.rs3 <= _T_116 @[el2_ifu_compress_ctl.scala 21:13] - node _T_118 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] - node _T_119 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] - node _T_120 = cat(_T_118, _T_119) @[Cat.scala 29:58] - node _T_121 = cat(_T_120, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_122 = shr(_T_121, 5) @[el2_ifu_compress_ctl.scala 61:30] - node _T_123 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_124 = cat(UInt<2>("h01"), _T_123) @[Cat.scala 29:58] - node _T_125 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_116 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_117 = cat(UInt<2>("h01"), _T_116) @[Cat.scala 29:58] + node _T_118 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_119 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_119.bits <= _T_111 @[el2_ifu_compress_ctl.scala 17:14] + _T_119.rd <= _T_113 @[el2_ifu_compress_ctl.scala 18:12] + _T_119.rs1 <= _T_115 @[el2_ifu_compress_ctl.scala 19:13] + _T_119.rs2 <= _T_117 @[el2_ifu_compress_ctl.scala 20:13] + _T_119.rs3 <= _T_118 @[el2_ifu_compress_ctl.scala 21:13] + node _T_120 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] + node _T_121 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] + node _T_122 = cat(_T_120, _T_121) @[Cat.scala 29:58] + node _T_123 = cat(_T_122, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_124 = shr(_T_123, 5) @[el2_ifu_compress_ctl.scala 61:30] + node _T_125 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_126 = cat(UInt<2>("h01"), _T_125) @[Cat.scala 29:58] - node _T_127 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] - node _T_128 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] - node _T_129 = cat(_T_127, _T_128) @[Cat.scala 29:58] - node _T_130 = cat(_T_129, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_131 = bits(_T_130, 4, 0) @[el2_ifu_compress_ctl.scala 61:63] - node _T_132 = cat(UInt<3>("h03"), _T_131) @[Cat.scala 29:58] - node _T_133 = cat(_T_132, UInt<7>("h027")) @[Cat.scala 29:58] - node _T_134 = cat(_T_122, _T_124) @[Cat.scala 29:58] - node _T_135 = cat(_T_134, _T_126) @[Cat.scala 29:58] - node _T_136 = cat(_T_135, _T_133) @[Cat.scala 29:58] - node _T_137 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_138 = cat(UInt<2>("h01"), _T_137) @[Cat.scala 29:58] - node _T_139 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_127 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_128 = cat(UInt<2>("h01"), _T_127) @[Cat.scala 29:58] + node _T_129 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] + node _T_130 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] + node _T_131 = cat(_T_129, _T_130) @[Cat.scala 29:58] + node _T_132 = cat(_T_131, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_133 = bits(_T_132, 4, 0) @[el2_ifu_compress_ctl.scala 61:63] + node _T_134 = cat(UInt<3>("h03"), _T_133) @[Cat.scala 29:58] + node _T_135 = cat(_T_134, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_136 = cat(_T_124, _T_126) @[Cat.scala 29:58] + node _T_137 = cat(_T_136, _T_128) @[Cat.scala 29:58] + node _T_138 = cat(_T_137, _T_135) @[Cat.scala 29:58] + node _T_139 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_140 = cat(UInt<2>("h01"), _T_139) @[Cat.scala 29:58] - node _T_141 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_141 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_142 = cat(UInt<2>("h01"), _T_141) @[Cat.scala 29:58] - node _T_143 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_144 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_144.bits <= _T_136 @[el2_ifu_compress_ctl.scala 17:14] - _T_144.rd <= _T_138 @[el2_ifu_compress_ctl.scala 18:12] - _T_144.rs1 <= _T_140 @[el2_ifu_compress_ctl.scala 19:13] - _T_144.rs2 <= _T_142 @[el2_ifu_compress_ctl.scala 20:13] - _T_144.rs3 <= _T_143 @[el2_ifu_compress_ctl.scala 21:13] - node _T_145 = bits(io.in, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] - node _T_146 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] - node _T_147 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] - node _T_148 = cat(_T_147, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_149 = cat(_T_145, _T_146) @[Cat.scala 29:58] - node _T_150 = cat(_T_149, _T_148) @[Cat.scala 29:58] - node _T_151 = shr(_T_150, 5) @[el2_ifu_compress_ctl.scala 60:29] - node _T_152 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_153 = cat(UInt<2>("h01"), _T_152) @[Cat.scala 29:58] - node _T_154 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_143 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_144 = cat(UInt<2>("h01"), _T_143) @[Cat.scala 29:58] + node _T_145 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_146 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_146.bits <= _T_138 @[el2_ifu_compress_ctl.scala 17:14] + _T_146.rd <= _T_140 @[el2_ifu_compress_ctl.scala 18:12] + _T_146.rs1 <= _T_142 @[el2_ifu_compress_ctl.scala 19:13] + _T_146.rs2 <= _T_144 @[el2_ifu_compress_ctl.scala 20:13] + _T_146.rs3 <= _T_145 @[el2_ifu_compress_ctl.scala 21:13] + node _T_147 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] + node _T_148 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] + node _T_149 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] + node _T_150 = cat(_T_149, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_151 = cat(_T_147, _T_148) @[Cat.scala 29:58] + node _T_152 = cat(_T_151, _T_150) @[Cat.scala 29:58] + node _T_153 = shr(_T_152, 5) @[el2_ifu_compress_ctl.scala 60:29] + node _T_154 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_155 = cat(UInt<2>("h01"), _T_154) @[Cat.scala 29:58] - node _T_156 = bits(io.in, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] - node _T_157 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] - node _T_158 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] - node _T_159 = cat(_T_158, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_160 = cat(_T_156, _T_157) @[Cat.scala 29:58] - node _T_161 = cat(_T_160, _T_159) @[Cat.scala 29:58] - node _T_162 = bits(_T_161, 4, 0) @[el2_ifu_compress_ctl.scala 60:62] - node _T_163 = cat(UInt<3>("h02"), _T_162) @[Cat.scala 29:58] - node _T_164 = cat(_T_163, UInt<7>("h023")) @[Cat.scala 29:58] - node _T_165 = cat(_T_151, _T_153) @[Cat.scala 29:58] - node _T_166 = cat(_T_165, _T_155) @[Cat.scala 29:58] - node _T_167 = cat(_T_166, _T_164) @[Cat.scala 29:58] - node _T_168 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_169 = cat(UInt<2>("h01"), _T_168) @[Cat.scala 29:58] - node _T_170 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_156 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_157 = cat(UInt<2>("h01"), _T_156) @[Cat.scala 29:58] + node _T_158 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 30:20] + node _T_159 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 30:26] + node _T_160 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 30:36] + node _T_161 = cat(_T_160, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_162 = cat(_T_158, _T_159) @[Cat.scala 29:58] + node _T_163 = cat(_T_162, _T_161) @[Cat.scala 29:58] + node _T_164 = bits(_T_163, 4, 0) @[el2_ifu_compress_ctl.scala 60:62] + node _T_165 = cat(UInt<3>("h02"), _T_164) @[Cat.scala 29:58] + node _T_166 = cat(_T_165, UInt<7>("h023")) @[Cat.scala 29:58] + node _T_167 = cat(_T_153, _T_155) @[Cat.scala 29:58] + node _T_168 = cat(_T_167, _T_157) @[Cat.scala 29:58] + node _T_169 = cat(_T_168, _T_166) @[Cat.scala 29:58] + node _T_170 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_171 = cat(UInt<2>("h01"), _T_170) @[Cat.scala 29:58] - node _T_172 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_172 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_173 = cat(UInt<2>("h01"), _T_172) @[Cat.scala 29:58] - node _T_174 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_175 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_175.bits <= _T_167 @[el2_ifu_compress_ctl.scala 17:14] - _T_175.rd <= _T_169 @[el2_ifu_compress_ctl.scala 18:12] - _T_175.rs1 <= _T_171 @[el2_ifu_compress_ctl.scala 19:13] - _T_175.rs2 <= _T_173 @[el2_ifu_compress_ctl.scala 20:13] - _T_175.rs3 <= _T_174 @[el2_ifu_compress_ctl.scala 21:13] - node _T_176 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] - node _T_177 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] - node _T_178 = cat(_T_176, _T_177) @[Cat.scala 29:58] - node _T_179 = cat(_T_178, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_180 = shr(_T_179, 5) @[el2_ifu_compress_ctl.scala 59:29] - node _T_181 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_182 = cat(UInt<2>("h01"), _T_181) @[Cat.scala 29:58] - node _T_183 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_174 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_175 = cat(UInt<2>("h01"), _T_174) @[Cat.scala 29:58] + node _T_176 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_177 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_177.bits <= _T_169 @[el2_ifu_compress_ctl.scala 17:14] + _T_177.rd <= _T_171 @[el2_ifu_compress_ctl.scala 18:12] + _T_177.rs1 <= _T_173 @[el2_ifu_compress_ctl.scala 19:13] + _T_177.rs2 <= _T_175 @[el2_ifu_compress_ctl.scala 20:13] + _T_177.rs3 <= _T_176 @[el2_ifu_compress_ctl.scala 21:13] + node _T_178 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] + node _T_179 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] + node _T_180 = cat(_T_178, _T_179) @[Cat.scala 29:58] + node _T_181 = cat(_T_180, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_182 = shr(_T_181, 5) @[el2_ifu_compress_ctl.scala 59:29] + node _T_183 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_184 = cat(UInt<2>("h01"), _T_183) @[Cat.scala 29:58] - node _T_185 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] - node _T_186 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] - node _T_187 = cat(_T_185, _T_186) @[Cat.scala 29:58] - node _T_188 = cat(_T_187, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_189 = bits(_T_188, 4, 0) @[el2_ifu_compress_ctl.scala 59:62] - node _T_190 = cat(UInt<3>("h03"), _T_189) @[Cat.scala 29:58] - node _T_191 = cat(_T_190, UInt<7>("h023")) @[Cat.scala 29:58] - node _T_192 = cat(_T_180, _T_182) @[Cat.scala 29:58] - node _T_193 = cat(_T_192, _T_184) @[Cat.scala 29:58] - node _T_194 = cat(_T_193, _T_191) @[Cat.scala 29:58] - node _T_195 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_196 = cat(UInt<2>("h01"), _T_195) @[Cat.scala 29:58] - node _T_197 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_185 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_186 = cat(UInt<2>("h01"), _T_185) @[Cat.scala 29:58] + node _T_187 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 31:20] + node _T_188 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 31:28] + node _T_189 = cat(_T_187, _T_188) @[Cat.scala 29:58] + node _T_190 = cat(_T_189, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_191 = bits(_T_190, 4, 0) @[el2_ifu_compress_ctl.scala 59:62] + node _T_192 = cat(UInt<3>("h03"), _T_191) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, UInt<7>("h023")) @[Cat.scala 29:58] + node _T_194 = cat(_T_182, _T_184) @[Cat.scala 29:58] + node _T_195 = cat(_T_194, _T_186) @[Cat.scala 29:58] + node _T_196 = cat(_T_195, _T_193) @[Cat.scala 29:58] + node _T_197 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_198 = cat(UInt<2>("h01"), _T_197) @[Cat.scala 29:58] - node _T_199 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_199 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_200 = cat(UInt<2>("h01"), _T_199) @[Cat.scala 29:58] - node _T_201 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_202 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_202.bits <= _T_194 @[el2_ifu_compress_ctl.scala 17:14] - _T_202.rd <= _T_196 @[el2_ifu_compress_ctl.scala 18:12] - _T_202.rs1 <= _T_198 @[el2_ifu_compress_ctl.scala 19:13] - _T_202.rs2 <= _T_200 @[el2_ifu_compress_ctl.scala 20:13] - _T_202.rs3 <= _T_201 @[el2_ifu_compress_ctl.scala 21:13] - node _T_203 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] - node _T_204 = bits(_T_203, 0, 0) @[Bitwise.scala 72:15] - node _T_205 = mux(_T_204, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_206 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] - node _T_207 = cat(_T_205, _T_206) @[Cat.scala 29:58] - node _T_208 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_209 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_210 = cat(_T_209, UInt<7>("h013")) @[Cat.scala 29:58] - node _T_211 = cat(_T_207, _T_208) @[Cat.scala 29:58] - node _T_212 = cat(_T_211, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_213 = cat(_T_212, _T_210) @[Cat.scala 29:58] - node _T_214 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_215 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_216 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_217 = cat(UInt<2>("h01"), _T_216) @[Cat.scala 29:58] - node _T_218 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_219 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_219.bits <= _T_213 @[el2_ifu_compress_ctl.scala 17:14] - _T_219.rd <= _T_214 @[el2_ifu_compress_ctl.scala 18:12] - _T_219.rs1 <= _T_215 @[el2_ifu_compress_ctl.scala 19:13] - _T_219.rs2 <= _T_217 @[el2_ifu_compress_ctl.scala 20:13] - _T_219.rs3 <= _T_218 @[el2_ifu_compress_ctl.scala 21:13] - node _T_220 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_221 = orr(_T_220) @[el2_ifu_compress_ctl.scala 72:24] - node _T_222 = mux(_T_221, UInt<7>("h01b"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 72:20] - node _T_223 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] - node _T_224 = bits(_T_223, 0, 0) @[Bitwise.scala 72:15] - node _T_225 = mux(_T_224, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_226 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] - node _T_227 = cat(_T_225, _T_226) @[Cat.scala 29:58] - node _T_228 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_229 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_230 = cat(_T_229, _T_222) @[Cat.scala 29:58] - node _T_231 = cat(_T_227, _T_228) @[Cat.scala 29:58] - node _T_232 = cat(_T_231, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_233 = cat(_T_232, _T_230) @[Cat.scala 29:58] - node _T_234 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_235 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_236 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_237 = cat(UInt<2>("h01"), _T_236) @[Cat.scala 29:58] - node _T_238 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_239 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_239.bits <= _T_233 @[el2_ifu_compress_ctl.scala 17:14] - _T_239.rd <= _T_234 @[el2_ifu_compress_ctl.scala 18:12] - _T_239.rs1 <= _T_235 @[el2_ifu_compress_ctl.scala 19:13] - _T_239.rs2 <= _T_237 @[el2_ifu_compress_ctl.scala 20:13] - _T_239.rs3 <= _T_238 @[el2_ifu_compress_ctl.scala 21:13] - node _T_240 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] - node _T_241 = bits(_T_240, 0, 0) @[Bitwise.scala 72:15] - node _T_242 = mux(_T_241, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_243 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] - node _T_244 = cat(_T_242, _T_243) @[Cat.scala 29:58] - node _T_245 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_246 = cat(_T_245, UInt<7>("h013")) @[Cat.scala 29:58] - node _T_247 = cat(_T_244, UInt<5>("h00")) @[Cat.scala 29:58] - node _T_248 = cat(_T_247, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_249 = cat(_T_248, _T_246) @[Cat.scala 29:58] - node _T_250 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_251 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_252 = cat(UInt<2>("h01"), _T_251) @[Cat.scala 29:58] - node _T_253 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_254 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_254.bits <= _T_249 @[el2_ifu_compress_ctl.scala 17:14] - _T_254.rd <= _T_250 @[el2_ifu_compress_ctl.scala 18:12] - _T_254.rs1 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 19:13] - _T_254.rs2 <= _T_252 @[el2_ifu_compress_ctl.scala 20:13] - _T_254.rs3 <= _T_253 @[el2_ifu_compress_ctl.scala 21:13] - node _T_255 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] - node _T_256 = bits(_T_255, 0, 0) @[Bitwise.scala 72:15] - node _T_257 = mux(_T_256, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_258 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] - node _T_259 = cat(_T_257, _T_258) @[Cat.scala 29:58] - node _T_260 = orr(_T_259) @[el2_ifu_compress_ctl.scala 85:29] - node _T_261 = mux(_T_260, UInt<7>("h037"), UInt<7>("h03f")) @[el2_ifu_compress_ctl.scala 85:20] - node _T_262 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 36:30] - node _T_263 = bits(_T_262, 0, 0) @[Bitwise.scala 72:15] - node _T_264 = mux(_T_263, UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] - node _T_265 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 36:38] - node _T_266 = cat(_T_264, _T_265) @[Cat.scala 29:58] - node _T_267 = cat(_T_266, UInt<12>("h00")) @[Cat.scala 29:58] - node _T_268 = bits(_T_267, 31, 12) @[el2_ifu_compress_ctl.scala 86:31] - node _T_269 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_270 = cat(_T_268, _T_269) @[Cat.scala 29:58] - node _T_271 = cat(_T_270, _T_261) @[Cat.scala 29:58] - node _T_272 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_273 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_274 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_275 = cat(UInt<2>("h01"), _T_274) @[Cat.scala 29:58] - node _T_276 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_277 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_277.bits <= _T_271 @[el2_ifu_compress_ctl.scala 17:14] - _T_277.rd <= _T_272 @[el2_ifu_compress_ctl.scala 18:12] - _T_277.rs1 <= _T_273 @[el2_ifu_compress_ctl.scala 19:13] - _T_277.rs2 <= _T_275 @[el2_ifu_compress_ctl.scala 20:13] - _T_277.rs3 <= _T_276 @[el2_ifu_compress_ctl.scala 21:13] - node _T_278 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_279 = eq(_T_278, UInt<5>("h00")) @[el2_ifu_compress_ctl.scala 87:14] - node _T_280 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_281 = eq(_T_280, UInt<5>("h02")) @[el2_ifu_compress_ctl.scala 87:27] - node _T_282 = or(_T_279, _T_281) @[el2_ifu_compress_ctl.scala 87:21] - node _T_283 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] - node _T_284 = bits(_T_283, 0, 0) @[Bitwise.scala 72:15] - node _T_285 = mux(_T_284, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_286 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] - node _T_287 = cat(_T_285, _T_286) @[Cat.scala 29:58] - node _T_288 = orr(_T_287) @[el2_ifu_compress_ctl.scala 81:29] - node _T_289 = mux(_T_288, UInt<7>("h013"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 81:20] - node _T_290 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 37:34] - node _T_291 = bits(_T_290, 0, 0) @[Bitwise.scala 72:15] - node _T_292 = mux(_T_291, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_293 = bits(io.in, 4, 3) @[el2_ifu_compress_ctl.scala 37:42] - node _T_294 = bits(io.in, 5, 5) @[el2_ifu_compress_ctl.scala 37:50] - node _T_295 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 37:56] - node _T_296 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 37:62] - node _T_297 = cat(_T_295, _T_296) @[Cat.scala 29:58] - node _T_298 = cat(_T_297, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_299 = cat(_T_292, _T_293) @[Cat.scala 29:58] - node _T_300 = cat(_T_299, _T_294) @[Cat.scala 29:58] - node _T_301 = cat(_T_300, _T_298) @[Cat.scala 29:58] - node _T_302 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_303 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_304 = cat(_T_303, _T_289) @[Cat.scala 29:58] - node _T_305 = cat(_T_301, _T_302) @[Cat.scala 29:58] - node _T_306 = cat(_T_305, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_307 = cat(_T_306, _T_304) @[Cat.scala 29:58] - node _T_308 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_309 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_310 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_311 = cat(UInt<2>("h01"), _T_310) @[Cat.scala 29:58] - node _T_312 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_313 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_313.bits <= _T_307 @[el2_ifu_compress_ctl.scala 17:14] - _T_313.rd <= _T_308 @[el2_ifu_compress_ctl.scala 18:12] - _T_313.rs1 <= _T_309 @[el2_ifu_compress_ctl.scala 19:13] - _T_313.rs2 <= _T_311 @[el2_ifu_compress_ctl.scala 20:13] - _T_313.rs3 <= _T_312 @[el2_ifu_compress_ctl.scala 21:13] - node _T_314 = mux(_T_282, _T_313, _T_277) @[el2_ifu_compress_ctl.scala 87:10] - node _T_315 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 41:20] - node _T_316 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 41:27] - node _T_317 = cat(_T_315, _T_316) @[Cat.scala 29:58] - node _T_318 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_319 = cat(UInt<2>("h01"), _T_318) @[Cat.scala 29:58] - node _T_320 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_201 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_202 = cat(UInt<2>("h01"), _T_201) @[Cat.scala 29:58] + node _T_203 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_204 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_204.bits <= _T_196 @[el2_ifu_compress_ctl.scala 17:14] + _T_204.rd <= _T_198 @[el2_ifu_compress_ctl.scala 18:12] + _T_204.rs1 <= _T_200 @[el2_ifu_compress_ctl.scala 19:13] + _T_204.rs2 <= _T_202 @[el2_ifu_compress_ctl.scala 20:13] + _T_204.rs3 <= _T_203 @[el2_ifu_compress_ctl.scala 21:13] + node _T_205 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] + node _T_206 = bits(_T_205, 0, 0) @[Bitwise.scala 72:15] + node _T_207 = mux(_T_206, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_208 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] + node _T_209 = cat(_T_207, _T_208) @[Cat.scala 29:58] + node _T_210 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_211 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_212 = cat(_T_211, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_213 = cat(_T_209, _T_210) @[Cat.scala 29:58] + node _T_214 = cat(_T_213, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_215 = cat(_T_214, _T_212) @[Cat.scala 29:58] + node _T_216 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_217 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_218 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_219 = cat(UInt<2>("h01"), _T_218) @[Cat.scala 29:58] + node _T_220 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_221 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_221.bits <= _T_215 @[el2_ifu_compress_ctl.scala 17:14] + _T_221.rd <= _T_216 @[el2_ifu_compress_ctl.scala 18:12] + _T_221.rs1 <= _T_217 @[el2_ifu_compress_ctl.scala 19:13] + _T_221.rs2 <= _T_219 @[el2_ifu_compress_ctl.scala 20:13] + _T_221.rs3 <= _T_220 @[el2_ifu_compress_ctl.scala 21:13] + node _T_222 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_223 = orr(_T_222) @[el2_ifu_compress_ctl.scala 72:24] + node _T_224 = mux(_T_223, UInt<7>("h01b"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 72:20] + node _T_225 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] + node _T_226 = bits(_T_225, 0, 0) @[Bitwise.scala 72:15] + node _T_227 = mux(_T_226, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_228 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] + node _T_229 = cat(_T_227, _T_228) @[Cat.scala 29:58] + node _T_230 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_231 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_232 = cat(_T_231, _T_224) @[Cat.scala 29:58] + node _T_233 = cat(_T_229, _T_230) @[Cat.scala 29:58] + node _T_234 = cat(_T_233, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_235 = cat(_T_234, _T_232) @[Cat.scala 29:58] + node _T_236 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_237 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_238 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_239 = cat(UInt<2>("h01"), _T_238) @[Cat.scala 29:58] + node _T_240 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_241 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_241.bits <= _T_235 @[el2_ifu_compress_ctl.scala 17:14] + _T_241.rd <= _T_236 @[el2_ifu_compress_ctl.scala 18:12] + _T_241.rs1 <= _T_237 @[el2_ifu_compress_ctl.scala 19:13] + _T_241.rs2 <= _T_239 @[el2_ifu_compress_ctl.scala 20:13] + _T_241.rs3 <= _T_240 @[el2_ifu_compress_ctl.scala 21:13] + node _T_242 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] + node _T_243 = bits(_T_242, 0, 0) @[Bitwise.scala 72:15] + node _T_244 = mux(_T_243, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_245 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] + node _T_246 = cat(_T_244, _T_245) @[Cat.scala 29:58] + node _T_247 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_248 = cat(_T_247, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_249 = cat(_T_246, UInt<5>("h00")) @[Cat.scala 29:58] + node _T_250 = cat(_T_249, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_251 = cat(_T_250, _T_248) @[Cat.scala 29:58] + node _T_252 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_253 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_254 = cat(UInt<2>("h01"), _T_253) @[Cat.scala 29:58] + node _T_255 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_256 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_256.bits <= _T_251 @[el2_ifu_compress_ctl.scala 17:14] + _T_256.rd <= _T_252 @[el2_ifu_compress_ctl.scala 18:12] + _T_256.rs1 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 19:13] + _T_256.rs2 <= _T_254 @[el2_ifu_compress_ctl.scala 20:13] + _T_256.rs3 <= _T_255 @[el2_ifu_compress_ctl.scala 21:13] + node _T_257 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] + node _T_258 = bits(_T_257, 0, 0) @[Bitwise.scala 72:15] + node _T_259 = mux(_T_258, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_260 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] + node _T_261 = cat(_T_259, _T_260) @[Cat.scala 29:58] + node _T_262 = orr(_T_261) @[el2_ifu_compress_ctl.scala 85:29] + node _T_263 = mux(_T_262, UInt<7>("h037"), UInt<7>("h03f")) @[el2_ifu_compress_ctl.scala 85:20] + node _T_264 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 36:30] + node _T_265 = bits(_T_264, 0, 0) @[Bitwise.scala 72:15] + node _T_266 = mux(_T_265, UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_267 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 36:38] + node _T_268 = cat(_T_266, _T_267) @[Cat.scala 29:58] + node _T_269 = cat(_T_268, UInt<12>("h00")) @[Cat.scala 29:58] + node _T_270 = bits(_T_269, 31, 12) @[el2_ifu_compress_ctl.scala 86:31] + node _T_271 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_272 = cat(_T_270, _T_271) @[Cat.scala 29:58] + node _T_273 = cat(_T_272, _T_263) @[Cat.scala 29:58] + node _T_274 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_275 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_276 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_277 = cat(UInt<2>("h01"), _T_276) @[Cat.scala 29:58] + node _T_278 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_279 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_279.bits <= _T_273 @[el2_ifu_compress_ctl.scala 17:14] + _T_279.rd <= _T_274 @[el2_ifu_compress_ctl.scala 18:12] + _T_279.rs1 <= _T_275 @[el2_ifu_compress_ctl.scala 19:13] + _T_279.rs2 <= _T_277 @[el2_ifu_compress_ctl.scala 20:13] + _T_279.rs3 <= _T_278 @[el2_ifu_compress_ctl.scala 21:13] + node _T_280 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_281 = eq(_T_280, UInt<5>("h00")) @[el2_ifu_compress_ctl.scala 87:14] + node _T_282 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_283 = eq(_T_282, UInt<5>("h02")) @[el2_ifu_compress_ctl.scala 87:27] + node _T_284 = or(_T_281, _T_283) @[el2_ifu_compress_ctl.scala 87:21] + node _T_285 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] + node _T_286 = bits(_T_285, 0, 0) @[Bitwise.scala 72:15] + node _T_287 = mux(_T_286, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_288 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] + node _T_289 = cat(_T_287, _T_288) @[Cat.scala 29:58] + node _T_290 = orr(_T_289) @[el2_ifu_compress_ctl.scala 81:29] + node _T_291 = mux(_T_290, UInt<7>("h013"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 81:20] + node _T_292 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 37:34] + node _T_293 = bits(_T_292, 0, 0) @[Bitwise.scala 72:15] + node _T_294 = mux(_T_293, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_295 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 37:42] + node _T_296 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 37:50] + node _T_297 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 37:56] + node _T_298 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 37:62] + node _T_299 = cat(_T_297, _T_298) @[Cat.scala 29:58] + node _T_300 = cat(_T_299, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_301 = cat(_T_294, _T_295) @[Cat.scala 29:58] + node _T_302 = cat(_T_301, _T_296) @[Cat.scala 29:58] + node _T_303 = cat(_T_302, _T_300) @[Cat.scala 29:58] + node _T_304 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_305 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_306 = cat(_T_305, _T_291) @[Cat.scala 29:58] + node _T_307 = cat(_T_303, _T_304) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, _T_306) @[Cat.scala 29:58] + node _T_310 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_311 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_312 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_313 = cat(UInt<2>("h01"), _T_312) @[Cat.scala 29:58] + node _T_314 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_315 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_315.bits <= _T_309 @[el2_ifu_compress_ctl.scala 17:14] + _T_315.rd <= _T_310 @[el2_ifu_compress_ctl.scala 18:12] + _T_315.rs1 <= _T_311 @[el2_ifu_compress_ctl.scala 19:13] + _T_315.rs2 <= _T_313 @[el2_ifu_compress_ctl.scala 20:13] + _T_315.rs3 <= _T_314 @[el2_ifu_compress_ctl.scala 21:13] + node _T_316 = mux(_T_284, _T_315, _T_279) @[el2_ifu_compress_ctl.scala 87:10] + node _T_317 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 41:20] + node _T_318 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 41:27] + node _T_319 = cat(_T_317, _T_318) @[Cat.scala 29:58] + node _T_320 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_321 = cat(UInt<2>("h01"), _T_320) @[Cat.scala 29:58] - node _T_322 = cat(_T_321, UInt<7>("h013")) @[Cat.scala 29:58] - node _T_323 = cat(_T_317, _T_319) @[Cat.scala 29:58] - node _T_324 = cat(_T_323, UInt<3>("h05")) @[Cat.scala 29:58] - node _T_325 = cat(_T_324, _T_322) @[Cat.scala 29:58] - node _T_326 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 41:20] - node _T_327 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 41:27] - node _T_328 = cat(_T_326, _T_327) @[Cat.scala 29:58] - node _T_329 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_330 = cat(UInt<2>("h01"), _T_329) @[Cat.scala 29:58] - node _T_331 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_322 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_323 = cat(UInt<2>("h01"), _T_322) @[Cat.scala 29:58] + node _T_324 = cat(_T_323, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_325 = cat(_T_319, _T_321) @[Cat.scala 29:58] + node _T_326 = cat(_T_325, UInt<3>("h05")) @[Cat.scala 29:58] + node _T_327 = cat(_T_326, _T_324) @[Cat.scala 29:58] + node _T_328 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 41:20] + node _T_329 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 41:27] + node _T_330 = cat(_T_328, _T_329) @[Cat.scala 29:58] + node _T_331 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_332 = cat(UInt<2>("h01"), _T_331) @[Cat.scala 29:58] - node _T_333 = cat(_T_332, UInt<7>("h013")) @[Cat.scala 29:58] - node _T_334 = cat(_T_328, _T_330) @[Cat.scala 29:58] - node _T_335 = cat(_T_334, UInt<3>("h05")) @[Cat.scala 29:58] - node _T_336 = cat(_T_335, _T_333) @[Cat.scala 29:58] - node _T_337 = or(_T_336, UInt<31>("h040000000")) @[el2_ifu_compress_ctl.scala 94:23] - node _T_338 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] - node _T_339 = bits(_T_338, 0, 0) @[Bitwise.scala 72:15] - node _T_340 = mux(_T_339, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_341 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] - node _T_342 = cat(_T_340, _T_341) @[Cat.scala 29:58] - node _T_343 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_344 = cat(UInt<2>("h01"), _T_343) @[Cat.scala 29:58] - node _T_345 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_333 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_334 = cat(UInt<2>("h01"), _T_333) @[Cat.scala 29:58] + node _T_335 = cat(_T_334, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_336 = cat(_T_330, _T_332) @[Cat.scala 29:58] + node _T_337 = cat(_T_336, UInt<3>("h05")) @[Cat.scala 29:58] + node _T_338 = cat(_T_337, _T_335) @[Cat.scala 29:58] + node _T_339 = or(_T_338, UInt<31>("h040000000")) @[el2_ifu_compress_ctl.scala 94:23] + node _T_340 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 38:30] + node _T_341 = bits(_T_340, 0, 0) @[Bitwise.scala 72:15] + node _T_342 = mux(_T_341, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_343 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 38:38] + node _T_344 = cat(_T_342, _T_343) @[Cat.scala 29:58] + node _T_345 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_346 = cat(UInt<2>("h01"), _T_345) @[Cat.scala 29:58] - node _T_347 = cat(_T_346, UInt<7>("h013")) @[Cat.scala 29:58] - node _T_348 = cat(_T_342, _T_344) @[Cat.scala 29:58] - node _T_349 = cat(_T_348, UInt<3>("h07")) @[Cat.scala 29:58] - node _T_350 = cat(_T_349, _T_347) @[Cat.scala 29:58] - wire _T_351 : UInt<3>[8] @[el2_ifu_compress_ctl.scala 97:28] - _T_351[0] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 97:28] - _T_351[1] <= UInt<3>("h04") @[el2_ifu_compress_ctl.scala 97:28] - _T_351[2] <= UInt<3>("h06") @[el2_ifu_compress_ctl.scala 97:28] - _T_351[3] <= UInt<3>("h07") @[el2_ifu_compress_ctl.scala 97:28] - _T_351[4] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 97:28] - _T_351[5] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 97:28] - _T_351[6] <= UInt<2>("h02") @[el2_ifu_compress_ctl.scala 97:28] - _T_351[7] <= UInt<2>("h03") @[el2_ifu_compress_ctl.scala 97:28] - node _T_352 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 97:74] - node _T_353 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 97:81] - node _T_354 = cat(_T_352, _T_353) @[Cat.scala 29:58] - node _T_355 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 98:24] - node _T_356 = eq(_T_355, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 98:30] - node _T_357 = mux(_T_356, UInt<31>("h040000000"), UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 98:22] - node _T_358 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 99:24] - node _T_359 = mux(_T_358, UInt<7>("h03b"), UInt<7>("h033")) @[el2_ifu_compress_ctl.scala 99:22] - node _T_360 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] - node _T_361 = cat(UInt<2>("h01"), _T_360) @[Cat.scala 29:58] - node _T_362 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_347 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_348 = cat(UInt<2>("h01"), _T_347) @[Cat.scala 29:58] + node _T_349 = cat(_T_348, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_350 = cat(_T_344, _T_346) @[Cat.scala 29:58] + node _T_351 = cat(_T_350, UInt<3>("h07")) @[Cat.scala 29:58] + node _T_352 = cat(_T_351, _T_349) @[Cat.scala 29:58] + wire _T_353 : UInt<3>[8] @[el2_ifu_compress_ctl.scala 97:28] + _T_353[0] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 97:28] + _T_353[1] <= UInt<3>("h04") @[el2_ifu_compress_ctl.scala 97:28] + _T_353[2] <= UInt<3>("h06") @[el2_ifu_compress_ctl.scala 97:28] + _T_353[3] <= UInt<3>("h07") @[el2_ifu_compress_ctl.scala 97:28] + _T_353[4] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 97:28] + _T_353[5] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 97:28] + _T_353[6] <= UInt<2>("h02") @[el2_ifu_compress_ctl.scala 97:28] + _T_353[7] <= UInt<2>("h03") @[el2_ifu_compress_ctl.scala 97:28] + node _T_354 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 97:74] + node _T_355 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 97:81] + node _T_356 = cat(_T_354, _T_355) @[Cat.scala 29:58] + node _T_357 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 98:24] + node _T_358 = eq(_T_357, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 98:30] + node _T_359 = mux(_T_358, UInt<31>("h040000000"), UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 98:22] + node _T_360 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 99:24] + node _T_361 = mux(_T_360, UInt<7>("h03b"), UInt<7>("h033")) @[el2_ifu_compress_ctl.scala 99:22] + node _T_362 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] node _T_363 = cat(UInt<2>("h01"), _T_362) @[Cat.scala 29:58] - node _T_364 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_364 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_365 = cat(UInt<2>("h01"), _T_364) @[Cat.scala 29:58] - node _T_366 = cat(_T_365, _T_359) @[Cat.scala 29:58] - node _T_367 = cat(_T_361, _T_363) @[Cat.scala 29:58] - node _T_368 = cat(_T_367, _T_351[_T_354]) @[Cat.scala 29:58] - node _T_369 = cat(_T_368, _T_366) @[Cat.scala 29:58] - node _T_370 = or(_T_369, _T_357) @[el2_ifu_compress_ctl.scala 100:43] - wire _T_371 : UInt<32>[4] @[el2_ifu_compress_ctl.scala 102:19] - _T_371[0] <= _T_325 @[el2_ifu_compress_ctl.scala 102:19] - _T_371[1] <= _T_337 @[el2_ifu_compress_ctl.scala 102:19] - _T_371[2] <= _T_350 @[el2_ifu_compress_ctl.scala 102:19] - _T_371[3] <= _T_370 @[el2_ifu_compress_ctl.scala 102:19] - node _T_372 = bits(io.in, 11, 10) @[el2_ifu_compress_ctl.scala 102:46] - node _T_373 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_374 = cat(UInt<2>("h01"), _T_373) @[Cat.scala 29:58] - node _T_375 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_366 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_367 = cat(UInt<2>("h01"), _T_366) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_361) @[Cat.scala 29:58] + node _T_369 = cat(_T_363, _T_365) @[Cat.scala 29:58] + node _T_370 = cat(_T_369, _T_353[_T_356]) @[Cat.scala 29:58] + node _T_371 = cat(_T_370, _T_368) @[Cat.scala 29:58] + node _T_372 = or(_T_371, _T_359) @[el2_ifu_compress_ctl.scala 100:43] + wire _T_373 : UInt<32>[4] @[el2_ifu_compress_ctl.scala 102:19] + _T_373[0] <= _T_327 @[el2_ifu_compress_ctl.scala 102:19] + _T_373[1] <= _T_339 @[el2_ifu_compress_ctl.scala 102:19] + _T_373[2] <= _T_352 @[el2_ifu_compress_ctl.scala 102:19] + _T_373[3] <= _T_372 @[el2_ifu_compress_ctl.scala 102:19] + node _T_374 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 102:46] + node _T_375 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_376 = cat(UInt<2>("h01"), _T_375) @[Cat.scala 29:58] - node _T_377 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_377 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_378 = cat(UInt<2>("h01"), _T_377) @[Cat.scala 29:58] - node _T_379 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_380 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_380.bits <= _T_371[_T_372] @[el2_ifu_compress_ctl.scala 17:14] - _T_380.rd <= _T_374 @[el2_ifu_compress_ctl.scala 18:12] - _T_380.rs1 <= _T_376 @[el2_ifu_compress_ctl.scala 19:13] - _T_380.rs2 <= _T_378 @[el2_ifu_compress_ctl.scala 20:13] - _T_380.rs3 <= _T_379 @[el2_ifu_compress_ctl.scala 21:13] - node _T_381 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 39:28] - node _T_382 = bits(_T_381, 0, 0) @[Bitwise.scala 72:15] - node _T_383 = mux(_T_382, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] - node _T_384 = bits(io.in, 8, 8) @[el2_ifu_compress_ctl.scala 39:36] - node _T_385 = bits(io.in, 10, 9) @[el2_ifu_compress_ctl.scala 39:42] - node _T_386 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 39:51] - node _T_387 = bits(io.in, 7, 7) @[el2_ifu_compress_ctl.scala 39:57] - node _T_388 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 39:63] - node _T_389 = bits(io.in, 11, 11) @[el2_ifu_compress_ctl.scala 39:69] - node _T_390 = bits(io.in, 5, 3) @[el2_ifu_compress_ctl.scala 39:76] - node _T_391 = cat(_T_390, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_392 = cat(_T_388, _T_389) @[Cat.scala 29:58] - node _T_393 = cat(_T_392, _T_391) @[Cat.scala 29:58] - node _T_394 = cat(_T_386, _T_387) @[Cat.scala 29:58] - node _T_395 = cat(_T_383, _T_384) @[Cat.scala 29:58] - node _T_396 = cat(_T_395, _T_385) @[Cat.scala 29:58] - node _T_397 = cat(_T_396, _T_394) @[Cat.scala 29:58] - node _T_398 = cat(_T_397, _T_393) @[Cat.scala 29:58] - node _T_399 = bits(_T_398, 20, 20) @[el2_ifu_compress_ctl.scala 89:26] - node _T_400 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 39:28] - node _T_401 = bits(_T_400, 0, 0) @[Bitwise.scala 72:15] - node _T_402 = mux(_T_401, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] - node _T_403 = bits(io.in, 8, 8) @[el2_ifu_compress_ctl.scala 39:36] - node _T_404 = bits(io.in, 10, 9) @[el2_ifu_compress_ctl.scala 39:42] - node _T_405 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 39:51] - node _T_406 = bits(io.in, 7, 7) @[el2_ifu_compress_ctl.scala 39:57] - node _T_407 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 39:63] - node _T_408 = bits(io.in, 11, 11) @[el2_ifu_compress_ctl.scala 39:69] - node _T_409 = bits(io.in, 5, 3) @[el2_ifu_compress_ctl.scala 39:76] - node _T_410 = cat(_T_409, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_411 = cat(_T_407, _T_408) @[Cat.scala 29:58] - node _T_412 = cat(_T_411, _T_410) @[Cat.scala 29:58] - node _T_413 = cat(_T_405, _T_406) @[Cat.scala 29:58] - node _T_414 = cat(_T_402, _T_403) @[Cat.scala 29:58] - node _T_415 = cat(_T_414, _T_404) @[Cat.scala 29:58] - node _T_416 = cat(_T_415, _T_413) @[Cat.scala 29:58] - node _T_417 = cat(_T_416, _T_412) @[Cat.scala 29:58] - node _T_418 = bits(_T_417, 10, 1) @[el2_ifu_compress_ctl.scala 89:36] - node _T_419 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 39:28] - node _T_420 = bits(_T_419, 0, 0) @[Bitwise.scala 72:15] - node _T_421 = mux(_T_420, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] - node _T_422 = bits(io.in, 8, 8) @[el2_ifu_compress_ctl.scala 39:36] - node _T_423 = bits(io.in, 10, 9) @[el2_ifu_compress_ctl.scala 39:42] - node _T_424 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 39:51] - node _T_425 = bits(io.in, 7, 7) @[el2_ifu_compress_ctl.scala 39:57] - node _T_426 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 39:63] - node _T_427 = bits(io.in, 11, 11) @[el2_ifu_compress_ctl.scala 39:69] - node _T_428 = bits(io.in, 5, 3) @[el2_ifu_compress_ctl.scala 39:76] - node _T_429 = cat(_T_428, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_430 = cat(_T_426, _T_427) @[Cat.scala 29:58] - node _T_431 = cat(_T_430, _T_429) @[Cat.scala 29:58] - node _T_432 = cat(_T_424, _T_425) @[Cat.scala 29:58] - node _T_433 = cat(_T_421, _T_422) @[Cat.scala 29:58] - node _T_434 = cat(_T_433, _T_423) @[Cat.scala 29:58] - node _T_435 = cat(_T_434, _T_432) @[Cat.scala 29:58] - node _T_436 = cat(_T_435, _T_431) @[Cat.scala 29:58] - node _T_437 = bits(_T_436, 11, 11) @[el2_ifu_compress_ctl.scala 89:48] - node _T_438 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 39:28] - node _T_439 = bits(_T_438, 0, 0) @[Bitwise.scala 72:15] - node _T_440 = mux(_T_439, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] - node _T_441 = bits(io.in, 8, 8) @[el2_ifu_compress_ctl.scala 39:36] - node _T_442 = bits(io.in, 10, 9) @[el2_ifu_compress_ctl.scala 39:42] - node _T_443 = bits(io.in, 6, 6) @[el2_ifu_compress_ctl.scala 39:51] - node _T_444 = bits(io.in, 7, 7) @[el2_ifu_compress_ctl.scala 39:57] - node _T_445 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 39:63] - node _T_446 = bits(io.in, 11, 11) @[el2_ifu_compress_ctl.scala 39:69] - node _T_447 = bits(io.in, 5, 3) @[el2_ifu_compress_ctl.scala 39:76] - node _T_448 = cat(_T_447, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_449 = cat(_T_445, _T_446) @[Cat.scala 29:58] - node _T_450 = cat(_T_449, _T_448) @[Cat.scala 29:58] - node _T_451 = cat(_T_443, _T_444) @[Cat.scala 29:58] - node _T_452 = cat(_T_440, _T_441) @[Cat.scala 29:58] - node _T_453 = cat(_T_452, _T_442) @[Cat.scala 29:58] - node _T_454 = cat(_T_453, _T_451) @[Cat.scala 29:58] - node _T_455 = cat(_T_454, _T_450) @[Cat.scala 29:58] - node _T_456 = bits(_T_455, 19, 12) @[el2_ifu_compress_ctl.scala 89:58] - node _T_457 = cat(_T_456, UInt<5>("h00")) @[Cat.scala 29:58] - node _T_458 = cat(_T_457, UInt<7>("h06f")) @[Cat.scala 29:58] - node _T_459 = cat(_T_399, _T_418) @[Cat.scala 29:58] - node _T_460 = cat(_T_459, _T_437) @[Cat.scala 29:58] - node _T_461 = cat(_T_460, _T_458) @[Cat.scala 29:58] - node _T_462 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_463 = cat(UInt<2>("h01"), _T_462) @[Cat.scala 29:58] - node _T_464 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_379 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_380 = cat(UInt<2>("h01"), _T_379) @[Cat.scala 29:58] + node _T_381 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_382 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_382.bits <= _T_373[_T_374] @[el2_ifu_compress_ctl.scala 17:14] + _T_382.rd <= _T_376 @[el2_ifu_compress_ctl.scala 18:12] + _T_382.rs1 <= _T_378 @[el2_ifu_compress_ctl.scala 19:13] + _T_382.rs2 <= _T_380 @[el2_ifu_compress_ctl.scala 20:13] + _T_382.rs3 <= _T_381 @[el2_ifu_compress_ctl.scala 21:13] + node _T_383 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 39:28] + node _T_384 = bits(_T_383, 0, 0) @[Bitwise.scala 72:15] + node _T_385 = mux(_T_384, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_386 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 39:36] + node _T_387 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 39:42] + node _T_388 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 39:51] + node _T_389 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 39:57] + node _T_390 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 39:63] + node _T_391 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 39:69] + node _T_392 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 39:76] + node _T_393 = cat(_T_392, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_394 = cat(_T_390, _T_391) @[Cat.scala 29:58] + node _T_395 = cat(_T_394, _T_393) @[Cat.scala 29:58] + node _T_396 = cat(_T_388, _T_389) @[Cat.scala 29:58] + node _T_397 = cat(_T_385, _T_386) @[Cat.scala 29:58] + node _T_398 = cat(_T_397, _T_387) @[Cat.scala 29:58] + node _T_399 = cat(_T_398, _T_396) @[Cat.scala 29:58] + node _T_400 = cat(_T_399, _T_395) @[Cat.scala 29:58] + node _T_401 = bits(_T_400, 20, 20) @[el2_ifu_compress_ctl.scala 89:26] + node _T_402 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 39:28] + node _T_403 = bits(_T_402, 0, 0) @[Bitwise.scala 72:15] + node _T_404 = mux(_T_403, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_405 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 39:36] + node _T_406 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 39:42] + node _T_407 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 39:51] + node _T_408 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 39:57] + node _T_409 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 39:63] + node _T_410 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 39:69] + node _T_411 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 39:76] + node _T_412 = cat(_T_411, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_413 = cat(_T_409, _T_410) @[Cat.scala 29:58] + node _T_414 = cat(_T_413, _T_412) @[Cat.scala 29:58] + node _T_415 = cat(_T_407, _T_408) @[Cat.scala 29:58] + node _T_416 = cat(_T_404, _T_405) @[Cat.scala 29:58] + node _T_417 = cat(_T_416, _T_406) @[Cat.scala 29:58] + node _T_418 = cat(_T_417, _T_415) @[Cat.scala 29:58] + node _T_419 = cat(_T_418, _T_414) @[Cat.scala 29:58] + node _T_420 = bits(_T_419, 10, 1) @[el2_ifu_compress_ctl.scala 89:36] + node _T_421 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 39:28] + node _T_422 = bits(_T_421, 0, 0) @[Bitwise.scala 72:15] + node _T_423 = mux(_T_422, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_424 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 39:36] + node _T_425 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 39:42] + node _T_426 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 39:51] + node _T_427 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 39:57] + node _T_428 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 39:63] + node _T_429 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 39:69] + node _T_430 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 39:76] + node _T_431 = cat(_T_430, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_432 = cat(_T_428, _T_429) @[Cat.scala 29:58] + node _T_433 = cat(_T_432, _T_431) @[Cat.scala 29:58] + node _T_434 = cat(_T_426, _T_427) @[Cat.scala 29:58] + node _T_435 = cat(_T_423, _T_424) @[Cat.scala 29:58] + node _T_436 = cat(_T_435, _T_425) @[Cat.scala 29:58] + node _T_437 = cat(_T_436, _T_434) @[Cat.scala 29:58] + node _T_438 = cat(_T_437, _T_433) @[Cat.scala 29:58] + node _T_439 = bits(_T_438, 11, 11) @[el2_ifu_compress_ctl.scala 89:48] + node _T_440 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 39:28] + node _T_441 = bits(_T_440, 0, 0) @[Bitwise.scala 72:15] + node _T_442 = mux(_T_441, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_443 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 39:36] + node _T_444 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 39:42] + node _T_445 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 39:51] + node _T_446 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 39:57] + node _T_447 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 39:63] + node _T_448 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 39:69] + node _T_449 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 39:76] + node _T_450 = cat(_T_449, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_451 = cat(_T_447, _T_448) @[Cat.scala 29:58] + node _T_452 = cat(_T_451, _T_450) @[Cat.scala 29:58] + node _T_453 = cat(_T_445, _T_446) @[Cat.scala 29:58] + node _T_454 = cat(_T_442, _T_443) @[Cat.scala 29:58] + node _T_455 = cat(_T_454, _T_444) @[Cat.scala 29:58] + node _T_456 = cat(_T_455, _T_453) @[Cat.scala 29:58] + node _T_457 = cat(_T_456, _T_452) @[Cat.scala 29:58] + node _T_458 = bits(_T_457, 19, 12) @[el2_ifu_compress_ctl.scala 89:58] + node _T_459 = cat(_T_458, UInt<5>("h00")) @[Cat.scala 29:58] + node _T_460 = cat(_T_459, UInt<7>("h06f")) @[Cat.scala 29:58] + node _T_461 = cat(_T_401, _T_420) @[Cat.scala 29:58] + node _T_462 = cat(_T_461, _T_439) @[Cat.scala 29:58] + node _T_463 = cat(_T_462, _T_460) @[Cat.scala 29:58] + node _T_464 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_465 = cat(UInt<2>("h01"), _T_464) @[Cat.scala 29:58] - node _T_466 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_467 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_467.bits <= _T_461 @[el2_ifu_compress_ctl.scala 17:14] - _T_467.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 18:12] - _T_467.rs1 <= _T_463 @[el2_ifu_compress_ctl.scala 19:13] - _T_467.rs2 <= _T_465 @[el2_ifu_compress_ctl.scala 20:13] - _T_467.rs3 <= _T_466 @[el2_ifu_compress_ctl.scala 21:13] - node _T_468 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] - node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] - node _T_470 = mux(_T_469, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_471 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] - node _T_472 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] - node _T_473 = bits(io.in, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] - node _T_474 = bits(io.in, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] - node _T_475 = cat(_T_473, _T_474) @[Cat.scala 29:58] - node _T_476 = cat(_T_475, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_477 = cat(_T_470, _T_471) @[Cat.scala 29:58] - node _T_478 = cat(_T_477, _T_472) @[Cat.scala 29:58] - node _T_479 = cat(_T_478, _T_476) @[Cat.scala 29:58] - node _T_480 = bits(_T_479, 12, 12) @[el2_ifu_compress_ctl.scala 90:29] - node _T_481 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] - node _T_482 = bits(_T_481, 0, 0) @[Bitwise.scala 72:15] - node _T_483 = mux(_T_482, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_484 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] - node _T_485 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] - node _T_486 = bits(io.in, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] - node _T_487 = bits(io.in, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] - node _T_488 = cat(_T_486, _T_487) @[Cat.scala 29:58] - node _T_489 = cat(_T_488, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_490 = cat(_T_483, _T_484) @[Cat.scala 29:58] - node _T_491 = cat(_T_490, _T_485) @[Cat.scala 29:58] - node _T_492 = cat(_T_491, _T_489) @[Cat.scala 29:58] - node _T_493 = bits(_T_492, 10, 5) @[el2_ifu_compress_ctl.scala 90:39] - node _T_494 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_495 = cat(UInt<2>("h01"), _T_494) @[Cat.scala 29:58] - node _T_496 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] - node _T_497 = bits(_T_496, 0, 0) @[Bitwise.scala 72:15] - node _T_498 = mux(_T_497, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_499 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] - node _T_500 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] - node _T_501 = bits(io.in, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] - node _T_502 = bits(io.in, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] - node _T_503 = cat(_T_501, _T_502) @[Cat.scala 29:58] - node _T_504 = cat(_T_503, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_505 = cat(_T_498, _T_499) @[Cat.scala 29:58] - node _T_506 = cat(_T_505, _T_500) @[Cat.scala 29:58] - node _T_507 = cat(_T_506, _T_504) @[Cat.scala 29:58] - node _T_508 = bits(_T_507, 4, 1) @[el2_ifu_compress_ctl.scala 90:71] - node _T_509 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] - node _T_510 = bits(_T_509, 0, 0) @[Bitwise.scala 72:15] - node _T_511 = mux(_T_510, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_512 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] - node _T_513 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] - node _T_514 = bits(io.in, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] - node _T_515 = bits(io.in, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] - node _T_516 = cat(_T_514, _T_515) @[Cat.scala 29:58] - node _T_517 = cat(_T_516, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_518 = cat(_T_511, _T_512) @[Cat.scala 29:58] - node _T_519 = cat(_T_518, _T_513) @[Cat.scala 29:58] - node _T_520 = cat(_T_519, _T_517) @[Cat.scala 29:58] - node _T_521 = bits(_T_520, 11, 11) @[el2_ifu_compress_ctl.scala 90:82] - node _T_522 = cat(_T_521, UInt<7>("h063")) @[Cat.scala 29:58] - node _T_523 = cat(UInt<3>("h00"), _T_508) @[Cat.scala 29:58] - node _T_524 = cat(_T_523, _T_522) @[Cat.scala 29:58] - node _T_525 = cat(UInt<5>("h00"), _T_495) @[Cat.scala 29:58] - node _T_526 = cat(_T_480, _T_493) @[Cat.scala 29:58] - node _T_527 = cat(_T_526, _T_525) @[Cat.scala 29:58] - node _T_528 = cat(_T_527, _T_524) @[Cat.scala 29:58] - node _T_529 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_530 = cat(UInt<2>("h01"), _T_529) @[Cat.scala 29:58] - node _T_531 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_466 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 26:29] + node _T_467 = cat(UInt<2>("h01"), _T_466) @[Cat.scala 29:58] + node _T_468 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_469 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_469.bits <= _T_463 @[el2_ifu_compress_ctl.scala 17:14] + _T_469.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 18:12] + _T_469.rs1 <= _T_465 @[el2_ifu_compress_ctl.scala 19:13] + _T_469.rs2 <= _T_467 @[el2_ifu_compress_ctl.scala 20:13] + _T_469.rs3 <= _T_468 @[el2_ifu_compress_ctl.scala 21:13] + node _T_470 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] + node _T_471 = bits(_T_470, 0, 0) @[Bitwise.scala 72:15] + node _T_472 = mux(_T_471, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_473 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] + node _T_474 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] + node _T_475 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] + node _T_476 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] + node _T_477 = cat(_T_475, _T_476) @[Cat.scala 29:58] + node _T_478 = cat(_T_477, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_479 = cat(_T_472, _T_473) @[Cat.scala 29:58] + node _T_480 = cat(_T_479, _T_474) @[Cat.scala 29:58] + node _T_481 = cat(_T_480, _T_478) @[Cat.scala 29:58] + node _T_482 = bits(_T_481, 12, 12) @[el2_ifu_compress_ctl.scala 90:29] + node _T_483 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] + node _T_484 = bits(_T_483, 0, 0) @[Bitwise.scala 72:15] + node _T_485 = mux(_T_484, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_486 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] + node _T_487 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] + node _T_488 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] + node _T_489 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] + node _T_490 = cat(_T_488, _T_489) @[Cat.scala 29:58] + node _T_491 = cat(_T_490, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_492 = cat(_T_485, _T_486) @[Cat.scala 29:58] + node _T_493 = cat(_T_492, _T_487) @[Cat.scala 29:58] + node _T_494 = cat(_T_493, _T_491) @[Cat.scala 29:58] + node _T_495 = bits(_T_494, 10, 5) @[el2_ifu_compress_ctl.scala 90:39] + node _T_496 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_497 = cat(UInt<2>("h01"), _T_496) @[Cat.scala 29:58] + node _T_498 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] + node _T_499 = bits(_T_498, 0, 0) @[Bitwise.scala 72:15] + node _T_500 = mux(_T_499, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_501 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] + node _T_502 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] + node _T_503 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] + node _T_504 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] + node _T_505 = cat(_T_503, _T_504) @[Cat.scala 29:58] + node _T_506 = cat(_T_505, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_507 = cat(_T_500, _T_501) @[Cat.scala 29:58] + node _T_508 = cat(_T_507, _T_502) @[Cat.scala 29:58] + node _T_509 = cat(_T_508, _T_506) @[Cat.scala 29:58] + node _T_510 = bits(_T_509, 4, 1) @[el2_ifu_compress_ctl.scala 90:71] + node _T_511 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] + node _T_512 = bits(_T_511, 0, 0) @[Bitwise.scala 72:15] + node _T_513 = mux(_T_512, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_514 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] + node _T_515 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] + node _T_516 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] + node _T_517 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] + node _T_518 = cat(_T_516, _T_517) @[Cat.scala 29:58] + node _T_519 = cat(_T_518, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_520 = cat(_T_513, _T_514) @[Cat.scala 29:58] + node _T_521 = cat(_T_520, _T_515) @[Cat.scala 29:58] + node _T_522 = cat(_T_521, _T_519) @[Cat.scala 29:58] + node _T_523 = bits(_T_522, 11, 11) @[el2_ifu_compress_ctl.scala 90:82] + node _T_524 = cat(_T_523, UInt<7>("h063")) @[Cat.scala 29:58] + node _T_525 = cat(UInt<3>("h00"), _T_510) @[Cat.scala 29:58] + node _T_526 = cat(_T_525, _T_524) @[Cat.scala 29:58] + node _T_527 = cat(UInt<5>("h00"), _T_497) @[Cat.scala 29:58] + node _T_528 = cat(_T_482, _T_495) @[Cat.scala 29:58] + node _T_529 = cat(_T_528, _T_527) @[Cat.scala 29:58] + node _T_530 = cat(_T_529, _T_526) @[Cat.scala 29:58] + node _T_531 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] node _T_532 = cat(UInt<2>("h01"), _T_531) @[Cat.scala 29:58] - node _T_533 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_534 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_534.bits <= _T_528 @[el2_ifu_compress_ctl.scala 17:14] - _T_534.rd <= _T_530 @[el2_ifu_compress_ctl.scala 18:12] - _T_534.rs1 <= _T_532 @[el2_ifu_compress_ctl.scala 19:13] - _T_534.rs2 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 20:13] - _T_534.rs3 <= _T_533 @[el2_ifu_compress_ctl.scala 21:13] - node _T_535 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] - node _T_536 = bits(_T_535, 0, 0) @[Bitwise.scala 72:15] - node _T_537 = mux(_T_536, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_538 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] - node _T_539 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] - node _T_540 = bits(io.in, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] - node _T_541 = bits(io.in, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] - node _T_542 = cat(_T_540, _T_541) @[Cat.scala 29:58] - node _T_543 = cat(_T_542, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_544 = cat(_T_537, _T_538) @[Cat.scala 29:58] - node _T_545 = cat(_T_544, _T_539) @[Cat.scala 29:58] - node _T_546 = cat(_T_545, _T_543) @[Cat.scala 29:58] - node _T_547 = bits(_T_546, 12, 12) @[el2_ifu_compress_ctl.scala 91:29] - node _T_548 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] - node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] - node _T_550 = mux(_T_549, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_551 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] - node _T_552 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] - node _T_553 = bits(io.in, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] - node _T_554 = bits(io.in, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] - node _T_555 = cat(_T_553, _T_554) @[Cat.scala 29:58] - node _T_556 = cat(_T_555, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_557 = cat(_T_550, _T_551) @[Cat.scala 29:58] - node _T_558 = cat(_T_557, _T_552) @[Cat.scala 29:58] - node _T_559 = cat(_T_558, _T_556) @[Cat.scala 29:58] - node _T_560 = bits(_T_559, 10, 5) @[el2_ifu_compress_ctl.scala 91:39] - node _T_561 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_562 = cat(UInt<2>("h01"), _T_561) @[Cat.scala 29:58] - node _T_563 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] - node _T_564 = bits(_T_563, 0, 0) @[Bitwise.scala 72:15] - node _T_565 = mux(_T_564, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_566 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] - node _T_567 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] - node _T_568 = bits(io.in, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] - node _T_569 = bits(io.in, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] - node _T_570 = cat(_T_568, _T_569) @[Cat.scala 29:58] - node _T_571 = cat(_T_570, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_572 = cat(_T_565, _T_566) @[Cat.scala 29:58] - node _T_573 = cat(_T_572, _T_567) @[Cat.scala 29:58] - node _T_574 = cat(_T_573, _T_571) @[Cat.scala 29:58] - node _T_575 = bits(_T_574, 4, 1) @[el2_ifu_compress_ctl.scala 91:71] - node _T_576 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] - node _T_577 = bits(_T_576, 0, 0) @[Bitwise.scala 72:15] - node _T_578 = mux(_T_577, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_579 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] - node _T_580 = bits(io.in, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] - node _T_581 = bits(io.in, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] - node _T_582 = bits(io.in, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] - node _T_583 = cat(_T_581, _T_582) @[Cat.scala 29:58] - node _T_584 = cat(_T_583, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_585 = cat(_T_578, _T_579) @[Cat.scala 29:58] - node _T_586 = cat(_T_585, _T_580) @[Cat.scala 29:58] - node _T_587 = cat(_T_586, _T_584) @[Cat.scala 29:58] - node _T_588 = bits(_T_587, 11, 11) @[el2_ifu_compress_ctl.scala 91:82] - node _T_589 = cat(_T_588, UInt<7>("h063")) @[Cat.scala 29:58] - node _T_590 = cat(UInt<3>("h01"), _T_575) @[Cat.scala 29:58] - node _T_591 = cat(_T_590, _T_589) @[Cat.scala 29:58] - node _T_592 = cat(UInt<5>("h00"), _T_562) @[Cat.scala 29:58] - node _T_593 = cat(_T_547, _T_560) @[Cat.scala 29:58] - node _T_594 = cat(_T_593, _T_592) @[Cat.scala 29:58] - node _T_595 = cat(_T_594, _T_591) @[Cat.scala 29:58] - node _T_596 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] - node _T_597 = cat(UInt<2>("h01"), _T_596) @[Cat.scala 29:58] - node _T_598 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_599 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_599.bits <= _T_595 @[el2_ifu_compress_ctl.scala 17:14] - _T_599.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 18:12] - _T_599.rs1 <= _T_597 @[el2_ifu_compress_ctl.scala 19:13] - _T_599.rs2 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 20:13] - _T_599.rs3 <= _T_598 @[el2_ifu_compress_ctl.scala 21:13] - node _T_600 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_601 = orr(_T_600) @[el2_ifu_compress_ctl.scala 108:27] - node _T_602 = mux(_T_601, UInt<7>("h03"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 108:23] - node _T_603 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 41:20] - node _T_604 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 41:27] - node _T_605 = cat(_T_603, _T_604) @[Cat.scala 29:58] - node _T_606 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_607 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_608 = cat(_T_607, UInt<7>("h013")) @[Cat.scala 29:58] - node _T_609 = cat(_T_605, _T_606) @[Cat.scala 29:58] - node _T_610 = cat(_T_609, UInt<3>("h01")) @[Cat.scala 29:58] - node _T_611 = cat(_T_610, _T_608) @[Cat.scala 29:58] - node _T_612 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_613 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_614 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_615 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_616 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_616.bits <= _T_611 @[el2_ifu_compress_ctl.scala 17:14] - _T_616.rd <= _T_612 @[el2_ifu_compress_ctl.scala 18:12] - _T_616.rs1 <= _T_613 @[el2_ifu_compress_ctl.scala 19:13] - _T_616.rs2 <= _T_614 @[el2_ifu_compress_ctl.scala 20:13] - _T_616.rs3 <= _T_615 @[el2_ifu_compress_ctl.scala 21:13] - node _T_617 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 33:22] - node _T_618 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 33:30] - node _T_619 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 33:37] - node _T_620 = cat(_T_619, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_621 = cat(_T_617, _T_618) @[Cat.scala 29:58] - node _T_622 = cat(_T_621, _T_620) @[Cat.scala 29:58] - node _T_623 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_624 = cat(_T_623, UInt<7>("h07")) @[Cat.scala 29:58] - node _T_625 = cat(_T_622, UInt<5>("h02")) @[Cat.scala 29:58] - node _T_626 = cat(_T_625, UInt<3>("h03")) @[Cat.scala 29:58] - node _T_627 = cat(_T_626, _T_624) @[Cat.scala 29:58] - node _T_628 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_629 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_630 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_631 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_631.bits <= _T_627 @[el2_ifu_compress_ctl.scala 17:14] - _T_631.rd <= _T_628 @[el2_ifu_compress_ctl.scala 18:12] - _T_631.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] - _T_631.rs2 <= _T_629 @[el2_ifu_compress_ctl.scala 20:13] - _T_631.rs3 <= _T_630 @[el2_ifu_compress_ctl.scala 21:13] - node _T_632 = bits(io.in, 3, 2) @[el2_ifu_compress_ctl.scala 32:22] - node _T_633 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 32:30] - node _T_634 = bits(io.in, 6, 4) @[el2_ifu_compress_ctl.scala 32:37] - node _T_635 = cat(_T_634, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_636 = cat(_T_632, _T_633) @[Cat.scala 29:58] - node _T_637 = cat(_T_636, _T_635) @[Cat.scala 29:58] - node _T_638 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_639 = cat(_T_638, _T_602) @[Cat.scala 29:58] - node _T_640 = cat(_T_637, UInt<5>("h02")) @[Cat.scala 29:58] - node _T_641 = cat(_T_640, UInt<3>("h02")) @[Cat.scala 29:58] - node _T_642 = cat(_T_641, _T_639) @[Cat.scala 29:58] - node _T_643 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_644 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_645 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_646 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_646.bits <= _T_642 @[el2_ifu_compress_ctl.scala 17:14] - _T_646.rd <= _T_643 @[el2_ifu_compress_ctl.scala 18:12] - _T_646.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] - _T_646.rs2 <= _T_644 @[el2_ifu_compress_ctl.scala 20:13] - _T_646.rs3 <= _T_645 @[el2_ifu_compress_ctl.scala 21:13] - node _T_647 = bits(io.in, 4, 2) @[el2_ifu_compress_ctl.scala 33:22] - node _T_648 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 33:30] - node _T_649 = bits(io.in, 6, 5) @[el2_ifu_compress_ctl.scala 33:37] - node _T_650 = cat(_T_649, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_651 = cat(_T_647, _T_648) @[Cat.scala 29:58] - node _T_652 = cat(_T_651, _T_650) @[Cat.scala 29:58] - node _T_653 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_654 = cat(_T_653, _T_602) @[Cat.scala 29:58] - node _T_655 = cat(_T_652, UInt<5>("h02")) @[Cat.scala 29:58] - node _T_656 = cat(_T_655, UInt<3>("h03")) @[Cat.scala 29:58] - node _T_657 = cat(_T_656, _T_654) @[Cat.scala 29:58] - node _T_658 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_659 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_660 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_661 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_661.bits <= _T_657 @[el2_ifu_compress_ctl.scala 17:14] - _T_661.rd <= _T_658 @[el2_ifu_compress_ctl.scala 18:12] - _T_661.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] - _T_661.rs2 <= _T_659 @[el2_ifu_compress_ctl.scala 20:13] - _T_661.rs3 <= _T_660 @[el2_ifu_compress_ctl.scala 21:13] - node _T_662 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_663 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_664 = cat(_T_663, UInt<7>("h033")) @[Cat.scala 29:58] - node _T_665 = cat(_T_662, UInt<5>("h00")) @[Cat.scala 29:58] - node _T_666 = cat(_T_665, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_667 = cat(_T_666, _T_664) @[Cat.scala 29:58] - node _T_668 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_669 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_670 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_671 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_671.bits <= _T_667 @[el2_ifu_compress_ctl.scala 17:14] - _T_671.rd <= _T_668 @[el2_ifu_compress_ctl.scala 18:12] - _T_671.rs1 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 19:13] - _T_671.rs2 <= _T_669 @[el2_ifu_compress_ctl.scala 20:13] - _T_671.rs3 <= _T_670 @[el2_ifu_compress_ctl.scala 21:13] - node _T_672 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_673 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_674 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_675 = cat(_T_674, UInt<7>("h033")) @[Cat.scala 29:58] - node _T_676 = cat(_T_672, _T_673) @[Cat.scala 29:58] - node _T_677 = cat(_T_676, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_678 = cat(_T_677, _T_675) @[Cat.scala 29:58] - node _T_679 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_680 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_681 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_682 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_683 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_683.bits <= _T_678 @[el2_ifu_compress_ctl.scala 17:14] - _T_683.rd <= _T_679 @[el2_ifu_compress_ctl.scala 18:12] - _T_683.rs1 <= _T_680 @[el2_ifu_compress_ctl.scala 19:13] - _T_683.rs2 <= _T_681 @[el2_ifu_compress_ctl.scala 20:13] - _T_683.rs3 <= _T_682 @[el2_ifu_compress_ctl.scala 21:13] - node _T_684 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_685 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_686 = cat(UInt<5>("h00"), UInt<7>("h067")) @[Cat.scala 29:58] - node _T_687 = cat(_T_684, _T_685) @[Cat.scala 29:58] - node _T_688 = cat(_T_687, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_689 = cat(_T_688, _T_686) @[Cat.scala 29:58] - node _T_690 = shr(_T_689, 7) @[el2_ifu_compress_ctl.scala 128:29] - node _T_691 = cat(_T_690, UInt<7>("h01f")) @[Cat.scala 29:58] - node _T_692 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_693 = orr(_T_692) @[el2_ifu_compress_ctl.scala 129:37] - node _T_694 = mux(_T_693, _T_689, _T_691) @[el2_ifu_compress_ctl.scala 129:33] - node _T_695 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_696 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_697 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_698 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_698.bits <= _T_694 @[el2_ifu_compress_ctl.scala 17:14] - _T_698.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 18:12] - _T_698.rs1 <= _T_695 @[el2_ifu_compress_ctl.scala 19:13] - _T_698.rs2 <= _T_696 @[el2_ifu_compress_ctl.scala 20:13] - _T_698.rs3 <= _T_697 @[el2_ifu_compress_ctl.scala 21:13] - node _T_699 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_700 = orr(_T_699) @[el2_ifu_compress_ctl.scala 130:27] - node _T_701 = mux(_T_700, _T_671, _T_698) @[el2_ifu_compress_ctl.scala 130:22] - node _T_702 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_703 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_704 = cat(UInt<5>("h01"), UInt<7>("h067")) @[Cat.scala 29:58] - node _T_705 = cat(_T_702, _T_703) @[Cat.scala 29:58] - node _T_706 = cat(_T_705, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_707 = cat(_T_706, _T_704) @[Cat.scala 29:58] - node _T_708 = shr(_T_689, 7) @[el2_ifu_compress_ctl.scala 132:27] - node _T_709 = cat(_T_708, UInt<7>("h073")) @[Cat.scala 29:58] - node _T_710 = or(_T_709, UInt<21>("h0100000")) @[el2_ifu_compress_ctl.scala 132:46] - node _T_711 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_712 = orr(_T_711) @[el2_ifu_compress_ctl.scala 133:37] - node _T_713 = mux(_T_712, _T_707, _T_710) @[el2_ifu_compress_ctl.scala 133:33] - node _T_714 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_715 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_716 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_717 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_717.bits <= _T_713 @[el2_ifu_compress_ctl.scala 17:14] - _T_717.rd <= UInt<5>("h01") @[el2_ifu_compress_ctl.scala 18:12] - _T_717.rs1 <= _T_714 @[el2_ifu_compress_ctl.scala 19:13] - _T_717.rs2 <= _T_715 @[el2_ifu_compress_ctl.scala 20:13] - _T_717.rs3 <= _T_716 @[el2_ifu_compress_ctl.scala 21:13] - node _T_718 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_719 = orr(_T_718) @[el2_ifu_compress_ctl.scala 134:30] - node _T_720 = mux(_T_719, _T_683, _T_717) @[el2_ifu_compress_ctl.scala 134:25] - node _T_721 = bits(io.in, 12, 12) @[el2_ifu_compress_ctl.scala 135:12] - node _T_722 = mux(_T_721, _T_720, _T_701) @[el2_ifu_compress_ctl.scala 135:10] - node _T_723 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 35:22] - node _T_724 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 35:30] - node _T_725 = cat(_T_723, _T_724) @[Cat.scala 29:58] - node _T_726 = cat(_T_725, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_727 = shr(_T_726, 5) @[el2_ifu_compress_ctl.scala 119:34] - node _T_728 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_729 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 35:22] - node _T_730 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 35:30] - node _T_731 = cat(_T_729, _T_730) @[Cat.scala 29:58] - node _T_732 = cat(_T_731, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_733 = bits(_T_732, 4, 0) @[el2_ifu_compress_ctl.scala 119:66] - node _T_734 = cat(UInt<3>("h03"), _T_733) @[Cat.scala 29:58] - node _T_735 = cat(_T_734, UInt<7>("h027")) @[Cat.scala 29:58] - node _T_736 = cat(_T_727, _T_728) @[Cat.scala 29:58] - node _T_737 = cat(_T_736, UInt<5>("h02")) @[Cat.scala 29:58] - node _T_738 = cat(_T_737, _T_735) @[Cat.scala 29:58] - node _T_739 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_740 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_741 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_742 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_742.bits <= _T_738 @[el2_ifu_compress_ctl.scala 17:14] - _T_742.rd <= _T_739 @[el2_ifu_compress_ctl.scala 18:12] - _T_742.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] - _T_742.rs2 <= _T_740 @[el2_ifu_compress_ctl.scala 20:13] - _T_742.rs3 <= _T_741 @[el2_ifu_compress_ctl.scala 21:13] - node _T_743 = bits(io.in, 8, 7) @[el2_ifu_compress_ctl.scala 34:22] - node _T_744 = bits(io.in, 12, 9) @[el2_ifu_compress_ctl.scala 34:30] - node _T_745 = cat(_T_743, _T_744) @[Cat.scala 29:58] - node _T_746 = cat(_T_745, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_747 = shr(_T_746, 5) @[el2_ifu_compress_ctl.scala 118:33] - node _T_748 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_749 = bits(io.in, 8, 7) @[el2_ifu_compress_ctl.scala 34:22] - node _T_750 = bits(io.in, 12, 9) @[el2_ifu_compress_ctl.scala 34:30] - node _T_751 = cat(_T_749, _T_750) @[Cat.scala 29:58] - node _T_752 = cat(_T_751, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_753 = bits(_T_752, 4, 0) @[el2_ifu_compress_ctl.scala 118:65] - node _T_754 = cat(UInt<3>("h02"), _T_753) @[Cat.scala 29:58] - node _T_755 = cat(_T_754, UInt<7>("h023")) @[Cat.scala 29:58] - node _T_756 = cat(_T_747, _T_748) @[Cat.scala 29:58] - node _T_757 = cat(_T_756, UInt<5>("h02")) @[Cat.scala 29:58] - node _T_758 = cat(_T_757, _T_755) @[Cat.scala 29:58] - node _T_759 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_760 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_761 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_762 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_762.bits <= _T_758 @[el2_ifu_compress_ctl.scala 17:14] - _T_762.rd <= _T_759 @[el2_ifu_compress_ctl.scala 18:12] - _T_762.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] - _T_762.rs2 <= _T_760 @[el2_ifu_compress_ctl.scala 20:13] - _T_762.rs3 <= _T_761 @[el2_ifu_compress_ctl.scala 21:13] - node _T_763 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 35:22] - node _T_764 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 35:30] - node _T_765 = cat(_T_763, _T_764) @[Cat.scala 29:58] - node _T_766 = cat(_T_765, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_767 = shr(_T_766, 5) @[el2_ifu_compress_ctl.scala 117:33] - node _T_768 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_769 = bits(io.in, 9, 7) @[el2_ifu_compress_ctl.scala 35:22] - node _T_770 = bits(io.in, 12, 10) @[el2_ifu_compress_ctl.scala 35:30] - node _T_771 = cat(_T_769, _T_770) @[Cat.scala 29:58] - node _T_772 = cat(_T_771, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_773 = bits(_T_772, 4, 0) @[el2_ifu_compress_ctl.scala 117:65] - node _T_774 = cat(UInt<3>("h03"), _T_773) @[Cat.scala 29:58] - node _T_775 = cat(_T_774, UInt<7>("h023")) @[Cat.scala 29:58] - node _T_776 = cat(_T_767, _T_768) @[Cat.scala 29:58] - node _T_777 = cat(_T_776, UInt<5>("h02")) @[Cat.scala 29:58] - node _T_778 = cat(_T_777, _T_775) @[Cat.scala 29:58] - node _T_779 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] - node _T_780 = bits(io.in, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] - node _T_781 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_782 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_782.bits <= _T_778 @[el2_ifu_compress_ctl.scala 17:14] - _T_782.rd <= _T_779 @[el2_ifu_compress_ctl.scala 18:12] - _T_782.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] - _T_782.rs2 <= _T_780 @[el2_ifu_compress_ctl.scala 20:13] - _T_782.rs3 <= _T_781 @[el2_ifu_compress_ctl.scala 21:13] - node _T_783 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] - node _T_784 = bits(io.in, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] - node _T_785 = bits(io.in, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] - node _T_786 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_787 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_787.bits <= io.in @[el2_ifu_compress_ctl.scala 17:14] - _T_787.rd <= _T_783 @[el2_ifu_compress_ctl.scala 18:12] - _T_787.rs1 <= _T_784 @[el2_ifu_compress_ctl.scala 19:13] - _T_787.rs2 <= _T_785 @[el2_ifu_compress_ctl.scala 20:13] - _T_787.rs3 <= _T_786 @[el2_ifu_compress_ctl.scala 21:13] - node _T_788 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] - node _T_789 = bits(io.in, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] - node _T_790 = bits(io.in, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] - node _T_791 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_792 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_792.bits <= io.in @[el2_ifu_compress_ctl.scala 17:14] - _T_792.rd <= _T_788 @[el2_ifu_compress_ctl.scala 18:12] - _T_792.rs1 <= _T_789 @[el2_ifu_compress_ctl.scala 19:13] - _T_792.rs2 <= _T_790 @[el2_ifu_compress_ctl.scala 20:13] - _T_792.rs3 <= _T_791 @[el2_ifu_compress_ctl.scala 21:13] - node _T_793 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] - node _T_794 = bits(io.in, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] - node _T_795 = bits(io.in, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] - node _T_796 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_797 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_797.bits <= io.in @[el2_ifu_compress_ctl.scala 17:14] - _T_797.rd <= _T_793 @[el2_ifu_compress_ctl.scala 18:12] - _T_797.rs1 <= _T_794 @[el2_ifu_compress_ctl.scala 19:13] - _T_797.rs2 <= _T_795 @[el2_ifu_compress_ctl.scala 20:13] - _T_797.rs3 <= _T_796 @[el2_ifu_compress_ctl.scala 21:13] - node _T_798 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] - node _T_799 = bits(io.in, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] - node _T_800 = bits(io.in, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] - node _T_801 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_802 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_802.bits <= io.in @[el2_ifu_compress_ctl.scala 17:14] - _T_802.rd <= _T_798 @[el2_ifu_compress_ctl.scala 18:12] - _T_802.rs1 <= _T_799 @[el2_ifu_compress_ctl.scala 19:13] - _T_802.rs2 <= _T_800 @[el2_ifu_compress_ctl.scala 20:13] - _T_802.rs3 <= _T_801 @[el2_ifu_compress_ctl.scala 21:13] - node _T_803 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] - node _T_804 = bits(io.in, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] - node _T_805 = bits(io.in, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] - node _T_806 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_807 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_807.bits <= io.in @[el2_ifu_compress_ctl.scala 17:14] - _T_807.rd <= _T_803 @[el2_ifu_compress_ctl.scala 18:12] - _T_807.rs1 <= _T_804 @[el2_ifu_compress_ctl.scala 19:13] - _T_807.rs2 <= _T_805 @[el2_ifu_compress_ctl.scala 20:13] - _T_807.rs3 <= _T_806 @[el2_ifu_compress_ctl.scala 21:13] - node _T_808 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] - node _T_809 = bits(io.in, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] - node _T_810 = bits(io.in, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] - node _T_811 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_812 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_812.bits <= io.in @[el2_ifu_compress_ctl.scala 17:14] - _T_812.rd <= _T_808 @[el2_ifu_compress_ctl.scala 18:12] - _T_812.rs1 <= _T_809 @[el2_ifu_compress_ctl.scala 19:13] - _T_812.rs2 <= _T_810 @[el2_ifu_compress_ctl.scala 20:13] - _T_812.rs3 <= _T_811 @[el2_ifu_compress_ctl.scala 21:13] - node _T_813 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] - node _T_814 = bits(io.in, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] - node _T_815 = bits(io.in, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] - node _T_816 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_817 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_817.bits <= io.in @[el2_ifu_compress_ctl.scala 17:14] - _T_817.rd <= _T_813 @[el2_ifu_compress_ctl.scala 18:12] - _T_817.rs1 <= _T_814 @[el2_ifu_compress_ctl.scala 19:13] - _T_817.rs2 <= _T_815 @[el2_ifu_compress_ctl.scala 20:13] - _T_817.rs3 <= _T_816 @[el2_ifu_compress_ctl.scala 21:13] - node _T_818 = bits(io.in, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] - node _T_819 = bits(io.in, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] - node _T_820 = bits(io.in, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] - node _T_821 = bits(io.in, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] - wire _T_822 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] - _T_822.bits <= io.in @[el2_ifu_compress_ctl.scala 17:14] - _T_822.rd <= _T_818 @[el2_ifu_compress_ctl.scala 18:12] - _T_822.rs1 <= _T_819 @[el2_ifu_compress_ctl.scala 19:13] - _T_822.rs2 <= _T_820 @[el2_ifu_compress_ctl.scala 20:13] - _T_822.rs3 <= _T_821 @[el2_ifu_compress_ctl.scala 21:13] - wire _T_823 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>}[32] @[el2_ifu_compress_ctl.scala 145:20] - _T_823[0].rs3 <= _T_24.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[0].rs2 <= _T_24.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[0].rs1 <= _T_24.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[0].rd <= _T_24.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[0].bits <= _T_24.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[1].rs3 <= _T_44.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[1].rs2 <= _T_44.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[1].rs1 <= _T_44.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[1].rd <= _T_44.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[1].bits <= _T_44.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[2].rs3 <= _T_66.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[2].rs2 <= _T_66.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[2].rs1 <= _T_66.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[2].rd <= _T_66.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[2].bits <= _T_66.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[3].rs3 <= _T_86.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[3].rs2 <= _T_86.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[3].rs1 <= _T_86.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[3].rd <= _T_86.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[3].bits <= _T_86.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[4].rs3 <= _T_117.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[4].rs2 <= _T_117.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[4].rs1 <= _T_117.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[4].rd <= _T_117.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[4].bits <= _T_117.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[5].rs3 <= _T_144.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[5].rs2 <= _T_144.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[5].rs1 <= _T_144.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[5].rd <= _T_144.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[5].bits <= _T_144.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[6].rs3 <= _T_175.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[6].rs2 <= _T_175.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[6].rs1 <= _T_175.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[6].rd <= _T_175.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[6].bits <= _T_175.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[7].rs3 <= _T_202.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[7].rs2 <= _T_202.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[7].rs1 <= _T_202.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[7].rd <= _T_202.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[7].bits <= _T_202.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[8].rs3 <= _T_219.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[8].rs2 <= _T_219.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[8].rs1 <= _T_219.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[8].rd <= _T_219.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[8].bits <= _T_219.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[9].rs3 <= _T_239.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[9].rs2 <= _T_239.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[9].rs1 <= _T_239.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[9].rd <= _T_239.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[9].bits <= _T_239.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[10].rs3 <= _T_254.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[10].rs2 <= _T_254.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[10].rs1 <= _T_254.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[10].rd <= _T_254.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[10].bits <= _T_254.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[11].rs3 <= _T_314.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[11].rs2 <= _T_314.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[11].rs1 <= _T_314.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[11].rd <= _T_314.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[11].bits <= _T_314.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[12].rs3 <= _T_380.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[12].rs2 <= _T_380.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[12].rs1 <= _T_380.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[12].rd <= _T_380.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[12].bits <= _T_380.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[13].rs3 <= _T_467.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[13].rs2 <= _T_467.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[13].rs1 <= _T_467.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[13].rd <= _T_467.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[13].bits <= _T_467.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[14].rs3 <= _T_534.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[14].rs2 <= _T_534.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[14].rs1 <= _T_534.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[14].rd <= _T_534.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[14].bits <= _T_534.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[15].rs3 <= _T_599.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[15].rs2 <= _T_599.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[15].rs1 <= _T_599.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[15].rd <= _T_599.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[15].bits <= _T_599.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[16].rs3 <= _T_616.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[16].rs2 <= _T_616.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[16].rs1 <= _T_616.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[16].rd <= _T_616.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[16].bits <= _T_616.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[17].rs3 <= _T_631.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[17].rs2 <= _T_631.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[17].rs1 <= _T_631.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[17].rd <= _T_631.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[17].bits <= _T_631.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[18].rs3 <= _T_646.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[18].rs2 <= _T_646.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[18].rs1 <= _T_646.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[18].rd <= _T_646.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[18].bits <= _T_646.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[19].rs3 <= _T_661.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[19].rs2 <= _T_661.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[19].rs1 <= _T_661.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[19].rd <= _T_661.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[19].bits <= _T_661.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[20].rs3 <= _T_722.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[20].rs2 <= _T_722.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[20].rs1 <= _T_722.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[20].rd <= _T_722.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[20].bits <= _T_722.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[21].rs3 <= _T_742.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[21].rs2 <= _T_742.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[21].rs1 <= _T_742.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[21].rd <= _T_742.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[21].bits <= _T_742.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[22].rs3 <= _T_762.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[22].rs2 <= _T_762.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[22].rs1 <= _T_762.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[22].rd <= _T_762.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[22].bits <= _T_762.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[23].rs3 <= _T_782.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[23].rs2 <= _T_782.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[23].rs1 <= _T_782.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[23].rd <= _T_782.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[23].bits <= _T_782.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[24].rs3 <= _T_787.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[24].rs2 <= _T_787.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[24].rs1 <= _T_787.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[24].rd <= _T_787.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[24].bits <= _T_787.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[25].rs3 <= _T_792.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[25].rs2 <= _T_792.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[25].rs1 <= _T_792.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[25].rd <= _T_792.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[25].bits <= _T_792.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[26].rs3 <= _T_797.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[26].rs2 <= _T_797.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[26].rs1 <= _T_797.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[26].rd <= _T_797.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[26].bits <= _T_797.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[27].rs3 <= _T_802.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[27].rs2 <= _T_802.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[27].rs1 <= _T_802.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[27].rd <= _T_802.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[27].bits <= _T_802.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[28].rs3 <= _T_807.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[28].rs2 <= _T_807.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[28].rs1 <= _T_807.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[28].rd <= _T_807.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[28].bits <= _T_807.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[29].rs3 <= _T_812.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[29].rs2 <= _T_812.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[29].rs1 <= _T_812.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[29].rd <= _T_812.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[29].bits <= _T_812.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[30].rs3 <= _T_817.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[30].rs2 <= _T_817.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[30].rs1 <= _T_817.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[30].rd <= _T_817.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[30].bits <= _T_817.bits @[el2_ifu_compress_ctl.scala 145:20] - _T_823[31].rs3 <= _T_822.rs3 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[31].rs2 <= _T_822.rs2 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[31].rs1 <= _T_822.rs1 @[el2_ifu_compress_ctl.scala 145:20] - _T_823[31].rd <= _T_822.rd @[el2_ifu_compress_ctl.scala 145:20] - _T_823[31].bits <= _T_822.bits @[el2_ifu_compress_ctl.scala 145:20] - node _T_824 = bits(io.in, 1, 0) @[el2_ifu_compress_ctl.scala 146:12] - node _T_825 = bits(io.in, 15, 13) @[el2_ifu_compress_ctl.scala 146:20] - node _T_826 = cat(_T_824, _T_825) @[Cat.scala 29:58] - node _T_827 = mux(_T_1, UInt<1>("h00"), _T_823[_T_826].bits) @[el2_ifu_compress_ctl.scala 195:18] - io.out <= _T_827 @[el2_ifu_compress_ctl.scala 195:12] + node _T_533 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_534 = cat(UInt<2>("h01"), _T_533) @[Cat.scala 29:58] + node _T_535 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_536 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_536.bits <= _T_530 @[el2_ifu_compress_ctl.scala 17:14] + _T_536.rd <= _T_532 @[el2_ifu_compress_ctl.scala 18:12] + _T_536.rs1 <= _T_534 @[el2_ifu_compress_ctl.scala 19:13] + _T_536.rs2 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 20:13] + _T_536.rs3 <= _T_535 @[el2_ifu_compress_ctl.scala 21:13] + node _T_537 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] + node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] + node _T_539 = mux(_T_538, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_540 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] + node _T_541 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] + node _T_542 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] + node _T_543 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] + node _T_544 = cat(_T_542, _T_543) @[Cat.scala 29:58] + node _T_545 = cat(_T_544, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_546 = cat(_T_539, _T_540) @[Cat.scala 29:58] + node _T_547 = cat(_T_546, _T_541) @[Cat.scala 29:58] + node _T_548 = cat(_T_547, _T_545) @[Cat.scala 29:58] + node _T_549 = bits(_T_548, 12, 12) @[el2_ifu_compress_ctl.scala 91:29] + node _T_550 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] + node _T_551 = bits(_T_550, 0, 0) @[Bitwise.scala 72:15] + node _T_552 = mux(_T_551, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_553 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] + node _T_554 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] + node _T_555 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] + node _T_556 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] + node _T_557 = cat(_T_555, _T_556) @[Cat.scala 29:58] + node _T_558 = cat(_T_557, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_559 = cat(_T_552, _T_553) @[Cat.scala 29:58] + node _T_560 = cat(_T_559, _T_554) @[Cat.scala 29:58] + node _T_561 = cat(_T_560, _T_558) @[Cat.scala 29:58] + node _T_562 = bits(_T_561, 10, 5) @[el2_ifu_compress_ctl.scala 91:39] + node _T_563 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_564 = cat(UInt<2>("h01"), _T_563) @[Cat.scala 29:58] + node _T_565 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] + node _T_566 = bits(_T_565, 0, 0) @[Bitwise.scala 72:15] + node _T_567 = mux(_T_566, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_568 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] + node _T_569 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] + node _T_570 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] + node _T_571 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] + node _T_572 = cat(_T_570, _T_571) @[Cat.scala 29:58] + node _T_573 = cat(_T_572, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_574 = cat(_T_567, _T_568) @[Cat.scala 29:58] + node _T_575 = cat(_T_574, _T_569) @[Cat.scala 29:58] + node _T_576 = cat(_T_575, _T_573) @[Cat.scala 29:58] + node _T_577 = bits(_T_576, 4, 1) @[el2_ifu_compress_ctl.scala 91:71] + node _T_578 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 40:27] + node _T_579 = bits(_T_578, 0, 0) @[Bitwise.scala 72:15] + node _T_580 = mux(_T_579, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_581 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 40:35] + node _T_582 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 40:43] + node _T_583 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 40:49] + node _T_584 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 40:59] + node _T_585 = cat(_T_583, _T_584) @[Cat.scala 29:58] + node _T_586 = cat(_T_585, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_587 = cat(_T_580, _T_581) @[Cat.scala 29:58] + node _T_588 = cat(_T_587, _T_582) @[Cat.scala 29:58] + node _T_589 = cat(_T_588, _T_586) @[Cat.scala 29:58] + node _T_590 = bits(_T_589, 11, 11) @[el2_ifu_compress_ctl.scala 91:82] + node _T_591 = cat(_T_590, UInt<7>("h063")) @[Cat.scala 29:58] + node _T_592 = cat(UInt<3>("h01"), _T_577) @[Cat.scala 29:58] + node _T_593 = cat(_T_592, _T_591) @[Cat.scala 29:58] + node _T_594 = cat(UInt<5>("h00"), _T_564) @[Cat.scala 29:58] + node _T_595 = cat(_T_549, _T_562) @[Cat.scala 29:58] + node _T_596 = cat(_T_595, _T_594) @[Cat.scala 29:58] + node _T_597 = cat(_T_596, _T_593) @[Cat.scala 29:58] + node _T_598 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 25:29] + node _T_599 = cat(UInt<2>("h01"), _T_598) @[Cat.scala 29:58] + node _T_600 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_601 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_601.bits <= _T_597 @[el2_ifu_compress_ctl.scala 17:14] + _T_601.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 18:12] + _T_601.rs1 <= _T_599 @[el2_ifu_compress_ctl.scala 19:13] + _T_601.rs2 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 20:13] + _T_601.rs3 <= _T_600 @[el2_ifu_compress_ctl.scala 21:13] + node _T_602 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_603 = orr(_T_602) @[el2_ifu_compress_ctl.scala 108:27] + node _T_604 = mux(_T_603, UInt<7>("h03"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 108:23] + node _T_605 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 41:20] + node _T_606 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 41:27] + node _T_607 = cat(_T_605, _T_606) @[Cat.scala 29:58] + node _T_608 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_609 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_610 = cat(_T_609, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_611 = cat(_T_607, _T_608) @[Cat.scala 29:58] + node _T_612 = cat(_T_611, UInt<3>("h01")) @[Cat.scala 29:58] + node _T_613 = cat(_T_612, _T_610) @[Cat.scala 29:58] + node _T_614 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_615 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_616 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_617 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_618 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_618.bits <= _T_613 @[el2_ifu_compress_ctl.scala 17:14] + _T_618.rd <= _T_614 @[el2_ifu_compress_ctl.scala 18:12] + _T_618.rs1 <= _T_615 @[el2_ifu_compress_ctl.scala 19:13] + _T_618.rs2 <= _T_616 @[el2_ifu_compress_ctl.scala 20:13] + _T_618.rs3 <= _T_617 @[el2_ifu_compress_ctl.scala 21:13] + node _T_619 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 33:22] + node _T_620 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 33:30] + node _T_621 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 33:37] + node _T_622 = cat(_T_621, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_623 = cat(_T_619, _T_620) @[Cat.scala 29:58] + node _T_624 = cat(_T_623, _T_622) @[Cat.scala 29:58] + node _T_625 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_626 = cat(_T_625, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_627 = cat(_T_624, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_628 = cat(_T_627, UInt<3>("h03")) @[Cat.scala 29:58] + node _T_629 = cat(_T_628, _T_626) @[Cat.scala 29:58] + node _T_630 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_631 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_632 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_633 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_633.bits <= _T_629 @[el2_ifu_compress_ctl.scala 17:14] + _T_633.rd <= _T_630 @[el2_ifu_compress_ctl.scala 18:12] + _T_633.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] + _T_633.rs2 <= _T_631 @[el2_ifu_compress_ctl.scala 20:13] + _T_633.rs3 <= _T_632 @[el2_ifu_compress_ctl.scala 21:13] + node _T_634 = bits(_T_3, 3, 2) @[el2_ifu_compress_ctl.scala 32:22] + node _T_635 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 32:30] + node _T_636 = bits(_T_3, 6, 4) @[el2_ifu_compress_ctl.scala 32:37] + node _T_637 = cat(_T_636, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_638 = cat(_T_634, _T_635) @[Cat.scala 29:58] + node _T_639 = cat(_T_638, _T_637) @[Cat.scala 29:58] + node _T_640 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_641 = cat(_T_640, _T_604) @[Cat.scala 29:58] + node _T_642 = cat(_T_639, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_643 = cat(_T_642, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_644 = cat(_T_643, _T_641) @[Cat.scala 29:58] + node _T_645 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_646 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_647 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_648 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_648.bits <= _T_644 @[el2_ifu_compress_ctl.scala 17:14] + _T_648.rd <= _T_645 @[el2_ifu_compress_ctl.scala 18:12] + _T_648.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] + _T_648.rs2 <= _T_646 @[el2_ifu_compress_ctl.scala 20:13] + _T_648.rs3 <= _T_647 @[el2_ifu_compress_ctl.scala 21:13] + node _T_649 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 33:22] + node _T_650 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 33:30] + node _T_651 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 33:37] + node _T_652 = cat(_T_651, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_653 = cat(_T_649, _T_650) @[Cat.scala 29:58] + node _T_654 = cat(_T_653, _T_652) @[Cat.scala 29:58] + node _T_655 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_656 = cat(_T_655, _T_604) @[Cat.scala 29:58] + node _T_657 = cat(_T_654, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_658 = cat(_T_657, UInt<3>("h03")) @[Cat.scala 29:58] + node _T_659 = cat(_T_658, _T_656) @[Cat.scala 29:58] + node _T_660 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_661 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_662 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_663 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_663.bits <= _T_659 @[el2_ifu_compress_ctl.scala 17:14] + _T_663.rd <= _T_660 @[el2_ifu_compress_ctl.scala 18:12] + _T_663.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] + _T_663.rs2 <= _T_661 @[el2_ifu_compress_ctl.scala 20:13] + _T_663.rs3 <= _T_662 @[el2_ifu_compress_ctl.scala 21:13] + node _T_664 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_665 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_666 = cat(_T_665, UInt<7>("h033")) @[Cat.scala 29:58] + node _T_667 = cat(_T_664, UInt<5>("h00")) @[Cat.scala 29:58] + node _T_668 = cat(_T_667, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_669 = cat(_T_668, _T_666) @[Cat.scala 29:58] + node _T_670 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_671 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_672 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_673 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_673.bits <= _T_669 @[el2_ifu_compress_ctl.scala 17:14] + _T_673.rd <= _T_670 @[el2_ifu_compress_ctl.scala 18:12] + _T_673.rs1 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 19:13] + _T_673.rs2 <= _T_671 @[el2_ifu_compress_ctl.scala 20:13] + _T_673.rs3 <= _T_672 @[el2_ifu_compress_ctl.scala 21:13] + node _T_674 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_675 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_676 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_677 = cat(_T_676, UInt<7>("h033")) @[Cat.scala 29:58] + node _T_678 = cat(_T_674, _T_675) @[Cat.scala 29:58] + node _T_679 = cat(_T_678, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_680 = cat(_T_679, _T_677) @[Cat.scala 29:58] + node _T_681 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_682 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_683 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_684 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_685 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_685.bits <= _T_680 @[el2_ifu_compress_ctl.scala 17:14] + _T_685.rd <= _T_681 @[el2_ifu_compress_ctl.scala 18:12] + _T_685.rs1 <= _T_682 @[el2_ifu_compress_ctl.scala 19:13] + _T_685.rs2 <= _T_683 @[el2_ifu_compress_ctl.scala 20:13] + _T_685.rs3 <= _T_684 @[el2_ifu_compress_ctl.scala 21:13] + node _T_686 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_687 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_688 = cat(UInt<5>("h00"), UInt<7>("h067")) @[Cat.scala 29:58] + node _T_689 = cat(_T_686, _T_687) @[Cat.scala 29:58] + node _T_690 = cat(_T_689, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_691 = cat(_T_690, _T_688) @[Cat.scala 29:58] + node _T_692 = shr(_T_691, 7) @[el2_ifu_compress_ctl.scala 128:29] + node _T_693 = cat(_T_692, UInt<7>("h01f")) @[Cat.scala 29:58] + node _T_694 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_695 = orr(_T_694) @[el2_ifu_compress_ctl.scala 129:37] + node _T_696 = mux(_T_695, _T_691, _T_693) @[el2_ifu_compress_ctl.scala 129:33] + node _T_697 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_698 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_699 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_700 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_700.bits <= _T_696 @[el2_ifu_compress_ctl.scala 17:14] + _T_700.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 18:12] + _T_700.rs1 <= _T_697 @[el2_ifu_compress_ctl.scala 19:13] + _T_700.rs2 <= _T_698 @[el2_ifu_compress_ctl.scala 20:13] + _T_700.rs3 <= _T_699 @[el2_ifu_compress_ctl.scala 21:13] + node _T_701 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_702 = orr(_T_701) @[el2_ifu_compress_ctl.scala 130:27] + node _T_703 = mux(_T_702, _T_673, _T_700) @[el2_ifu_compress_ctl.scala 130:22] + node _T_704 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_705 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_706 = cat(UInt<5>("h01"), UInt<7>("h067")) @[Cat.scala 29:58] + node _T_707 = cat(_T_704, _T_705) @[Cat.scala 29:58] + node _T_708 = cat(_T_707, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_709 = cat(_T_708, _T_706) @[Cat.scala 29:58] + node _T_710 = shr(_T_691, 7) @[el2_ifu_compress_ctl.scala 132:27] + node _T_711 = cat(_T_710, UInt<7>("h073")) @[Cat.scala 29:58] + node _T_712 = or(_T_711, UInt<21>("h0100000")) @[el2_ifu_compress_ctl.scala 132:46] + node _T_713 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_714 = orr(_T_713) @[el2_ifu_compress_ctl.scala 133:37] + node _T_715 = mux(_T_714, _T_709, _T_712) @[el2_ifu_compress_ctl.scala 133:33] + node _T_716 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_717 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_718 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_719 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_719.bits <= _T_715 @[el2_ifu_compress_ctl.scala 17:14] + _T_719.rd <= UInt<5>("h01") @[el2_ifu_compress_ctl.scala 18:12] + _T_719.rs1 <= _T_716 @[el2_ifu_compress_ctl.scala 19:13] + _T_719.rs2 <= _T_717 @[el2_ifu_compress_ctl.scala 20:13] + _T_719.rs3 <= _T_718 @[el2_ifu_compress_ctl.scala 21:13] + node _T_720 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_721 = orr(_T_720) @[el2_ifu_compress_ctl.scala 134:30] + node _T_722 = mux(_T_721, _T_685, _T_719) @[el2_ifu_compress_ctl.scala 134:25] + node _T_723 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 135:12] + node _T_724 = mux(_T_723, _T_722, _T_703) @[el2_ifu_compress_ctl.scala 135:10] + node _T_725 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 35:22] + node _T_726 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 35:30] + node _T_727 = cat(_T_725, _T_726) @[Cat.scala 29:58] + node _T_728 = cat(_T_727, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_729 = shr(_T_728, 5) @[el2_ifu_compress_ctl.scala 119:34] + node _T_730 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_731 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 35:22] + node _T_732 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 35:30] + node _T_733 = cat(_T_731, _T_732) @[Cat.scala 29:58] + node _T_734 = cat(_T_733, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_735 = bits(_T_734, 4, 0) @[el2_ifu_compress_ctl.scala 119:66] + node _T_736 = cat(UInt<3>("h03"), _T_735) @[Cat.scala 29:58] + node _T_737 = cat(_T_736, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_738 = cat(_T_729, _T_730) @[Cat.scala 29:58] + node _T_739 = cat(_T_738, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_740 = cat(_T_739, _T_737) @[Cat.scala 29:58] + node _T_741 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_742 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_743 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_744 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_744.bits <= _T_740 @[el2_ifu_compress_ctl.scala 17:14] + _T_744.rd <= _T_741 @[el2_ifu_compress_ctl.scala 18:12] + _T_744.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] + _T_744.rs2 <= _T_742 @[el2_ifu_compress_ctl.scala 20:13] + _T_744.rs3 <= _T_743 @[el2_ifu_compress_ctl.scala 21:13] + node _T_745 = bits(_T_3, 8, 7) @[el2_ifu_compress_ctl.scala 34:22] + node _T_746 = bits(_T_3, 12, 9) @[el2_ifu_compress_ctl.scala 34:30] + node _T_747 = cat(_T_745, _T_746) @[Cat.scala 29:58] + node _T_748 = cat(_T_747, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_749 = shr(_T_748, 5) @[el2_ifu_compress_ctl.scala 118:33] + node _T_750 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_751 = bits(_T_3, 8, 7) @[el2_ifu_compress_ctl.scala 34:22] + node _T_752 = bits(_T_3, 12, 9) @[el2_ifu_compress_ctl.scala 34:30] + node _T_753 = cat(_T_751, _T_752) @[Cat.scala 29:58] + node _T_754 = cat(_T_753, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_755 = bits(_T_754, 4, 0) @[el2_ifu_compress_ctl.scala 118:65] + node _T_756 = cat(UInt<3>("h02"), _T_755) @[Cat.scala 29:58] + node _T_757 = cat(_T_756, UInt<7>("h023")) @[Cat.scala 29:58] + node _T_758 = cat(_T_749, _T_750) @[Cat.scala 29:58] + node _T_759 = cat(_T_758, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_760 = cat(_T_759, _T_757) @[Cat.scala 29:58] + node _T_761 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_762 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_763 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_764 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_764.bits <= _T_760 @[el2_ifu_compress_ctl.scala 17:14] + _T_764.rd <= _T_761 @[el2_ifu_compress_ctl.scala 18:12] + _T_764.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] + _T_764.rs2 <= _T_762 @[el2_ifu_compress_ctl.scala 20:13] + _T_764.rs3 <= _T_763 @[el2_ifu_compress_ctl.scala 21:13] + node _T_765 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 35:22] + node _T_766 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 35:30] + node _T_767 = cat(_T_765, _T_766) @[Cat.scala 29:58] + node _T_768 = cat(_T_767, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_769 = shr(_T_768, 5) @[el2_ifu_compress_ctl.scala 117:33] + node _T_770 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_771 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 35:22] + node _T_772 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 35:30] + node _T_773 = cat(_T_771, _T_772) @[Cat.scala 29:58] + node _T_774 = cat(_T_773, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_775 = bits(_T_774, 4, 0) @[el2_ifu_compress_ctl.scala 117:65] + node _T_776 = cat(UInt<3>("h03"), _T_775) @[Cat.scala 29:58] + node _T_777 = cat(_T_776, UInt<7>("h023")) @[Cat.scala 29:58] + node _T_778 = cat(_T_769, _T_770) @[Cat.scala 29:58] + node _T_779 = cat(_T_778, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_780 = cat(_T_779, _T_777) @[Cat.scala 29:58] + node _T_781 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 28:13] + node _T_782 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 27:14] + node _T_783 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_784 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_784.bits <= _T_780 @[el2_ifu_compress_ctl.scala 17:14] + _T_784.rd <= _T_781 @[el2_ifu_compress_ctl.scala 18:12] + _T_784.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 19:13] + _T_784.rs2 <= _T_782 @[el2_ifu_compress_ctl.scala 20:13] + _T_784.rs3 <= _T_783 @[el2_ifu_compress_ctl.scala 21:13] + node _T_785 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] + node _T_786 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] + node _T_787 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] + node _T_788 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_789 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_789.bits <= _T_3 @[el2_ifu_compress_ctl.scala 17:14] + _T_789.rd <= _T_785 @[el2_ifu_compress_ctl.scala 18:12] + _T_789.rs1 <= _T_786 @[el2_ifu_compress_ctl.scala 19:13] + _T_789.rs2 <= _T_787 @[el2_ifu_compress_ctl.scala 20:13] + _T_789.rs3 <= _T_788 @[el2_ifu_compress_ctl.scala 21:13] + node _T_790 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] + node _T_791 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] + node _T_792 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] + node _T_793 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_794 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_794.bits <= _T_3 @[el2_ifu_compress_ctl.scala 17:14] + _T_794.rd <= _T_790 @[el2_ifu_compress_ctl.scala 18:12] + _T_794.rs1 <= _T_791 @[el2_ifu_compress_ctl.scala 19:13] + _T_794.rs2 <= _T_792 @[el2_ifu_compress_ctl.scala 20:13] + _T_794.rs3 <= _T_793 @[el2_ifu_compress_ctl.scala 21:13] + node _T_795 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] + node _T_796 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] + node _T_797 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] + node _T_798 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_799 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_799.bits <= _T_3 @[el2_ifu_compress_ctl.scala 17:14] + _T_799.rd <= _T_795 @[el2_ifu_compress_ctl.scala 18:12] + _T_799.rs1 <= _T_796 @[el2_ifu_compress_ctl.scala 19:13] + _T_799.rs2 <= _T_797 @[el2_ifu_compress_ctl.scala 20:13] + _T_799.rs3 <= _T_798 @[el2_ifu_compress_ctl.scala 21:13] + node _T_800 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] + node _T_801 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] + node _T_802 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] + node _T_803 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_804 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_804.bits <= _T_3 @[el2_ifu_compress_ctl.scala 17:14] + _T_804.rd <= _T_800 @[el2_ifu_compress_ctl.scala 18:12] + _T_804.rs1 <= _T_801 @[el2_ifu_compress_ctl.scala 19:13] + _T_804.rs2 <= _T_802 @[el2_ifu_compress_ctl.scala 20:13] + _T_804.rs3 <= _T_803 @[el2_ifu_compress_ctl.scala 21:13] + node _T_805 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] + node _T_806 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] + node _T_807 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] + node _T_808 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_809 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_809.bits <= _T_3 @[el2_ifu_compress_ctl.scala 17:14] + _T_809.rd <= _T_805 @[el2_ifu_compress_ctl.scala 18:12] + _T_809.rs1 <= _T_806 @[el2_ifu_compress_ctl.scala 19:13] + _T_809.rs2 <= _T_807 @[el2_ifu_compress_ctl.scala 20:13] + _T_809.rs3 <= _T_808 @[el2_ifu_compress_ctl.scala 21:13] + node _T_810 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] + node _T_811 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] + node _T_812 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] + node _T_813 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_814 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_814.bits <= _T_3 @[el2_ifu_compress_ctl.scala 17:14] + _T_814.rd <= _T_810 @[el2_ifu_compress_ctl.scala 18:12] + _T_814.rs1 <= _T_811 @[el2_ifu_compress_ctl.scala 19:13] + _T_814.rs2 <= _T_812 @[el2_ifu_compress_ctl.scala 20:13] + _T_814.rs3 <= _T_813 @[el2_ifu_compress_ctl.scala 21:13] + node _T_815 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] + node _T_816 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] + node _T_817 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] + node _T_818 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_819 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_819.bits <= _T_3 @[el2_ifu_compress_ctl.scala 17:14] + _T_819.rd <= _T_815 @[el2_ifu_compress_ctl.scala 18:12] + _T_819.rs1 <= _T_816 @[el2_ifu_compress_ctl.scala 19:13] + _T_819.rs2 <= _T_817 @[el2_ifu_compress_ctl.scala 20:13] + _T_819.rs3 <= _T_818 @[el2_ifu_compress_ctl.scala 21:13] + node _T_820 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 15:36] + node _T_821 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 15:57] + node _T_822 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 15:79] + node _T_823 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 15:101] + wire _T_824 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 16:19] + _T_824.bits <= _T_3 @[el2_ifu_compress_ctl.scala 17:14] + _T_824.rd <= _T_820 @[el2_ifu_compress_ctl.scala 18:12] + _T_824.rs1 <= _T_821 @[el2_ifu_compress_ctl.scala 19:13] + _T_824.rs2 <= _T_822 @[el2_ifu_compress_ctl.scala 20:13] + _T_824.rs3 <= _T_823 @[el2_ifu_compress_ctl.scala 21:13] + wire _T_825 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>}[32] @[el2_ifu_compress_ctl.scala 145:20] + _T_825[0].rs3 <= _T_26.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[0].rs2 <= _T_26.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[0].rs1 <= _T_26.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[0].rd <= _T_26.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[0].bits <= _T_26.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[1].rs3 <= _T_46.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[1].rs2 <= _T_46.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[1].rs1 <= _T_46.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[1].rd <= _T_46.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[1].bits <= _T_46.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[2].rs3 <= _T_68.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[2].rs2 <= _T_68.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[2].rs1 <= _T_68.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[2].rd <= _T_68.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[2].bits <= _T_68.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[3].rs3 <= _T_88.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[3].rs2 <= _T_88.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[3].rs1 <= _T_88.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[3].rd <= _T_88.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[3].bits <= _T_88.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[4].rs3 <= _T_119.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[4].rs2 <= _T_119.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[4].rs1 <= _T_119.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[4].rd <= _T_119.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[4].bits <= _T_119.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[5].rs3 <= _T_146.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[5].rs2 <= _T_146.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[5].rs1 <= _T_146.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[5].rd <= _T_146.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[5].bits <= _T_146.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[6].rs3 <= _T_177.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[6].rs2 <= _T_177.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[6].rs1 <= _T_177.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[6].rd <= _T_177.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[6].bits <= _T_177.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[7].rs3 <= _T_204.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[7].rs2 <= _T_204.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[7].rs1 <= _T_204.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[7].rd <= _T_204.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[7].bits <= _T_204.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[8].rs3 <= _T_221.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[8].rs2 <= _T_221.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[8].rs1 <= _T_221.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[8].rd <= _T_221.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[8].bits <= _T_221.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[9].rs3 <= _T_241.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[9].rs2 <= _T_241.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[9].rs1 <= _T_241.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[9].rd <= _T_241.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[9].bits <= _T_241.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[10].rs3 <= _T_256.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[10].rs2 <= _T_256.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[10].rs1 <= _T_256.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[10].rd <= _T_256.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[10].bits <= _T_256.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[11].rs3 <= _T_316.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[11].rs2 <= _T_316.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[11].rs1 <= _T_316.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[11].rd <= _T_316.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[11].bits <= _T_316.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[12].rs3 <= _T_382.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[12].rs2 <= _T_382.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[12].rs1 <= _T_382.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[12].rd <= _T_382.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[12].bits <= _T_382.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[13].rs3 <= _T_469.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[13].rs2 <= _T_469.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[13].rs1 <= _T_469.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[13].rd <= _T_469.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[13].bits <= _T_469.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[14].rs3 <= _T_536.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[14].rs2 <= _T_536.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[14].rs1 <= _T_536.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[14].rd <= _T_536.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[14].bits <= _T_536.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[15].rs3 <= _T_601.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[15].rs2 <= _T_601.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[15].rs1 <= _T_601.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[15].rd <= _T_601.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[15].bits <= _T_601.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[16].rs3 <= _T_618.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[16].rs2 <= _T_618.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[16].rs1 <= _T_618.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[16].rd <= _T_618.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[16].bits <= _T_618.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[17].rs3 <= _T_633.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[17].rs2 <= _T_633.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[17].rs1 <= _T_633.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[17].rd <= _T_633.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[17].bits <= _T_633.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[18].rs3 <= _T_648.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[18].rs2 <= _T_648.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[18].rs1 <= _T_648.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[18].rd <= _T_648.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[18].bits <= _T_648.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[19].rs3 <= _T_663.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[19].rs2 <= _T_663.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[19].rs1 <= _T_663.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[19].rd <= _T_663.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[19].bits <= _T_663.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[20].rs3 <= _T_724.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[20].rs2 <= _T_724.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[20].rs1 <= _T_724.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[20].rd <= _T_724.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[20].bits <= _T_724.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[21].rs3 <= _T_744.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[21].rs2 <= _T_744.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[21].rs1 <= _T_744.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[21].rd <= _T_744.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[21].bits <= _T_744.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[22].rs3 <= _T_764.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[22].rs2 <= _T_764.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[22].rs1 <= _T_764.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[22].rd <= _T_764.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[22].bits <= _T_764.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[23].rs3 <= _T_784.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[23].rs2 <= _T_784.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[23].rs1 <= _T_784.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[23].rd <= _T_784.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[23].bits <= _T_784.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[24].rs3 <= _T_789.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[24].rs2 <= _T_789.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[24].rs1 <= _T_789.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[24].rd <= _T_789.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[24].bits <= _T_789.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[25].rs3 <= _T_794.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[25].rs2 <= _T_794.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[25].rs1 <= _T_794.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[25].rd <= _T_794.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[25].bits <= _T_794.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[26].rs3 <= _T_799.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[26].rs2 <= _T_799.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[26].rs1 <= _T_799.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[26].rd <= _T_799.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[26].bits <= _T_799.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[27].rs3 <= _T_804.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[27].rs2 <= _T_804.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[27].rs1 <= _T_804.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[27].rd <= _T_804.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[27].bits <= _T_804.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[28].rs3 <= _T_809.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[28].rs2 <= _T_809.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[28].rs1 <= _T_809.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[28].rd <= _T_809.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[28].bits <= _T_809.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[29].rs3 <= _T_814.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[29].rs2 <= _T_814.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[29].rs1 <= _T_814.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[29].rd <= _T_814.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[29].bits <= _T_814.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[30].rs3 <= _T_819.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[30].rs2 <= _T_819.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[30].rs1 <= _T_819.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[30].rd <= _T_819.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[30].bits <= _T_819.bits @[el2_ifu_compress_ctl.scala 145:20] + _T_825[31].rs3 <= _T_824.rs3 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[31].rs2 <= _T_824.rs2 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[31].rs1 <= _T_824.rs1 @[el2_ifu_compress_ctl.scala 145:20] + _T_825[31].rd <= _T_824.rd @[el2_ifu_compress_ctl.scala 145:20] + _T_825[31].bits <= _T_824.bits @[el2_ifu_compress_ctl.scala 145:20] + node _T_826 = bits(_T_3, 1, 0) @[el2_ifu_compress_ctl.scala 146:12] + node _T_827 = bits(_T_3, 15, 13) @[el2_ifu_compress_ctl.scala 146:20] + node _T_828 = cat(_T_826, _T_827) @[Cat.scala 29:58] + node _T_829 = mux(_T_1, UInt<1>("h00"), _T_825[_T_828].bits) @[el2_ifu_compress_ctl.scala 195:18] + io.out <= _T_829 @[el2_ifu_compress_ctl.scala 195:12] diff --git a/el2_ifu_compress_ctl.v b/el2_ifu_compress_ctl.v index 0c7b4bce..10d78e4d 100644 --- a/el2_ifu_compress_ctl.v +++ b/el2_ifu_compress_ctl.v @@ -1,145 +1,146 @@ module el2_ifu_compress_ctl( input clock, input reset, - input [31:0] io_in, + input [15:0] io_in, output [31:0] io_out ); wire _T_1 = io_in[1:0] != 2'h3; // @[el2_ifu_compress_ctl.scala 192:26] - wire _T_3 = |io_in[12:5]; // @[el2_ifu_compress_ctl.scala 48:29] - wire [6:0] _T_4 = _T_3 ? 7'h13 : 7'h1f; // @[el2_ifu_compress_ctl.scala 48:20] - wire [29:0] _T_18 = {io_in[10:7],io_in[12:11],io_in[5],io_in[6],2'h0,5'h2,3'h0,2'h1,io_in[4:2],_T_4}; // @[Cat.scala 29:58] - wire [7:0] _T_28 = {io_in[6:5],io_in[12:10],3'h0}; // @[Cat.scala 29:58] - wire [27:0] _T_36 = {io_in[6:5],io_in[12:10],3'h0,2'h1,io_in[9:7],3'h3,2'h1,io_in[4:2],7'h7}; // @[Cat.scala 29:58] - wire [6:0] _T_50 = {io_in[5],io_in[12:10],io_in[6],2'h0}; // @[Cat.scala 29:58] - wire [26:0] _T_58 = {io_in[5],io_in[12:10],io_in[6],2'h0,2'h1,io_in[9:7],3'h2,2'h1,io_in[4:2],7'h3}; // @[Cat.scala 29:58] - wire [27:0] _T_78 = {io_in[6:5],io_in[12:10],3'h0,2'h1,io_in[9:7],3'h3,2'h1,io_in[4:2],7'h3}; // @[Cat.scala 29:58] - wire [26:0] _T_109 = {_T_50[6:5],2'h1,io_in[4:2],2'h1,io_in[9:7],3'h2,_T_50[4:0],7'h3f}; // @[Cat.scala 29:58] - wire [27:0] _T_136 = {_T_28[7:5],2'h1,io_in[4:2],2'h1,io_in[9:7],3'h3,_T_28[4:0],7'h27}; // @[Cat.scala 29:58] - wire [26:0] _T_167 = {_T_50[6:5],2'h1,io_in[4:2],2'h1,io_in[9:7],3'h2,_T_50[4:0],7'h23}; // @[Cat.scala 29:58] - wire [27:0] _T_194 = {_T_28[7:5],2'h1,io_in[4:2],2'h1,io_in[9:7],3'h3,_T_28[4:0],7'h23}; // @[Cat.scala 29:58] - wire [6:0] _T_205 = io_in[12] ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] - wire [11:0] _T_207 = {_T_205,io_in[6:2]}; // @[Cat.scala 29:58] - wire [31:0] _T_213 = {_T_205,io_in[6:2],io_in[11:7],3'h0,io_in[11:7],7'h13}; // @[Cat.scala 29:58] - wire _T_221 = |io_in[11:7]; // @[el2_ifu_compress_ctl.scala 72:24] - wire [6:0] _T_222 = _T_221 ? 7'h1b : 7'h1f; // @[el2_ifu_compress_ctl.scala 72:20] - wire [31:0] _T_233 = {_T_205,io_in[6:2],io_in[11:7],3'h0,io_in[11:7],_T_222}; // @[Cat.scala 29:58] - wire [31:0] _T_249 = {_T_205,io_in[6:2],5'h0,3'h0,io_in[11:7],7'h13}; // @[Cat.scala 29:58] - wire _T_260 = |_T_207; // @[el2_ifu_compress_ctl.scala 85:29] - wire [6:0] _T_261 = _T_260 ? 7'h37 : 7'h3f; // @[el2_ifu_compress_ctl.scala 85:20] - wire [14:0] _T_264 = io_in[12] ? 15'h7fff : 15'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_267 = {_T_264,io_in[6:2],12'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_271 = {_T_267[31:12],io_in[11:7],_T_261}; // @[Cat.scala 29:58] - wire _T_279 = io_in[11:7] == 5'h0; // @[el2_ifu_compress_ctl.scala 87:14] - wire _T_281 = io_in[11:7] == 5'h2; // @[el2_ifu_compress_ctl.scala 87:27] - wire _T_282 = _T_279 | _T_281; // @[el2_ifu_compress_ctl.scala 87:21] - wire [6:0] _T_289 = _T_260 ? 7'h13 : 7'h1f; // @[el2_ifu_compress_ctl.scala 81:20] - wire [2:0] _T_292 = io_in[12] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_307 = {_T_292,io_in[4:3],io_in[5],io_in[2],io_in[6],4'h0,io_in[11:7],3'h0,io_in[11:7],_T_289}; // @[Cat.scala 29:58] - wire [31:0] _T_314_bits = _T_282 ? _T_307 : _T_271; // @[el2_ifu_compress_ctl.scala 87:10] - wire [25:0] _T_325 = {io_in[12],io_in[6:2],2'h1,io_in[9:7],3'h5,2'h1,io_in[9:7],7'h13}; // @[Cat.scala 29:58] - wire [30:0] _GEN_172 = {{5'd0}, _T_325}; // @[el2_ifu_compress_ctl.scala 94:23] - wire [30:0] _T_337 = _GEN_172 | 31'h40000000; // @[el2_ifu_compress_ctl.scala 94:23] - wire [31:0] _T_350 = {_T_205,io_in[6:2],2'h1,io_in[9:7],3'h7,2'h1,io_in[9:7],7'h13}; // @[Cat.scala 29:58] - wire [2:0] _T_354 = {io_in[12],io_in[6:5]}; // @[Cat.scala 29:58] - wire _T_356 = io_in[6:5] == 2'h0; // @[el2_ifu_compress_ctl.scala 98:30] - wire [30:0] _T_357 = _T_356 ? 31'h40000000 : 31'h0; // @[el2_ifu_compress_ctl.scala 98:22] - wire [6:0] _T_359 = io_in[12] ? 7'h3b : 7'h33; // @[el2_ifu_compress_ctl.scala 99:22] - wire [2:0] _GEN_1 = 3'h1 == _T_354 ? 3'h4 : 3'h0; // @[Cat.scala 29:58] - wire [2:0] _GEN_2 = 3'h2 == _T_354 ? 3'h6 : _GEN_1; // @[Cat.scala 29:58] - wire [2:0] _GEN_3 = 3'h3 == _T_354 ? 3'h7 : _GEN_2; // @[Cat.scala 29:58] - wire [2:0] _GEN_4 = 3'h4 == _T_354 ? 3'h0 : _GEN_3; // @[Cat.scala 29:58] - wire [2:0] _GEN_5 = 3'h5 == _T_354 ? 3'h0 : _GEN_4; // @[Cat.scala 29:58] - wire [2:0] _GEN_6 = 3'h6 == _T_354 ? 3'h2 : _GEN_5; // @[Cat.scala 29:58] - wire [2:0] _GEN_7 = 3'h7 == _T_354 ? 3'h3 : _GEN_6; // @[Cat.scala 29:58] - wire [24:0] _T_369 = {2'h1,io_in[4:2],2'h1,io_in[9:7],_GEN_7,2'h1,io_in[9:7],_T_359}; // @[Cat.scala 29:58] - wire [30:0] _GEN_173 = {{6'd0}, _T_369}; // @[el2_ifu_compress_ctl.scala 100:43] - wire [30:0] _T_370 = _GEN_173 | _T_357; // @[el2_ifu_compress_ctl.scala 100:43] - wire [31:0] _T_371_0 = {{6'd0}, _T_325}; // @[el2_ifu_compress_ctl.scala 102:19 el2_ifu_compress_ctl.scala 102:19] - wire [31:0] _T_371_1 = {{1'd0}, _T_337}; // @[el2_ifu_compress_ctl.scala 102:19 el2_ifu_compress_ctl.scala 102:19] - wire [31:0] _GEN_9 = 2'h1 == io_in[11:10] ? _T_371_1 : _T_371_0; // @[el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_10 = 2'h2 == io_in[11:10] ? _T_350 : _GEN_9; // @[el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _T_371_3 = {{1'd0}, _T_370}; // @[el2_ifu_compress_ctl.scala 102:19 el2_ifu_compress_ctl.scala 102:19] - wire [31:0] _GEN_11 = 2'h3 == io_in[11:10] ? _T_371_3 : _GEN_10; // @[el2_ifu_compress_ctl.scala 17:14] - wire [9:0] _T_383 = io_in[12] ? 10'h3ff : 10'h0; // @[Bitwise.scala 72:12] - wire [20:0] _T_398 = {_T_383,io_in[8],io_in[10:9],io_in[6],io_in[7],io_in[2],io_in[11],io_in[5:3],1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_461 = {_T_398[20],_T_398[10:1],_T_398[11],_T_398[19:12],5'h0,7'h6f}; // @[Cat.scala 29:58] - wire [4:0] _T_470 = io_in[12] ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [12:0] _T_479 = {_T_470,io_in[6:5],io_in[2],io_in[11:10],io_in[4:3],1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_528 = {_T_479[12],_T_479[10:5],5'h0,2'h1,io_in[9:7],3'h0,_T_479[4:1],_T_479[11],7'h63}; // @[Cat.scala 29:58] - wire [31:0] _T_595 = {_T_479[12],_T_479[10:5],5'h0,2'h1,io_in[9:7],3'h1,_T_479[4:1],_T_479[11],7'h63}; // @[Cat.scala 29:58] - wire [6:0] _T_602 = _T_221 ? 7'h3 : 7'h1f; // @[el2_ifu_compress_ctl.scala 108:23] - wire [25:0] _T_611 = {io_in[12],io_in[6:2],io_in[11:7],3'h1,io_in[11:7],7'h13}; // @[Cat.scala 29:58] - wire [28:0] _T_627 = {io_in[4:2],io_in[12],io_in[6:5],3'h0,5'h2,3'h3,io_in[11:7],7'h7}; // @[Cat.scala 29:58] - wire [27:0] _T_642 = {io_in[3:2],io_in[12],io_in[6:4],2'h0,5'h2,3'h2,io_in[11:7],_T_602}; // @[Cat.scala 29:58] - wire [28:0] _T_657 = {io_in[4:2],io_in[12],io_in[6:5],3'h0,5'h2,3'h3,io_in[11:7],_T_602}; // @[Cat.scala 29:58] - wire [24:0] _T_667 = {io_in[6:2],5'h0,3'h0,io_in[11:7],7'h33}; // @[Cat.scala 29:58] - wire [24:0] _T_678 = {io_in[6:2],io_in[11:7],3'h0,io_in[11:7],7'h33}; // @[Cat.scala 29:58] - wire [24:0] _T_689 = {io_in[6:2],io_in[11:7],3'h0,12'h67}; // @[Cat.scala 29:58] - wire [24:0] _T_691 = {_T_689[24:7],7'h1f}; // @[Cat.scala 29:58] - wire [24:0] _T_694 = _T_221 ? _T_689 : _T_691; // @[el2_ifu_compress_ctl.scala 129:33] - wire _T_700 = |io_in[6:2]; // @[el2_ifu_compress_ctl.scala 130:27] - wire [31:0] _T_671_bits = {{7'd0}, _T_667}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _T_698_bits = {{7'd0}, _T_694}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _T_701_bits = _T_700 ? _T_671_bits : _T_698_bits; // @[el2_ifu_compress_ctl.scala 130:22] - wire [24:0] _T_707 = {io_in[6:2],io_in[11:7],3'h0,12'he7}; // @[Cat.scala 29:58] - wire [24:0] _T_709 = {_T_689[24:7],7'h73}; // @[Cat.scala 29:58] - wire [24:0] _T_710 = _T_709 | 25'h100000; // @[el2_ifu_compress_ctl.scala 132:46] - wire [24:0] _T_713 = _T_221 ? _T_707 : _T_710; // @[el2_ifu_compress_ctl.scala 133:33] - wire [31:0] _T_683_bits = {{7'd0}, _T_678}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _T_717_bits = {{7'd0}, _T_713}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _T_720_bits = _T_700 ? _T_683_bits : _T_717_bits; // @[el2_ifu_compress_ctl.scala 134:25] - wire [31:0] _T_722_bits = io_in[12] ? _T_720_bits : _T_701_bits; // @[el2_ifu_compress_ctl.scala 135:10] - wire [8:0] _T_726 = {io_in[9:7],io_in[12:10],3'h0}; // @[Cat.scala 29:58] - wire [28:0] _T_738 = {_T_726[8:5],io_in[6:2],5'h2,3'h3,_T_726[4:0],7'h27}; // @[Cat.scala 29:58] - wire [7:0] _T_746 = {io_in[8:7],io_in[12:9],2'h0}; // @[Cat.scala 29:58] - wire [27:0] _T_758 = {_T_746[7:5],io_in[6:2],5'h2,3'h2,_T_746[4:0],7'h23}; // @[Cat.scala 29:58] - wire [28:0] _T_778 = {_T_726[8:5],io_in[6:2],5'h2,3'h3,_T_726[4:0],7'h23}; // @[Cat.scala 29:58] - wire [4:0] _T_826 = {io_in[1:0],io_in[15:13]}; // @[Cat.scala 29:58] - wire [31:0] _T_24_bits = {{2'd0}, _T_18}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _T_44_bits = {{4'd0}, _T_36}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_17 = 5'h1 == _T_826 ? _T_44_bits : _T_24_bits; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_66_bits = {{5'd0}, _T_58}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_22 = 5'h2 == _T_826 ? _T_66_bits : _GEN_17; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_86_bits = {{4'd0}, _T_78}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_27 = 5'h3 == _T_826 ? _T_86_bits : _GEN_22; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_117_bits = {{5'd0}, _T_109}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_32 = 5'h4 == _T_826 ? _T_117_bits : _GEN_27; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_144_bits = {{4'd0}, _T_136}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_37 = 5'h5 == _T_826 ? _T_144_bits : _GEN_32; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_175_bits = {{5'd0}, _T_167}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_42 = 5'h6 == _T_826 ? _T_175_bits : _GEN_37; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_202_bits = {{4'd0}, _T_194}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_47 = 5'h7 == _T_826 ? _T_202_bits : _GEN_42; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_52 = 5'h8 == _T_826 ? _T_213 : _GEN_47; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_57 = 5'h9 == _T_826 ? _T_233 : _GEN_52; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_62 = 5'ha == _T_826 ? _T_249 : _GEN_57; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_67 = 5'hb == _T_826 ? _T_314_bits : _GEN_62; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_72 = 5'hc == _T_826 ? _GEN_11 : _GEN_67; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_77 = 5'hd == _T_826 ? _T_461 : _GEN_72; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_82 = 5'he == _T_826 ? _T_528 : _GEN_77; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_87 = 5'hf == _T_826 ? _T_595 : _GEN_82; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_616_bits = {{6'd0}, _T_611}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_92 = 5'h10 == _T_826 ? _T_616_bits : _GEN_87; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_631_bits = {{3'd0}, _T_627}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_97 = 5'h11 == _T_826 ? _T_631_bits : _GEN_92; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_646_bits = {{4'd0}, _T_642}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_102 = 5'h12 == _T_826 ? _T_646_bits : _GEN_97; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_661_bits = {{3'd0}, _T_657}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_107 = 5'h13 == _T_826 ? _T_661_bits : _GEN_102; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_112 = 5'h14 == _T_826 ? _T_722_bits : _GEN_107; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_742_bits = {{3'd0}, _T_738}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_117 = 5'h15 == _T_826 ? _T_742_bits : _GEN_112; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_762_bits = {{4'd0}, _T_758}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_122 = 5'h16 == _T_826 ? _T_762_bits : _GEN_117; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _T_782_bits = {{3'd0}, _T_778}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] - wire [31:0] _GEN_127 = 5'h17 == _T_826 ? _T_782_bits : _GEN_122; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_132 = 5'h18 == _T_826 ? io_in : _GEN_127; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_137 = 5'h19 == _T_826 ? io_in : _GEN_132; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_142 = 5'h1a == _T_826 ? io_in : _GEN_137; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_147 = 5'h1b == _T_826 ? io_in : _GEN_142; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_152 = 5'h1c == _T_826 ? io_in : _GEN_147; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_157 = 5'h1d == _T_826 ? io_in : _GEN_152; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_162 = 5'h1e == _T_826 ? io_in : _GEN_157; // @[el2_ifu_compress_ctl.scala 195:18] - wire [31:0] _GEN_167 = 5'h1f == _T_826 ? io_in : _GEN_162; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_3 = {16'h0,io_in}; // @[Cat.scala 29:58] + wire _T_5 = |_T_3[12:5]; // @[el2_ifu_compress_ctl.scala 48:29] + wire [6:0] _T_6 = _T_5 ? 7'h13 : 7'h1f; // @[el2_ifu_compress_ctl.scala 48:20] + wire [29:0] _T_20 = {_T_3[10:7],_T_3[12:11],_T_3[5],_T_3[6],2'h0,5'h2,3'h0,2'h1,_T_3[4:2],_T_6}; // @[Cat.scala 29:58] + wire [7:0] _T_30 = {_T_3[6:5],_T_3[12:10],3'h0}; // @[Cat.scala 29:58] + wire [27:0] _T_38 = {_T_3[6:5],_T_3[12:10],3'h0,2'h1,_T_3[9:7],3'h3,2'h1,_T_3[4:2],7'h7}; // @[Cat.scala 29:58] + wire [6:0] _T_52 = {_T_3[5],_T_3[12:10],_T_3[6],2'h0}; // @[Cat.scala 29:58] + wire [26:0] _T_60 = {_T_3[5],_T_3[12:10],_T_3[6],2'h0,2'h1,_T_3[9:7],3'h2,2'h1,_T_3[4:2],7'h3}; // @[Cat.scala 29:58] + wire [27:0] _T_80 = {_T_3[6:5],_T_3[12:10],3'h0,2'h1,_T_3[9:7],3'h3,2'h1,_T_3[4:2],7'h3}; // @[Cat.scala 29:58] + wire [26:0] _T_111 = {_T_52[6:5],2'h1,_T_3[4:2],2'h1,_T_3[9:7],3'h2,_T_52[4:0],7'h3f}; // @[Cat.scala 29:58] + wire [27:0] _T_138 = {_T_30[7:5],2'h1,_T_3[4:2],2'h1,_T_3[9:7],3'h3,_T_30[4:0],7'h27}; // @[Cat.scala 29:58] + wire [26:0] _T_169 = {_T_52[6:5],2'h1,_T_3[4:2],2'h1,_T_3[9:7],3'h2,_T_52[4:0],7'h23}; // @[Cat.scala 29:58] + wire [27:0] _T_196 = {_T_30[7:5],2'h1,_T_3[4:2],2'h1,_T_3[9:7],3'h3,_T_30[4:0],7'h23}; // @[Cat.scala 29:58] + wire [6:0] _T_207 = _T_3[12] ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_209 = {_T_207,_T_3[6:2]}; // @[Cat.scala 29:58] + wire [31:0] _T_215 = {_T_207,_T_3[6:2],_T_3[11:7],3'h0,_T_3[11:7],7'h13}; // @[Cat.scala 29:58] + wire _T_223 = |_T_3[11:7]; // @[el2_ifu_compress_ctl.scala 72:24] + wire [6:0] _T_224 = _T_223 ? 7'h1b : 7'h1f; // @[el2_ifu_compress_ctl.scala 72:20] + wire [31:0] _T_235 = {_T_207,_T_3[6:2],_T_3[11:7],3'h0,_T_3[11:7],_T_224}; // @[Cat.scala 29:58] + wire [31:0] _T_251 = {_T_207,_T_3[6:2],5'h0,3'h0,_T_3[11:7],7'h13}; // @[Cat.scala 29:58] + wire _T_262 = |_T_209; // @[el2_ifu_compress_ctl.scala 85:29] + wire [6:0] _T_263 = _T_262 ? 7'h37 : 7'h3f; // @[el2_ifu_compress_ctl.scala 85:20] + wire [14:0] _T_266 = _T_3[12] ? 15'h7fff : 15'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_269 = {_T_266,_T_3[6:2],12'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_273 = {_T_269[31:12],_T_3[11:7],_T_263}; // @[Cat.scala 29:58] + wire _T_281 = _T_3[11:7] == 5'h0; // @[el2_ifu_compress_ctl.scala 87:14] + wire _T_283 = _T_3[11:7] == 5'h2; // @[el2_ifu_compress_ctl.scala 87:27] + wire _T_284 = _T_281 | _T_283; // @[el2_ifu_compress_ctl.scala 87:21] + wire [6:0] _T_291 = _T_262 ? 7'h13 : 7'h1f; // @[el2_ifu_compress_ctl.scala 81:20] + wire [2:0] _T_294 = _T_3[12] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_309 = {_T_294,_T_3[4:3],_T_3[5],_T_3[2],_T_3[6],4'h0,_T_3[11:7],3'h0,_T_3[11:7],_T_291}; // @[Cat.scala 29:58] + wire [31:0] _T_316_bits = _T_284 ? _T_309 : _T_273; // @[el2_ifu_compress_ctl.scala 87:10] + wire [25:0] _T_327 = {_T_3[12],_T_3[6:2],2'h1,_T_3[9:7],3'h5,2'h1,_T_3[9:7],7'h13}; // @[Cat.scala 29:58] + wire [30:0] _GEN_172 = {{5'd0}, _T_327}; // @[el2_ifu_compress_ctl.scala 94:23] + wire [30:0] _T_339 = _GEN_172 | 31'h40000000; // @[el2_ifu_compress_ctl.scala 94:23] + wire [31:0] _T_352 = {_T_207,_T_3[6:2],2'h1,_T_3[9:7],3'h7,2'h1,_T_3[9:7],7'h13}; // @[Cat.scala 29:58] + wire [2:0] _T_356 = {_T_3[12],_T_3[6:5]}; // @[Cat.scala 29:58] + wire _T_358 = _T_3[6:5] == 2'h0; // @[el2_ifu_compress_ctl.scala 98:30] + wire [30:0] _T_359 = _T_358 ? 31'h40000000 : 31'h0; // @[el2_ifu_compress_ctl.scala 98:22] + wire [6:0] _T_361 = _T_3[12] ? 7'h3b : 7'h33; // @[el2_ifu_compress_ctl.scala 99:22] + wire [2:0] _GEN_1 = 3'h1 == _T_356 ? 3'h4 : 3'h0; // @[Cat.scala 29:58] + wire [2:0] _GEN_2 = 3'h2 == _T_356 ? 3'h6 : _GEN_1; // @[Cat.scala 29:58] + wire [2:0] _GEN_3 = 3'h3 == _T_356 ? 3'h7 : _GEN_2; // @[Cat.scala 29:58] + wire [2:0] _GEN_4 = 3'h4 == _T_356 ? 3'h0 : _GEN_3; // @[Cat.scala 29:58] + wire [2:0] _GEN_5 = 3'h5 == _T_356 ? 3'h0 : _GEN_4; // @[Cat.scala 29:58] + wire [2:0] _GEN_6 = 3'h6 == _T_356 ? 3'h2 : _GEN_5; // @[Cat.scala 29:58] + wire [2:0] _GEN_7 = 3'h7 == _T_356 ? 3'h3 : _GEN_6; // @[Cat.scala 29:58] + wire [24:0] _T_371 = {2'h1,_T_3[4:2],2'h1,_T_3[9:7],_GEN_7,2'h1,_T_3[9:7],_T_361}; // @[Cat.scala 29:58] + wire [30:0] _GEN_173 = {{6'd0}, _T_371}; // @[el2_ifu_compress_ctl.scala 100:43] + wire [30:0] _T_372 = _GEN_173 | _T_359; // @[el2_ifu_compress_ctl.scala 100:43] + wire [31:0] _T_373_0 = {{6'd0}, _T_327}; // @[el2_ifu_compress_ctl.scala 102:19 el2_ifu_compress_ctl.scala 102:19] + wire [31:0] _T_373_1 = {{1'd0}, _T_339}; // @[el2_ifu_compress_ctl.scala 102:19 el2_ifu_compress_ctl.scala 102:19] + wire [31:0] _GEN_9 = 2'h1 == _T_3[11:10] ? _T_373_1 : _T_373_0; // @[el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_10 = 2'h2 == _T_3[11:10] ? _T_352 : _GEN_9; // @[el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _T_373_3 = {{1'd0}, _T_372}; // @[el2_ifu_compress_ctl.scala 102:19 el2_ifu_compress_ctl.scala 102:19] + wire [31:0] _GEN_11 = 2'h3 == _T_3[11:10] ? _T_373_3 : _GEN_10; // @[el2_ifu_compress_ctl.scala 17:14] + wire [9:0] _T_385 = _T_3[12] ? 10'h3ff : 10'h0; // @[Bitwise.scala 72:12] + wire [20:0] _T_400 = {_T_385,_T_3[8],_T_3[10:9],_T_3[6],_T_3[7],_T_3[2],_T_3[11],_T_3[5:3],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_463 = {_T_400[20],_T_400[10:1],_T_400[11],_T_400[19:12],5'h0,7'h6f}; // @[Cat.scala 29:58] + wire [4:0] _T_472 = _T_3[12] ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [12:0] _T_481 = {_T_472,_T_3[6:5],_T_3[2],_T_3[11:10],_T_3[4:3],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_530 = {_T_481[12],_T_481[10:5],5'h0,2'h1,_T_3[9:7],3'h0,_T_481[4:1],_T_481[11],7'h63}; // @[Cat.scala 29:58] + wire [31:0] _T_597 = {_T_481[12],_T_481[10:5],5'h0,2'h1,_T_3[9:7],3'h1,_T_481[4:1],_T_481[11],7'h63}; // @[Cat.scala 29:58] + wire [6:0] _T_604 = _T_223 ? 7'h3 : 7'h1f; // @[el2_ifu_compress_ctl.scala 108:23] + wire [25:0] _T_613 = {_T_3[12],_T_3[6:2],_T_3[11:7],3'h1,_T_3[11:7],7'h13}; // @[Cat.scala 29:58] + wire [28:0] _T_629 = {_T_3[4:2],_T_3[12],_T_3[6:5],3'h0,5'h2,3'h3,_T_3[11:7],7'h7}; // @[Cat.scala 29:58] + wire [27:0] _T_644 = {_T_3[3:2],_T_3[12],_T_3[6:4],2'h0,5'h2,3'h2,_T_3[11:7],_T_604}; // @[Cat.scala 29:58] + wire [28:0] _T_659 = {_T_3[4:2],_T_3[12],_T_3[6:5],3'h0,5'h2,3'h3,_T_3[11:7],_T_604}; // @[Cat.scala 29:58] + wire [24:0] _T_669 = {_T_3[6:2],5'h0,3'h0,_T_3[11:7],7'h33}; // @[Cat.scala 29:58] + wire [24:0] _T_680 = {_T_3[6:2],_T_3[11:7],3'h0,_T_3[11:7],7'h33}; // @[Cat.scala 29:58] + wire [24:0] _T_691 = {_T_3[6:2],_T_3[11:7],3'h0,12'h67}; // @[Cat.scala 29:58] + wire [24:0] _T_693 = {_T_691[24:7],7'h1f}; // @[Cat.scala 29:58] + wire [24:0] _T_696 = _T_223 ? _T_691 : _T_693; // @[el2_ifu_compress_ctl.scala 129:33] + wire _T_702 = |_T_3[6:2]; // @[el2_ifu_compress_ctl.scala 130:27] + wire [31:0] _T_673_bits = {{7'd0}, _T_669}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _T_700_bits = {{7'd0}, _T_696}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _T_703_bits = _T_702 ? _T_673_bits : _T_700_bits; // @[el2_ifu_compress_ctl.scala 130:22] + wire [24:0] _T_709 = {_T_3[6:2],_T_3[11:7],3'h0,12'he7}; // @[Cat.scala 29:58] + wire [24:0] _T_711 = {_T_691[24:7],7'h73}; // @[Cat.scala 29:58] + wire [24:0] _T_712 = _T_711 | 25'h100000; // @[el2_ifu_compress_ctl.scala 132:46] + wire [24:0] _T_715 = _T_223 ? _T_709 : _T_712; // @[el2_ifu_compress_ctl.scala 133:33] + wire [31:0] _T_685_bits = {{7'd0}, _T_680}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _T_719_bits = {{7'd0}, _T_715}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _T_722_bits = _T_702 ? _T_685_bits : _T_719_bits; // @[el2_ifu_compress_ctl.scala 134:25] + wire [31:0] _T_724_bits = _T_3[12] ? _T_722_bits : _T_703_bits; // @[el2_ifu_compress_ctl.scala 135:10] + wire [8:0] _T_728 = {_T_3[9:7],_T_3[12:10],3'h0}; // @[Cat.scala 29:58] + wire [28:0] _T_740 = {_T_728[8:5],_T_3[6:2],5'h2,3'h3,_T_728[4:0],7'h27}; // @[Cat.scala 29:58] + wire [7:0] _T_748 = {_T_3[8:7],_T_3[12:9],2'h0}; // @[Cat.scala 29:58] + wire [27:0] _T_760 = {_T_748[7:5],_T_3[6:2],5'h2,3'h2,_T_748[4:0],7'h23}; // @[Cat.scala 29:58] + wire [28:0] _T_780 = {_T_728[8:5],_T_3[6:2],5'h2,3'h3,_T_728[4:0],7'h23}; // @[Cat.scala 29:58] + wire [4:0] _T_828 = {_T_3[1:0],_T_3[15:13]}; // @[Cat.scala 29:58] + wire [31:0] _T_26_bits = {{2'd0}, _T_20}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _T_46_bits = {{4'd0}, _T_38}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_17 = 5'h1 == _T_828 ? _T_46_bits : _T_26_bits; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_68_bits = {{5'd0}, _T_60}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_22 = 5'h2 == _T_828 ? _T_68_bits : _GEN_17; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_88_bits = {{4'd0}, _T_80}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_27 = 5'h3 == _T_828 ? _T_88_bits : _GEN_22; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_119_bits = {{5'd0}, _T_111}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_32 = 5'h4 == _T_828 ? _T_119_bits : _GEN_27; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_146_bits = {{4'd0}, _T_138}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_37 = 5'h5 == _T_828 ? _T_146_bits : _GEN_32; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_177_bits = {{5'd0}, _T_169}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_42 = 5'h6 == _T_828 ? _T_177_bits : _GEN_37; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_204_bits = {{4'd0}, _T_196}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_47 = 5'h7 == _T_828 ? _T_204_bits : _GEN_42; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_52 = 5'h8 == _T_828 ? _T_215 : _GEN_47; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_57 = 5'h9 == _T_828 ? _T_235 : _GEN_52; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_62 = 5'ha == _T_828 ? _T_251 : _GEN_57; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_67 = 5'hb == _T_828 ? _T_316_bits : _GEN_62; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_72 = 5'hc == _T_828 ? _GEN_11 : _GEN_67; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_77 = 5'hd == _T_828 ? _T_463 : _GEN_72; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_82 = 5'he == _T_828 ? _T_530 : _GEN_77; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_87 = 5'hf == _T_828 ? _T_597 : _GEN_82; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_618_bits = {{6'd0}, _T_613}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_92 = 5'h10 == _T_828 ? _T_618_bits : _GEN_87; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_633_bits = {{3'd0}, _T_629}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_97 = 5'h11 == _T_828 ? _T_633_bits : _GEN_92; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_648_bits = {{4'd0}, _T_644}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_102 = 5'h12 == _T_828 ? _T_648_bits : _GEN_97; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_663_bits = {{3'd0}, _T_659}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_107 = 5'h13 == _T_828 ? _T_663_bits : _GEN_102; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_112 = 5'h14 == _T_828 ? _T_724_bits : _GEN_107; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_744_bits = {{3'd0}, _T_740}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_117 = 5'h15 == _T_828 ? _T_744_bits : _GEN_112; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_764_bits = {{4'd0}, _T_760}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_122 = 5'h16 == _T_828 ? _T_764_bits : _GEN_117; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _T_784_bits = {{3'd0}, _T_780}; // @[el2_ifu_compress_ctl.scala 16:19 el2_ifu_compress_ctl.scala 17:14] + wire [31:0] _GEN_127 = 5'h17 == _T_828 ? _T_784_bits : _GEN_122; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_132 = 5'h18 == _T_828 ? _T_3 : _GEN_127; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_137 = 5'h19 == _T_828 ? _T_3 : _GEN_132; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_142 = 5'h1a == _T_828 ? _T_3 : _GEN_137; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_147 = 5'h1b == _T_828 ? _T_3 : _GEN_142; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_152 = 5'h1c == _T_828 ? _T_3 : _GEN_147; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_157 = 5'h1d == _T_828 ? _T_3 : _GEN_152; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_162 = 5'h1e == _T_828 ? _T_3 : _GEN_157; // @[el2_ifu_compress_ctl.scala 195:18] + wire [31:0] _GEN_167 = 5'h1f == _T_828 ? _T_3 : _GEN_162; // @[el2_ifu_compress_ctl.scala 195:18] assign io_out = _T_1 ? 32'h0 : _GEN_167; // @[el2_ifu_compress_ctl.scala 195:12] endmodule diff --git a/src/main/scala/ifu/el2_ifu_compress_ctl.scala b/src/main/scala/ifu/el2_ifu_compress_ctl.scala index 3c098ece..50af8fc0 100644 --- a/src/main/scala/ifu/el2_ifu_compress_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_compress_ctl.scala @@ -179,7 +179,7 @@ class RVCDecoder(x: UInt, xLen: Int) { class el2_ifu_compress_ctl( val XLen: Int, val usingCompressed: Boolean) extends Module { val io = IO(new Bundle { - val in = Input(UInt(32.W)) + val in = Input(UInt(16.W)) val out = Output(UInt(32.W)) //val rvc = Output(Bool()) //val legal = Output(Bool()) @@ -190,7 +190,7 @@ class el2_ifu_compress_ctl( val XLen: Int, val usingCompressed: Boolean) extends }) if (usingCompressed) { val rvc = io.in(1,0) =/= 3.U - val inst = new RVCDecoder(io.in, XLen) + val inst = new RVCDecoder(Cat(Fill(16,0.U),io.in), XLen) val decoded = inst.decode io.out := Mux(rvc, 0.U, decoded.bits) //io.out.rd := 0.U diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class index 1643c387..5a159cb6 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class index 7743d75a..83ac7e2c 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class differ