diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 9f707835..15cfdcf3 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2808,6 +2808,12 @@ circuit el2_lsu_bus_buffer : found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 407:17] node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 408:31] found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 408:17] + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + wire CmdPtr1 : UInt<2> + CmdPtr1 <= UInt<1>("h00") + wire RspPtr : UInt<2> + RspPtr <= UInt<1>("h00") node _T_1978 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1979 = cat(_T_1978, CmdPtr0Dec) @[Cat.scala 29:58] node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] @@ -2832,3592 +2838,3595 @@ circuit el2_lsu_bus_buffer : node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 410:104] node _T_2001 = cat(_T_1986, _T_1993) @[Cat.scala 29:58] - node CmdPtr0 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] - io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 413:11] - node _T_2002 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2003 = cat(_T_2002, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2004 = bits(_T_2003, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] - node _T_2005 = bits(_T_2003, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] - node _T_2006 = or(_T_2004, _T_2005) @[el2_lsu_bus_buffer.scala 410:42] - node _T_2007 = bits(_T_2003, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] - node _T_2008 = or(_T_2006, _T_2007) @[el2_lsu_bus_buffer.scala 410:48] - node _T_2009 = bits(_T_2003, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] - node _T_2010 = or(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 410:54] - node _T_2011 = bits(_T_2003, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] - node _T_2012 = bits(_T_2003, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] - node _T_2013 = or(_T_2011, _T_2012) @[el2_lsu_bus_buffer.scala 410:67] - node _T_2014 = bits(_T_2003, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] - node _T_2015 = or(_T_2013, _T_2014) @[el2_lsu_bus_buffer.scala 410:73] - node _T_2016 = bits(_T_2003, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] - node _T_2017 = or(_T_2015, _T_2016) @[el2_lsu_bus_buffer.scala 410:79] - node _T_2018 = bits(_T_2003, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] - node _T_2019 = bits(_T_2003, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] - node _T_2020 = or(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 410:92] - node _T_2021 = bits(_T_2003, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] - node _T_2022 = or(_T_2020, _T_2021) @[el2_lsu_bus_buffer.scala 410:98] - node _T_2023 = bits(_T_2003, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] - node _T_2024 = or(_T_2022, _T_2023) @[el2_lsu_bus_buffer.scala 410:104] - node _T_2025 = cat(_T_2010, _T_2017) @[Cat.scala 29:58] - node CmdPtr1 = cat(_T_2025, _T_2024) @[Cat.scala 29:58] - node _T_2026 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2027 = cat(_T_2026, RspPtrDec) @[Cat.scala 29:58] - node _T_2028 = bits(_T_2027, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] - node _T_2029 = bits(_T_2027, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] - node _T_2030 = or(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 410:42] - node _T_2031 = bits(_T_2027, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] - node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 410:48] - node _T_2033 = bits(_T_2027, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] - node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 410:54] - node _T_2035 = bits(_T_2027, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] - node _T_2036 = bits(_T_2027, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] - node _T_2037 = or(_T_2035, _T_2036) @[el2_lsu_bus_buffer.scala 410:67] - node _T_2038 = bits(_T_2027, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] - node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 410:73] - node _T_2040 = bits(_T_2027, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] - node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 410:79] - node _T_2042 = bits(_T_2027, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] - node _T_2043 = bits(_T_2027, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] - node _T_2044 = or(_T_2042, _T_2043) @[el2_lsu_bus_buffer.scala 410:92] - node _T_2045 = bits(_T_2027, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] - node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 410:98] - node _T_2047 = bits(_T_2027, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] - node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 410:104] - node _T_2049 = cat(_T_2034, _T_2041) @[Cat.scala 29:58] - node RspPtr = cat(_T_2049, _T_2048) @[Cat.scala 29:58] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 416:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 418:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 420:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 422:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 424:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:14] - node _T_2050 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2051 = and(_T_2050, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2052 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2053 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2054 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2056 = or(_T_2052, _T_2055) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2057 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2058 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2060 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2061 = and(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2062 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2063 = and(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2064 = or(_T_2056, _T_2063) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2065 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2066 = and(_T_2065, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2067 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2068 = and(_T_2066, _T_2067) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2069 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2070 = and(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2071 = or(_T_2064, _T_2070) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2072 = and(_T_2051, _T_2071) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2073 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2074 = or(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2075 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2076 = and(_T_2075, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2077 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2078 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2079 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2081 = or(_T_2077, _T_2080) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2082 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2083 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2084 = and(_T_2082, _T_2083) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2085 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2086 = and(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2087 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2088 = and(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2089 = or(_T_2081, _T_2088) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2090 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2091 = and(_T_2090, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2092 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2093 = and(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2094 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2095 = and(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2096 = or(_T_2089, _T_2095) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2097 = and(_T_2076, _T_2096) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2098 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2099 = or(_T_2097, _T_2098) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2100 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2101 = and(_T_2100, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2102 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2103 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2104 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2105 = and(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2106 = or(_T_2102, _T_2105) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2107 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2108 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2110 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2111 = and(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2112 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2113 = and(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2114 = or(_T_2106, _T_2113) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2115 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2116 = and(_T_2115, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2117 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2118 = and(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2119 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2120 = and(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2121 = or(_T_2114, _T_2120) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2122 = and(_T_2101, _T_2121) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2123 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2124 = or(_T_2122, _T_2123) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2125 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2126 = and(_T_2125, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2127 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2128 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2129 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2130 = and(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2131 = or(_T_2127, _T_2130) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2132 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2133 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2135 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2136 = and(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2137 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2138 = and(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2139 = or(_T_2131, _T_2138) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2140 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2141 = and(_T_2140, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2142 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2144 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2146 = or(_T_2139, _T_2145) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2147 = and(_T_2126, _T_2146) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2148 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2149 = or(_T_2147, _T_2148) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2150 = cat(_T_2149, _T_2124) @[Cat.scala 29:58] - node _T_2151 = cat(_T_2150, _T_2099) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2151, _T_2074) @[Cat.scala 29:58] - node _T_2152 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2153 = and(_T_2152, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2154 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2155 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2156 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2158 = or(_T_2154, _T_2157) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2159 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2160 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2162 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2164 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2165 = and(_T_2163, _T_2164) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2166 = or(_T_2158, _T_2165) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2167 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2168 = and(_T_2167, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2169 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2171 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2172 = and(_T_2170, _T_2171) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2173 = or(_T_2166, _T_2172) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2174 = and(_T_2153, _T_2173) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2175 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2176 = or(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2177 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2178 = and(_T_2177, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2179 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2180 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2181 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2183 = or(_T_2179, _T_2182) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2184 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2185 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2187 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2189 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2190 = and(_T_2188, _T_2189) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2191 = or(_T_2183, _T_2190) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2192 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2193 = and(_T_2192, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2194 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2196 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2197 = and(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2198 = or(_T_2191, _T_2197) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2199 = and(_T_2178, _T_2198) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2200 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2201 = or(_T_2199, _T_2200) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2202 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2203 = and(_T_2202, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2204 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2205 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2206 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2207 = and(_T_2205, _T_2206) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2208 = or(_T_2204, _T_2207) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2209 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2210 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2212 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2214 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2215 = and(_T_2213, _T_2214) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2216 = or(_T_2208, _T_2215) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2217 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2218 = and(_T_2217, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2219 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2221 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2222 = and(_T_2220, _T_2221) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2223 = or(_T_2216, _T_2222) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2224 = and(_T_2203, _T_2223) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2225 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2226 = or(_T_2224, _T_2225) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2227 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2228 = and(_T_2227, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2229 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2230 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2231 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2232 = and(_T_2230, _T_2231) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2233 = or(_T_2229, _T_2232) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2234 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2235 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2237 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2239 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2240 = and(_T_2238, _T_2239) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2241 = or(_T_2233, _T_2240) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2242 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2243 = and(_T_2242, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2244 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2246 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2248 = or(_T_2241, _T_2247) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2249 = and(_T_2228, _T_2248) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2250 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2251 = or(_T_2249, _T_2250) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2252 = cat(_T_2251, _T_2226) @[Cat.scala 29:58] - node _T_2253 = cat(_T_2252, _T_2201) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2253, _T_2176) @[Cat.scala 29:58] - node _T_2254 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2255 = and(_T_2254, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2256 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2257 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2258 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2260 = or(_T_2256, _T_2259) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2261 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2262 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2264 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2266 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2267 = and(_T_2265, _T_2266) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2268 = or(_T_2260, _T_2267) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2269 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2270 = and(_T_2269, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2271 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2273 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2274 = and(_T_2272, _T_2273) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2275 = or(_T_2268, _T_2274) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2276 = and(_T_2255, _T_2275) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2277 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2278 = or(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2279 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2280 = and(_T_2279, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2281 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2282 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2283 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2285 = or(_T_2281, _T_2284) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2286 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2287 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2289 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2291 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2292 = and(_T_2290, _T_2291) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2293 = or(_T_2285, _T_2292) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2294 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2295 = and(_T_2294, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2296 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2298 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2299 = and(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2300 = or(_T_2293, _T_2299) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2301 = and(_T_2280, _T_2300) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2302 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2303 = or(_T_2301, _T_2302) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2304 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2305 = and(_T_2304, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2306 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2307 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2308 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2309 = and(_T_2307, _T_2308) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2310 = or(_T_2306, _T_2309) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2311 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2312 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2314 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2316 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2317 = and(_T_2315, _T_2316) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2318 = or(_T_2310, _T_2317) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2319 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2320 = and(_T_2319, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2321 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2323 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2324 = and(_T_2322, _T_2323) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2325 = or(_T_2318, _T_2324) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2326 = and(_T_2305, _T_2325) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2327 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2328 = or(_T_2326, _T_2327) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2329 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2330 = and(_T_2329, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2331 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2332 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2333 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2334 = and(_T_2332, _T_2333) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2335 = or(_T_2331, _T_2334) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2336 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2337 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2339 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2341 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2342 = and(_T_2340, _T_2341) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2343 = or(_T_2335, _T_2342) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2344 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2345 = and(_T_2344, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2346 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2348 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2350 = or(_T_2343, _T_2349) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2351 = and(_T_2330, _T_2350) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2352 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2353 = or(_T_2351, _T_2352) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2354 = cat(_T_2353, _T_2328) @[Cat.scala 29:58] - node _T_2355 = cat(_T_2354, _T_2303) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2355, _T_2278) @[Cat.scala 29:58] - node _T_2356 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2357 = and(_T_2356, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2358 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2362 = or(_T_2358, _T_2361) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2363 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2364 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2366 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2368 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2369 = and(_T_2367, _T_2368) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2370 = or(_T_2362, _T_2369) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2371 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2372 = and(_T_2371, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2373 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2375 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2376 = and(_T_2374, _T_2375) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2377 = or(_T_2370, _T_2376) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2378 = and(_T_2357, _T_2377) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2379 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2380 = or(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2381 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2382 = and(_T_2381, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2383 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2384 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2385 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2387 = or(_T_2383, _T_2386) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2388 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2389 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2391 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2393 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2394 = and(_T_2392, _T_2393) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2395 = or(_T_2387, _T_2394) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2396 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2397 = and(_T_2396, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2398 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2400 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2401 = and(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2402 = or(_T_2395, _T_2401) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2403 = and(_T_2382, _T_2402) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2404 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2405 = or(_T_2403, _T_2404) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2406 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2407 = and(_T_2406, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2408 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2409 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2410 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2411 = and(_T_2409, _T_2410) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2412 = or(_T_2408, _T_2411) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2413 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2414 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2416 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2418 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2419 = and(_T_2417, _T_2418) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2420 = or(_T_2412, _T_2419) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2421 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2422 = and(_T_2421, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2423 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2425 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2426 = and(_T_2424, _T_2425) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2427 = or(_T_2420, _T_2426) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2428 = and(_T_2407, _T_2427) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2429 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2430 = or(_T_2428, _T_2429) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2431 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2432 = and(_T_2431, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2433 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2434 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2435 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2436 = and(_T_2434, _T_2435) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2437 = or(_T_2433, _T_2436) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2438 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2439 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2441 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2442 = and(_T_2440, _T_2441) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2443 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2444 = and(_T_2442, _T_2443) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2445 = or(_T_2437, _T_2444) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2446 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2447 = and(_T_2446, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2448 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2449 = and(_T_2447, _T_2448) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2450 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2452 = or(_T_2445, _T_2451) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2453 = and(_T_2432, _T_2452) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2454 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2455 = or(_T_2453, _T_2454) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2456 = cat(_T_2455, _T_2430) @[Cat.scala 29:58] - node _T_2457 = cat(_T_2456, _T_2405) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2457, _T_2380) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 431:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:12] - node _T_2458 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2460 = and(_T_2459, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2461 = and(_T_2458, _T_2460) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2462 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2463 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2464 = and(_T_2463, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2465 = and(_T_2462, _T_2464) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2466 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2467 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2468 = and(_T_2467, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2469 = and(_T_2466, _T_2468) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2470 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2471 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2472 = and(_T_2471, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2473 = and(_T_2470, _T_2472) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2474 = cat(_T_2473, _T_2469) @[Cat.scala 29:58] - node _T_2475 = cat(_T_2474, _T_2465) @[Cat.scala 29:58] - node _T_2476 = cat(_T_2475, _T_2461) @[Cat.scala 29:58] - node _T_2477 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2478 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2479 = and(_T_2478, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2480 = and(_T_2477, _T_2479) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2481 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2482 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2483 = and(_T_2482, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2484 = and(_T_2481, _T_2483) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2485 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2486 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2487 = and(_T_2486, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2488 = and(_T_2485, _T_2487) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2489 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2490 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2492 = and(_T_2489, _T_2491) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2493 = cat(_T_2492, _T_2488) @[Cat.scala 29:58] - node _T_2494 = cat(_T_2493, _T_2484) @[Cat.scala 29:58] - node _T_2495 = cat(_T_2494, _T_2480) @[Cat.scala 29:58] - node _T_2496 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2497 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2498 = and(_T_2497, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2499 = and(_T_2496, _T_2498) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2500 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2501 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2502 = and(_T_2501, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2503 = and(_T_2500, _T_2502) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2504 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2505 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2506 = and(_T_2505, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2507 = and(_T_2504, _T_2506) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2508 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2509 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2510 = and(_T_2509, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2511 = and(_T_2508, _T_2510) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2512 = cat(_T_2511, _T_2507) @[Cat.scala 29:58] - node _T_2513 = cat(_T_2512, _T_2503) @[Cat.scala 29:58] - node _T_2514 = cat(_T_2513, _T_2499) @[Cat.scala 29:58] - node _T_2515 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2516 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2517 = and(_T_2516, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2518 = and(_T_2515, _T_2517) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2519 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2520 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2521 = and(_T_2520, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2522 = and(_T_2519, _T_2521) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2523 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2524 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2525 = and(_T_2524, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2526 = and(_T_2523, _T_2525) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2527 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2528 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2529 = and(_T_2528, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2530 = and(_T_2527, _T_2529) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2531 = cat(_T_2530, _T_2526) @[Cat.scala 29:58] - node _T_2532 = cat(_T_2531, _T_2522) @[Cat.scala 29:58] - node _T_2533 = cat(_T_2532, _T_2518) @[Cat.scala 29:58] - buf_age[0] <= _T_2476 @[el2_lsu_bus_buffer.scala 433:13] - buf_age[1] <= _T_2495 @[el2_lsu_bus_buffer.scala 433:13] - buf_age[2] <= _T_2514 @[el2_lsu_bus_buffer.scala 433:13] - buf_age[3] <= _T_2533 @[el2_lsu_bus_buffer.scala 433:13] - node _T_2534 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2535 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2536 = eq(_T_2535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2537 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2539 = mux(_T_2534, UInt<1>("h00"), _T_2538) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2540 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2541 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2542 = eq(_T_2541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2543 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2545 = mux(_T_2540, UInt<1>("h00"), _T_2544) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2546 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2547 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2548 = eq(_T_2547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2549 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2551 = mux(_T_2546, UInt<1>("h00"), _T_2550) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2552 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2553 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2555 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2556 = and(_T_2554, _T_2555) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2557 = mux(_T_2552, UInt<1>("h00"), _T_2556) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2558 = cat(_T_2557, _T_2551) @[Cat.scala 29:58] - node _T_2559 = cat(_T_2558, _T_2545) @[Cat.scala 29:58] - node _T_2560 = cat(_T_2559, _T_2539) @[Cat.scala 29:58] - node _T_2561 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2562 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2564 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2566 = mux(_T_2561, UInt<1>("h00"), _T_2565) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2567 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2568 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2570 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2571 = and(_T_2569, _T_2570) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2572 = mux(_T_2567, UInt<1>("h00"), _T_2571) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2573 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2574 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2576 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2577 = and(_T_2575, _T_2576) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2578 = mux(_T_2573, UInt<1>("h00"), _T_2577) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2579 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2580 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2581 = eq(_T_2580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2582 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2583 = and(_T_2581, _T_2582) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2584 = mux(_T_2579, UInt<1>("h00"), _T_2583) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2585 = cat(_T_2584, _T_2578) @[Cat.scala 29:58] - node _T_2586 = cat(_T_2585, _T_2572) @[Cat.scala 29:58] - node _T_2587 = cat(_T_2586, _T_2566) @[Cat.scala 29:58] - node _T_2588 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2589 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2591 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2592 = and(_T_2590, _T_2591) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2593 = mux(_T_2588, UInt<1>("h00"), _T_2592) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2594 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2595 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2596 = eq(_T_2595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2597 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2598 = and(_T_2596, _T_2597) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2599 = mux(_T_2594, UInt<1>("h00"), _T_2598) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2600 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2601 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2602 = eq(_T_2601, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2603 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2604 = and(_T_2602, _T_2603) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2605 = mux(_T_2600, UInt<1>("h00"), _T_2604) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2606 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2607 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2609 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2610 = and(_T_2608, _T_2609) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2611 = mux(_T_2606, UInt<1>("h00"), _T_2610) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2612 = cat(_T_2611, _T_2605) @[Cat.scala 29:58] - node _T_2613 = cat(_T_2612, _T_2599) @[Cat.scala 29:58] - node _T_2614 = cat(_T_2613, _T_2593) @[Cat.scala 29:58] - node _T_2615 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2616 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2617 = eq(_T_2616, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2618 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2619 = and(_T_2617, _T_2618) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2620 = mux(_T_2615, UInt<1>("h00"), _T_2619) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2621 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2622 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2624 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2625 = and(_T_2623, _T_2624) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2626 = mux(_T_2621, UInt<1>("h00"), _T_2625) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2627 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2628 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2629 = eq(_T_2628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2630 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2631 = and(_T_2629, _T_2630) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2632 = mux(_T_2627, UInt<1>("h00"), _T_2631) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2633 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2634 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2635 = eq(_T_2634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2636 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2637 = and(_T_2635, _T_2636) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2638 = mux(_T_2633, UInt<1>("h00"), _T_2637) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2639 = cat(_T_2638, _T_2632) @[Cat.scala 29:58] - node _T_2640 = cat(_T_2639, _T_2626) @[Cat.scala 29:58] - node _T_2641 = cat(_T_2640, _T_2620) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2560 @[el2_lsu_bus_buffer.scala 434:21] - buf_age_younger[1] <= _T_2587 @[el2_lsu_bus_buffer.scala 434:21] - buf_age_younger[2] <= _T_2614 @[el2_lsu_bus_buffer.scala 434:21] - buf_age_younger[3] <= _T_2641 @[el2_lsu_bus_buffer.scala 434:21] - node _T_2642 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2643 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2645 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2646 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2648 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2649 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2651 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2652 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2654 = cat(_T_2653, _T_2650) @[Cat.scala 29:58] - node _T_2655 = cat(_T_2654, _T_2647) @[Cat.scala 29:58] - node _T_2656 = cat(_T_2655, _T_2644) @[Cat.scala 29:58] - node _T_2657 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2658 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2660 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2661 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2663 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2664 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2666 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2667 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2669 = cat(_T_2668, _T_2665) @[Cat.scala 29:58] - node _T_2670 = cat(_T_2669, _T_2662) @[Cat.scala 29:58] - node _T_2671 = cat(_T_2670, _T_2659) @[Cat.scala 29:58] - node _T_2672 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2673 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2675 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2676 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2678 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2679 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2681 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2682 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2684 = cat(_T_2683, _T_2680) @[Cat.scala 29:58] - node _T_2685 = cat(_T_2684, _T_2677) @[Cat.scala 29:58] - node _T_2686 = cat(_T_2685, _T_2674) @[Cat.scala 29:58] - node _T_2687 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2688 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2690 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2691 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2693 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2694 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2696 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2697 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2699 = cat(_T_2698, _T_2695) @[Cat.scala 29:58] - node _T_2700 = cat(_T_2699, _T_2692) @[Cat.scala 29:58] - node _T_2701 = cat(_T_2700, _T_2689) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2656 @[el2_lsu_bus_buffer.scala 435:21] - buf_rsp_pickage[1] <= _T_2671 @[el2_lsu_bus_buffer.scala 435:21] - buf_rsp_pickage[2] <= _T_2686 @[el2_lsu_bus_buffer.scala 435:21] - buf_rsp_pickage[3] <= _T_2701 @[el2_lsu_bus_buffer.scala 435:21] - node _T_2702 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2703 = and(_T_2702, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2704 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2705 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2706 = or(_T_2704, _T_2705) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2707 = eq(_T_2706, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2708 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2709 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2710 = and(_T_2708, _T_2709) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2711 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2712 = and(_T_2710, _T_2711) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2713 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2714 = and(_T_2712, _T_2713) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2715 = or(_T_2707, _T_2714) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2716 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2717 = and(_T_2716, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2718 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2720 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2721 = and(_T_2719, _T_2720) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2722 = or(_T_2715, _T_2721) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2723 = and(_T_2703, _T_2722) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2724 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2725 = and(_T_2724, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2726 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2727 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2728 = or(_T_2726, _T_2727) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2730 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2731 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2732 = and(_T_2730, _T_2731) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2733 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2735 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2736 = and(_T_2734, _T_2735) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2737 = or(_T_2729, _T_2736) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2738 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2739 = and(_T_2738, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2740 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2741 = and(_T_2739, _T_2740) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2742 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2744 = or(_T_2737, _T_2743) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2745 = and(_T_2725, _T_2744) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2746 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2747 = and(_T_2746, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2748 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2749 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2750 = or(_T_2748, _T_2749) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2751 = eq(_T_2750, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2752 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2753 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2754 = and(_T_2752, _T_2753) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2755 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2757 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2759 = or(_T_2751, _T_2758) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2760 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2761 = and(_T_2760, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2762 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2763 = and(_T_2761, _T_2762) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2764 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2766 = or(_T_2759, _T_2765) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2767 = and(_T_2747, _T_2766) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2768 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2769 = and(_T_2768, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2770 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2771 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2772 = or(_T_2770, _T_2771) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2773 = eq(_T_2772, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2774 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2775 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2776 = and(_T_2774, _T_2775) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2777 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2779 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2781 = or(_T_2773, _T_2780) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2782 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2783 = and(_T_2782, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2784 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2785 = and(_T_2783, _T_2784) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2786 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2787 = and(_T_2785, _T_2786) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2788 = or(_T_2781, _T_2787) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2789 = and(_T_2769, _T_2788) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2790 = cat(_T_2789, _T_2767) @[Cat.scala 29:58] - node _T_2791 = cat(_T_2790, _T_2745) @[Cat.scala 29:58] - node _T_2792 = cat(_T_2791, _T_2723) @[Cat.scala 29:58] - node _T_2793 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2794 = and(_T_2793, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2795 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2796 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2797 = or(_T_2795, _T_2796) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2798 = eq(_T_2797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2799 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2800 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2802 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2803 = and(_T_2801, _T_2802) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2804 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2805 = and(_T_2803, _T_2804) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2806 = or(_T_2798, _T_2805) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2807 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2808 = and(_T_2807, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2809 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2810 = and(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2811 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2812 = and(_T_2810, _T_2811) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2813 = or(_T_2806, _T_2812) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2814 = and(_T_2794, _T_2813) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2815 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2816 = and(_T_2815, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2817 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2818 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2819 = or(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2820 = eq(_T_2819, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2821 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2822 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2824 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2826 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2828 = or(_T_2820, _T_2827) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2829 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2830 = and(_T_2829, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2831 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2832 = and(_T_2830, _T_2831) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2833 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2834 = and(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2835 = or(_T_2828, _T_2834) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2836 = and(_T_2816, _T_2835) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2837 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2838 = and(_T_2837, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2839 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2840 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2841 = or(_T_2839, _T_2840) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2842 = eq(_T_2841, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2843 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2844 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2846 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2848 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2850 = or(_T_2842, _T_2849) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2851 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2852 = and(_T_2851, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2853 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2854 = and(_T_2852, _T_2853) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2855 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2856 = and(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2857 = or(_T_2850, _T_2856) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2858 = and(_T_2838, _T_2857) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2859 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2860 = and(_T_2859, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2861 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2862 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2863 = or(_T_2861, _T_2862) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2864 = eq(_T_2863, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2865 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2866 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2868 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2872 = or(_T_2864, _T_2871) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2873 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2874 = and(_T_2873, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2875 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2876 = and(_T_2874, _T_2875) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2877 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2878 = and(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2879 = or(_T_2872, _T_2878) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2880 = and(_T_2860, _T_2879) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2881 = cat(_T_2880, _T_2858) @[Cat.scala 29:58] - node _T_2882 = cat(_T_2881, _T_2836) @[Cat.scala 29:58] - node _T_2883 = cat(_T_2882, _T_2814) @[Cat.scala 29:58] - node _T_2884 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2885 = and(_T_2884, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2886 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2887 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2888 = or(_T_2886, _T_2887) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2889 = eq(_T_2888, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2890 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2891 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2892 = and(_T_2890, _T_2891) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2893 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2895 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2896 = and(_T_2894, _T_2895) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2897 = or(_T_2889, _T_2896) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2898 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2899 = and(_T_2898, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2900 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2901 = and(_T_2899, _T_2900) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2902 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2903 = and(_T_2901, _T_2902) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2904 = or(_T_2897, _T_2903) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2905 = and(_T_2885, _T_2904) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2906 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2907 = and(_T_2906, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2908 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2909 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2910 = or(_T_2908, _T_2909) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2911 = eq(_T_2910, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2912 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2913 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2915 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2917 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2919 = or(_T_2911, _T_2918) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2920 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2921 = and(_T_2920, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2922 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2923 = and(_T_2921, _T_2922) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2924 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2925 = and(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2926 = or(_T_2919, _T_2925) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2927 = and(_T_2907, _T_2926) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2928 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2929 = and(_T_2928, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2930 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2931 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2932 = or(_T_2930, _T_2931) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2933 = eq(_T_2932, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2934 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2935 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2937 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2939 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2941 = or(_T_2933, _T_2940) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2942 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2943 = and(_T_2942, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2944 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2945 = and(_T_2943, _T_2944) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2946 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2947 = and(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2948 = or(_T_2941, _T_2947) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2949 = and(_T_2929, _T_2948) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2950 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2951 = and(_T_2950, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2952 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2953 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2954 = or(_T_2952, _T_2953) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2955 = eq(_T_2954, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2956 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2957 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2959 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2961 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2963 = or(_T_2955, _T_2962) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2964 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2965 = and(_T_2964, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2966 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2967 = and(_T_2965, _T_2966) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2968 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2969 = and(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2970 = or(_T_2963, _T_2969) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2971 = and(_T_2951, _T_2970) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2972 = cat(_T_2971, _T_2949) @[Cat.scala 29:58] - node _T_2973 = cat(_T_2972, _T_2927) @[Cat.scala 29:58] - node _T_2974 = cat(_T_2973, _T_2905) @[Cat.scala 29:58] - node _T_2975 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2976 = and(_T_2975, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2977 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2978 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2979 = or(_T_2977, _T_2978) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2980 = eq(_T_2979, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2981 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2982 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2983 = and(_T_2981, _T_2982) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2984 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2986 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2987 = and(_T_2985, _T_2986) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2988 = or(_T_2980, _T_2987) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2989 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2990 = and(_T_2989, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2991 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2992 = and(_T_2990, _T_2991) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2993 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2994 = and(_T_2992, _T_2993) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2995 = or(_T_2988, _T_2994) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2996 = and(_T_2976, _T_2995) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2997 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2998 = and(_T_2997, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2999 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_3000 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_3001 = or(_T_2999, _T_3000) @[el2_lsu_bus_buffer.scala 438:34] - node _T_3002 = eq(_T_3001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_3003 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_3004 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 439:43] - node _T_3006 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 439:73] - node _T_3008 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 439:92] - node _T_3010 = or(_T_3002, _T_3009) @[el2_lsu_bus_buffer.scala 438:61] - node _T_3011 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_3012 = and(_T_3011, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_3013 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_3014 = and(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 440:54] - node _T_3015 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_3016 = and(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 440:73] - node _T_3017 = or(_T_3010, _T_3016) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3018 = and(_T_2998, _T_3017) @[el2_lsu_bus_buffer.scala 437:114] - node _T_3019 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3020 = and(_T_3019, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_3021 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_3022 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_3023 = or(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 438:34] - node _T_3024 = eq(_T_3023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_3025 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_3026 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 439:43] - node _T_3028 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 439:73] - node _T_3030 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 439:92] - node _T_3032 = or(_T_3024, _T_3031) @[el2_lsu_bus_buffer.scala 438:61] - node _T_3033 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_3034 = and(_T_3033, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_3035 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_3036 = and(_T_3034, _T_3035) @[el2_lsu_bus_buffer.scala 440:54] - node _T_3037 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_3038 = and(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 440:73] - node _T_3039 = or(_T_3032, _T_3038) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3040 = and(_T_3020, _T_3039) @[el2_lsu_bus_buffer.scala 437:114] - node _T_3041 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3042 = and(_T_3041, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_3043 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_3044 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_3045 = or(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 438:34] - node _T_3046 = eq(_T_3045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_3047 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_3048 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 439:43] - node _T_3050 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 439:73] - node _T_3052 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 439:92] - node _T_3054 = or(_T_3046, _T_3053) @[el2_lsu_bus_buffer.scala 438:61] - node _T_3055 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_3056 = and(_T_3055, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_3057 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_3058 = and(_T_3056, _T_3057) @[el2_lsu_bus_buffer.scala 440:54] - node _T_3059 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_3060 = and(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 440:73] - node _T_3061 = or(_T_3054, _T_3060) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3062 = and(_T_3042, _T_3061) @[el2_lsu_bus_buffer.scala 437:114] - node _T_3063 = cat(_T_3062, _T_3040) @[Cat.scala 29:58] - node _T_3064 = cat(_T_3063, _T_3018) @[Cat.scala 29:58] - node _T_3065 = cat(_T_3064, _T_2996) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2792 @[el2_lsu_bus_buffer.scala 437:20] - buf_rspage_set[1] <= _T_2883 @[el2_lsu_bus_buffer.scala 437:20] - buf_rspage_set[2] <= _T_2974 @[el2_lsu_bus_buffer.scala 437:20] - buf_rspage_set[3] <= _T_3065 @[el2_lsu_bus_buffer.scala 437:20] - node _T_3066 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3067 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3069 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3070 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3072 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3073 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3075 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3076 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3078 = cat(_T_3077, _T_3074) @[Cat.scala 29:58] - node _T_3079 = cat(_T_3078, _T_3071) @[Cat.scala 29:58] - node _T_3080 = cat(_T_3079, _T_3068) @[Cat.scala 29:58] - node _T_3081 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3082 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3083 = or(_T_3081, _T_3082) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3084 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3085 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3087 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3088 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3090 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3091 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3093 = cat(_T_3092, _T_3089) @[Cat.scala 29:58] - node _T_3094 = cat(_T_3093, _T_3086) @[Cat.scala 29:58] - node _T_3095 = cat(_T_3094, _T_3083) @[Cat.scala 29:58] - node _T_3096 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3097 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3098 = or(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3099 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3100 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3102 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3103 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3105 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3106 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3108 = cat(_T_3107, _T_3104) @[Cat.scala 29:58] - node _T_3109 = cat(_T_3108, _T_3101) @[Cat.scala 29:58] - node _T_3110 = cat(_T_3109, _T_3098) @[Cat.scala 29:58] - node _T_3111 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3112 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3113 = or(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3114 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3115 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3117 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3118 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3120 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3121 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3123 = cat(_T_3122, _T_3119) @[Cat.scala 29:58] - node _T_3124 = cat(_T_3123, _T_3116) @[Cat.scala 29:58] - node _T_3125 = cat(_T_3124, _T_3113) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3080 @[el2_lsu_bus_buffer.scala 441:19] - buf_rspage_in[1] <= _T_3095 @[el2_lsu_bus_buffer.scala 441:19] - buf_rspage_in[2] <= _T_3110 @[el2_lsu_bus_buffer.scala 441:19] - buf_rspage_in[3] <= _T_3125 @[el2_lsu_bus_buffer.scala 441:19] - node _T_3126 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3127 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3131 = and(_T_3126, _T_3130) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3132 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3133 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3134 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3137 = and(_T_3132, _T_3136) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3138 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3139 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3140 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3141 = or(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3142 = eq(_T_3141, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3143 = and(_T_3138, _T_3142) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3144 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3145 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3146 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3147 = or(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3148 = eq(_T_3147, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3149 = and(_T_3144, _T_3148) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3150 = cat(_T_3149, _T_3143) @[Cat.scala 29:58] - node _T_3151 = cat(_T_3150, _T_3137) @[Cat.scala 29:58] - node _T_3152 = cat(_T_3151, _T_3131) @[Cat.scala 29:58] - node _T_3153 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3154 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3155 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3157 = eq(_T_3156, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3158 = and(_T_3153, _T_3157) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3159 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3160 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3161 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3164 = and(_T_3159, _T_3163) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3165 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3166 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3167 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3169 = eq(_T_3168, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3170 = and(_T_3165, _T_3169) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3171 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3172 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3173 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3175 = eq(_T_3174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3176 = and(_T_3171, _T_3175) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3177 = cat(_T_3176, _T_3170) @[Cat.scala 29:58] - node _T_3178 = cat(_T_3177, _T_3164) @[Cat.scala 29:58] - node _T_3179 = cat(_T_3178, _T_3158) @[Cat.scala 29:58] - node _T_3180 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3181 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3182 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3184 = eq(_T_3183, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3185 = and(_T_3180, _T_3184) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3186 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3187 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3188 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3190 = eq(_T_3189, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3191 = and(_T_3186, _T_3190) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3192 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3193 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3194 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3196 = eq(_T_3195, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3197 = and(_T_3192, _T_3196) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3198 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3199 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3200 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3202 = eq(_T_3201, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3203 = and(_T_3198, _T_3202) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3204 = cat(_T_3203, _T_3197) @[Cat.scala 29:58] - node _T_3205 = cat(_T_3204, _T_3191) @[Cat.scala 29:58] - node _T_3206 = cat(_T_3205, _T_3185) @[Cat.scala 29:58] - node _T_3207 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3208 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3209 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3211 = eq(_T_3210, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3212 = and(_T_3207, _T_3211) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3213 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3214 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3215 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3217 = eq(_T_3216, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3218 = and(_T_3213, _T_3217) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3219 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3220 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3221 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3223 = eq(_T_3222, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3224 = and(_T_3219, _T_3223) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3225 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3226 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3227 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3229 = eq(_T_3228, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3230 = and(_T_3225, _T_3229) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3231 = cat(_T_3230, _T_3224) @[Cat.scala 29:58] - node _T_3232 = cat(_T_3231, _T_3218) @[Cat.scala 29:58] - node _T_3233 = cat(_T_3232, _T_3212) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3152 @[el2_lsu_bus_buffer.scala 442:16] - buf_rspage[1] <= _T_3179 @[el2_lsu_bus_buffer.scala 442:16] - buf_rspage[2] <= _T_3206 @[el2_lsu_bus_buffer.scala 442:16] - buf_rspage[3] <= _T_3233 @[el2_lsu_bus_buffer.scala 442:16] - node _T_3234 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:77] - node _T_3235 = and(ibuf_drain_vld, _T_3234) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3236 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 447:77] - node _T_3237 = and(ibuf_drain_vld, _T_3236) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3238 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 447:77] - node _T_3239 = and(ibuf_drain_vld, _T_3238) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3240 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 447:77] - node _T_3241 = and(ibuf_drain_vld, _T_3240) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3242 = cat(_T_3241, _T_3239) @[Cat.scala 29:58] - node _T_3243 = cat(_T_3242, _T_3237) @[Cat.scala 29:58] - node _T_3244 = cat(_T_3243, _T_3235) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3244 @[el2_lsu_bus_buffer.scala 447:23] - node _T_3245 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:66] - node _T_3246 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3247 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:106] - node _T_3248 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:134] - node _T_3249 = and(_T_3247, _T_3248) @[el2_lsu_bus_buffer.scala 448:123] - node _T_3250 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:159] - node _T_3251 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:182] - node _T_3252 = mux(_T_3249, _T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 448:96] - node _T_3253 = mux(_T_3245, _T_3246, _T_3252) @[el2_lsu_bus_buffer.scala 448:48] - node _T_3254 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:66] - node _T_3255 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3256 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:106] - node _T_3257 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:134] - node _T_3258 = and(_T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 448:123] - node _T_3259 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:159] - node _T_3260 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:182] - node _T_3261 = mux(_T_3258, _T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 448:96] - node _T_3262 = mux(_T_3254, _T_3255, _T_3261) @[el2_lsu_bus_buffer.scala 448:48] - node _T_3263 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:66] - node _T_3264 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3265 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:106] - node _T_3266 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:134] - node _T_3267 = and(_T_3265, _T_3266) @[el2_lsu_bus_buffer.scala 448:123] - node _T_3268 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:159] - node _T_3269 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:182] - node _T_3270 = mux(_T_3267, _T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 448:96] - node _T_3271 = mux(_T_3263, _T_3264, _T_3270) @[el2_lsu_bus_buffer.scala 448:48] - node _T_3272 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:66] - node _T_3273 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3274 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:106] - node _T_3275 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:134] - node _T_3276 = and(_T_3274, _T_3275) @[el2_lsu_bus_buffer.scala 448:123] - node _T_3277 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:159] - node _T_3278 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:182] - node _T_3279 = mux(_T_3276, _T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 448:96] - node _T_3280 = mux(_T_3272, _T_3273, _T_3279) @[el2_lsu_bus_buffer.scala 448:48] - buf_byteen_in[0] <= _T_3253 @[el2_lsu_bus_buffer.scala 448:19] - buf_byteen_in[1] <= _T_3262 @[el2_lsu_bus_buffer.scala 448:19] - buf_byteen_in[2] <= _T_3271 @[el2_lsu_bus_buffer.scala 448:19] - buf_byteen_in[3] <= _T_3280 @[el2_lsu_bus_buffer.scala 448:19] - node _T_3281 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:64] - node _T_3282 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:93] - node _T_3283 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:121] - node _T_3284 = and(_T_3282, _T_3283) @[el2_lsu_bus_buffer.scala 449:110] - node _T_3285 = mux(_T_3284, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 449:83] - node _T_3286 = mux(_T_3281, ibuf_addr, _T_3285) @[el2_lsu_bus_buffer.scala 449:46] - node _T_3287 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:64] - node _T_3288 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:93] - node _T_3289 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:121] - node _T_3290 = and(_T_3288, _T_3289) @[el2_lsu_bus_buffer.scala 449:110] - node _T_3291 = mux(_T_3290, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 449:83] - node _T_3292 = mux(_T_3287, ibuf_addr, _T_3291) @[el2_lsu_bus_buffer.scala 449:46] - node _T_3293 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:64] - node _T_3294 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:93] - node _T_3295 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:121] - node _T_3296 = and(_T_3294, _T_3295) @[el2_lsu_bus_buffer.scala 449:110] - node _T_3297 = mux(_T_3296, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 449:83] - node _T_3298 = mux(_T_3293, ibuf_addr, _T_3297) @[el2_lsu_bus_buffer.scala 449:46] - node _T_3299 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:64] - node _T_3300 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:93] - node _T_3301 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:121] - node _T_3302 = and(_T_3300, _T_3301) @[el2_lsu_bus_buffer.scala 449:110] - node _T_3303 = mux(_T_3302, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 449:83] - node _T_3304 = mux(_T_3299, ibuf_addr, _T_3303) @[el2_lsu_bus_buffer.scala 449:46] - buf_addr_in[0] <= _T_3286 @[el2_lsu_bus_buffer.scala 449:17] - buf_addr_in[1] <= _T_3292 @[el2_lsu_bus_buffer.scala 449:17] - buf_addr_in[2] <= _T_3298 @[el2_lsu_bus_buffer.scala 449:17] - buf_addr_in[3] <= _T_3304 @[el2_lsu_bus_buffer.scala 449:17] - node _T_3305 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3306 = mux(_T_3305, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:47] - node _T_3307 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3308 = mux(_T_3307, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:47] - node _T_3309 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3310 = mux(_T_3309, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:47] - node _T_3311 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3312 = mux(_T_3311, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:47] - node _T_3313 = cat(_T_3312, _T_3310) @[Cat.scala 29:58] - node _T_3314 = cat(_T_3313, _T_3308) @[Cat.scala 29:58] - node _T_3315 = cat(_T_3314, _T_3306) @[Cat.scala 29:58] - buf_dual_in <= _T_3315 @[el2_lsu_bus_buffer.scala 450:17] - node _T_3316 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3317 = mux(_T_3316, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3318 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3319 = mux(_T_3318, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3320 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3321 = mux(_T_3320, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3322 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3323 = mux(_T_3322, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3324 = cat(_T_3323, _T_3321) @[Cat.scala 29:58] - node _T_3325 = cat(_T_3324, _T_3319) @[Cat.scala 29:58] - node _T_3326 = cat(_T_3325, _T_3317) @[Cat.scala 29:58] - buf_samedw_in <= _T_3326 @[el2_lsu_bus_buffer.scala 451:19] - node _T_3327 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:68] - node _T_3328 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3329 = mux(_T_3327, _T_3328, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 452:50] - node _T_3330 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:68] - node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 452:50] - node _T_3333 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:68] - node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 452:50] - node _T_3336 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:68] - node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 452:50] - node _T_3339 = cat(_T_3338, _T_3335) @[Cat.scala 29:58] - node _T_3340 = cat(_T_3339, _T_3332) @[Cat.scala 29:58] - node _T_3341 = cat(_T_3340, _T_3329) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3341 @[el2_lsu_bus_buffer.scala 452:20] - node _T_3342 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3343 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3344 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:120] - node _T_3345 = and(_T_3343, _T_3344) @[el2_lsu_bus_buffer.scala 453:109] - node _T_3346 = mux(_T_3342, ibuf_dual, _T_3345) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3347 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3348 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3349 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:120] - node _T_3350 = and(_T_3348, _T_3349) @[el2_lsu_bus_buffer.scala 453:109] - node _T_3351 = mux(_T_3347, ibuf_dual, _T_3350) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3352 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3354 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:120] - node _T_3355 = and(_T_3353, _T_3354) @[el2_lsu_bus_buffer.scala 453:109] - node _T_3356 = mux(_T_3352, ibuf_dual, _T_3355) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3357 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3358 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3359 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:120] - node _T_3360 = and(_T_3358, _T_3359) @[el2_lsu_bus_buffer.scala 453:109] - node _T_3361 = mux(_T_3357, ibuf_dual, _T_3360) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3362 = cat(_T_3361, _T_3356) @[Cat.scala 29:58] - node _T_3363 = cat(_T_3362, _T_3351) @[Cat.scala 29:58] - node _T_3364 = cat(_T_3363, _T_3346) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3364 @[el2_lsu_bus_buffer.scala 453:19] - node _T_3365 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3366 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:99] - node _T_3367 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:127] - node _T_3368 = and(_T_3366, _T_3367) @[el2_lsu_bus_buffer.scala 454:116] - node _T_3369 = mux(_T_3368, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 454:89] - node _T_3370 = mux(_T_3365, ibuf_dualtag, _T_3369) @[el2_lsu_bus_buffer.scala 454:49] - node _T_3371 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:99] - node _T_3373 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:127] - node _T_3374 = and(_T_3372, _T_3373) @[el2_lsu_bus_buffer.scala 454:116] - node _T_3375 = mux(_T_3374, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 454:89] - node _T_3376 = mux(_T_3371, ibuf_dualtag, _T_3375) @[el2_lsu_bus_buffer.scala 454:49] - node _T_3377 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:99] - node _T_3379 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:127] - node _T_3380 = and(_T_3378, _T_3379) @[el2_lsu_bus_buffer.scala 454:116] - node _T_3381 = mux(_T_3380, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 454:89] - node _T_3382 = mux(_T_3377, ibuf_dualtag, _T_3381) @[el2_lsu_bus_buffer.scala 454:49] - node _T_3383 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:99] - node _T_3385 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:127] - node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 454:116] - node _T_3387 = mux(_T_3386, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 454:89] - node _T_3388 = mux(_T_3383, ibuf_dualtag, _T_3387) @[el2_lsu_bus_buffer.scala 454:49] - buf_dualtag_in[0] <= _T_3370 @[el2_lsu_bus_buffer.scala 454:20] - buf_dualtag_in[1] <= _T_3376 @[el2_lsu_bus_buffer.scala 454:20] - buf_dualtag_in[2] <= _T_3382 @[el2_lsu_bus_buffer.scala 454:20] - buf_dualtag_in[3] <= _T_3388 @[el2_lsu_bus_buffer.scala 454:20] - node _T_3389 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:71] - node _T_3390 = mux(_T_3389, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 455:53] - node _T_3391 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:71] - node _T_3392 = mux(_T_3391, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 455:53] - node _T_3393 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:71] - node _T_3394 = mux(_T_3393, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 455:53] - node _T_3395 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:71] - node _T_3396 = mux(_T_3395, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 455:53] - node _T_3397 = cat(_T_3396, _T_3394) @[Cat.scala 29:58] - node _T_3398 = cat(_T_3397, _T_3392) @[Cat.scala 29:58] - node _T_3399 = cat(_T_3398, _T_3390) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3399 @[el2_lsu_bus_buffer.scala 455:23] - node _T_3400 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3401 = mux(_T_3400, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3402 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3403 = mux(_T_3402, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3404 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3405 = mux(_T_3404, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3406 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3407 = mux(_T_3406, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3408 = cat(_T_3407, _T_3405) @[Cat.scala 29:58] - node _T_3409 = cat(_T_3408, _T_3403) @[Cat.scala 29:58] - node _T_3410 = cat(_T_3409, _T_3401) @[Cat.scala 29:58] - buf_unsign_in <= _T_3410 @[el2_lsu_bus_buffer.scala 456:19] - node _T_3411 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:62] - node _T_3412 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3413 = mux(_T_3411, ibuf_sz, _T_3412) @[el2_lsu_bus_buffer.scala 457:44] - node _T_3414 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:62] + node _T_2002 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] + CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 415:11] + io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 416:11] + node _T_2003 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2004 = cat(_T_2003, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] + node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] + node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 410:42] + node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] + node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 410:48] + node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] + node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 410:54] + node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] + node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] + node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 410:67] + node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] + node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 410:73] + node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] + node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 410:79] + node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] + node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] + node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 410:92] + node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] + node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 410:98] + node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] + node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 410:104] + node _T_2026 = cat(_T_2011, _T_2018) @[Cat.scala 29:58] + node _T_2027 = cat(_T_2026, _T_2025) @[Cat.scala 29:58] + CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 417:11] + node _T_2028 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2029 = cat(_T_2028, RspPtrDec) @[Cat.scala 29:58] + node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] + node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] + node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 410:42] + node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] + node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 410:48] + node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] + node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 410:54] + node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] + node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] + node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 410:67] + node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] + node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 410:73] + node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 410:79] + node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] + node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] + node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 410:92] + node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] + node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 410:98] + node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] + node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 410:104] + node _T_2051 = cat(_T_2036, _T_2043) @[Cat.scala 29:58] + node _T_2052 = cat(_T_2051, _T_2050) @[Cat.scala 29:58] + RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 418:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 419:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 421:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 423:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 425:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] + node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2153 = cat(_T_2152, _T_2127) @[Cat.scala 29:58] + node _T_2154 = cat(_T_2153, _T_2102) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2154, _T_2077) @[Cat.scala 29:58] + node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2255 = cat(_T_2254, _T_2229) @[Cat.scala 29:58] + node _T_2256 = cat(_T_2255, _T_2204) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2256, _T_2179) @[Cat.scala 29:58] + node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2357 = cat(_T_2356, _T_2331) @[Cat.scala 29:58] + node _T_2358 = cat(_T_2357, _T_2306) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2358, _T_2281) @[Cat.scala 29:58] + node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2459 = cat(_T_2458, _T_2433) @[Cat.scala 29:58] + node _T_2460 = cat(_T_2459, _T_2408) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2460, _T_2383) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 434:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] + node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] + node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] + node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] + node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2496 = cat(_T_2495, _T_2491) @[Cat.scala 29:58] + node _T_2497 = cat(_T_2496, _T_2487) @[Cat.scala 29:58] + node _T_2498 = cat(_T_2497, _T_2483) @[Cat.scala 29:58] + node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2515 = cat(_T_2514, _T_2510) @[Cat.scala 29:58] + node _T_2516 = cat(_T_2515, _T_2506) @[Cat.scala 29:58] + node _T_2517 = cat(_T_2516, _T_2502) @[Cat.scala 29:58] + node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2534 = cat(_T_2533, _T_2529) @[Cat.scala 29:58] + node _T_2535 = cat(_T_2534, _T_2525) @[Cat.scala 29:58] + node _T_2536 = cat(_T_2535, _T_2521) @[Cat.scala 29:58] + buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 436:13] + buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 436:13] + buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 436:13] + buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 436:13] + node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2561 = cat(_T_2560, _T_2554) @[Cat.scala 29:58] + node _T_2562 = cat(_T_2561, _T_2548) @[Cat.scala 29:58] + node _T_2563 = cat(_T_2562, _T_2542) @[Cat.scala 29:58] + node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2588 = cat(_T_2587, _T_2581) @[Cat.scala 29:58] + node _T_2589 = cat(_T_2588, _T_2575) @[Cat.scala 29:58] + node _T_2590 = cat(_T_2589, _T_2569) @[Cat.scala 29:58] + node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2615 = cat(_T_2614, _T_2608) @[Cat.scala 29:58] + node _T_2616 = cat(_T_2615, _T_2602) @[Cat.scala 29:58] + node _T_2617 = cat(_T_2616, _T_2596) @[Cat.scala 29:58] + node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2642 = cat(_T_2641, _T_2635) @[Cat.scala 29:58] + node _T_2643 = cat(_T_2642, _T_2629) @[Cat.scala 29:58] + node _T_2644 = cat(_T_2643, _T_2623) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 437:21] + buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 437:21] + buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 437:21] + buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 437:21] + node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2657 = cat(_T_2656, _T_2653) @[Cat.scala 29:58] + node _T_2658 = cat(_T_2657, _T_2650) @[Cat.scala 29:58] + node _T_2659 = cat(_T_2658, _T_2647) @[Cat.scala 29:58] + node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2672 = cat(_T_2671, _T_2668) @[Cat.scala 29:58] + node _T_2673 = cat(_T_2672, _T_2665) @[Cat.scala 29:58] + node _T_2674 = cat(_T_2673, _T_2662) @[Cat.scala 29:58] + node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2687 = cat(_T_2686, _T_2683) @[Cat.scala 29:58] + node _T_2688 = cat(_T_2687, _T_2680) @[Cat.scala 29:58] + node _T_2689 = cat(_T_2688, _T_2677) @[Cat.scala 29:58] + node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2702 = cat(_T_2701, _T_2698) @[Cat.scala 29:58] + node _T_2703 = cat(_T_2702, _T_2695) @[Cat.scala 29:58] + node _T_2704 = cat(_T_2703, _T_2692) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 438:21] + buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 438:21] + buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 438:21] + buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 438:21] + node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2793 = cat(_T_2792, _T_2770) @[Cat.scala 29:58] + node _T_2794 = cat(_T_2793, _T_2748) @[Cat.scala 29:58] + node _T_2795 = cat(_T_2794, _T_2726) @[Cat.scala 29:58] + node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] + node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] + node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] + node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] + node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] + node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] + node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 440:114] + node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 441:34] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 442:43] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 442:92] + node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 441:61] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 443:54] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 443:73] + node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 442:112] + node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 440:114] + node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 441:34] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 442:43] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 442:92] + node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 441:61] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 443:54] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 443:73] + node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 442:112] + node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 440:114] + node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 441:34] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 442:43] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 442:92] + node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 441:61] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 443:54] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 443:73] + node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 442:112] + node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 440:114] + node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] + node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] + node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 440:20] + buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 440:20] + buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 440:20] + buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 440:20] + node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3081 = cat(_T_3080, _T_3077) @[Cat.scala 29:58] + node _T_3082 = cat(_T_3081, _T_3074) @[Cat.scala 29:58] + node _T_3083 = cat(_T_3082, _T_3071) @[Cat.scala 29:58] + node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3096 = cat(_T_3095, _T_3092) @[Cat.scala 29:58] + node _T_3097 = cat(_T_3096, _T_3089) @[Cat.scala 29:58] + node _T_3098 = cat(_T_3097, _T_3086) @[Cat.scala 29:58] + node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3111 = cat(_T_3110, _T_3107) @[Cat.scala 29:58] + node _T_3112 = cat(_T_3111, _T_3104) @[Cat.scala 29:58] + node _T_3113 = cat(_T_3112, _T_3101) @[Cat.scala 29:58] + node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3126 = cat(_T_3125, _T_3122) @[Cat.scala 29:58] + node _T_3127 = cat(_T_3126, _T_3119) @[Cat.scala 29:58] + node _T_3128 = cat(_T_3127, _T_3116) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 444:19] + buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 444:19] + buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 444:19] + buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 444:19] + node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3153 = cat(_T_3152, _T_3146) @[Cat.scala 29:58] + node _T_3154 = cat(_T_3153, _T_3140) @[Cat.scala 29:58] + node _T_3155 = cat(_T_3154, _T_3134) @[Cat.scala 29:58] + node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3180 = cat(_T_3179, _T_3173) @[Cat.scala 29:58] + node _T_3181 = cat(_T_3180, _T_3167) @[Cat.scala 29:58] + node _T_3182 = cat(_T_3181, _T_3161) @[Cat.scala 29:58] + node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3207 = cat(_T_3206, _T_3200) @[Cat.scala 29:58] + node _T_3208 = cat(_T_3207, _T_3194) @[Cat.scala 29:58] + node _T_3209 = cat(_T_3208, _T_3188) @[Cat.scala 29:58] + node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3234 = cat(_T_3233, _T_3227) @[Cat.scala 29:58] + node _T_3235 = cat(_T_3234, _T_3221) @[Cat.scala 29:58] + node _T_3236 = cat(_T_3235, _T_3215) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 445:16] + buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 445:16] + buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 445:16] + buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 445:16] + node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:77] + node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 450:65] + node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:77] + node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 450:65] + node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:77] + node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 450:65] + node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:77] + node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 450:65] + node _T_3245 = cat(_T_3244, _T_3242) @[Cat.scala 29:58] + node _T_3246 = cat(_T_3245, _T_3240) @[Cat.scala 29:58] + node _T_3247 = cat(_T_3246, _T_3238) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 450:23] + node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:66] + node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] + node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] + node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:134] + node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 451:123] + node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] + node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] + node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 451:96] + node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 451:48] + node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:66] + node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] + node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] + node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:134] + node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 451:123] + node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] + node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] + node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 451:96] + node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 451:48] + node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:66] + node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] + node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] + node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:134] + node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 451:123] + node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] + node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] + node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 451:96] + node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 451:48] + node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:66] + node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] + node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] + node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:134] + node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 451:123] + node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] + node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] + node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 451:96] + node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 451:48] + buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 451:19] + buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 451:19] + buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 451:19] + buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 451:19] + node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:64] + node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] + node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:121] + node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 452:110] + node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] + node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 452:46] + node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:64] + node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] + node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 452:121] + node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 452:110] + node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] + node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 452:46] + node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:64] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 452:121] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 452:110] + node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] + node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 452:46] + node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:64] + node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] + node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 452:121] + node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 452:110] + node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] + node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 452:46] + buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 452:17] + buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 452:17] + buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 452:17] + buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 452:17] + node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] + node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] + node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] + node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] + node _T_3316 = cat(_T_3315, _T_3313) @[Cat.scala 29:58] + node _T_3317 = cat(_T_3316, _T_3311) @[Cat.scala 29:58] + node _T_3318 = cat(_T_3317, _T_3309) @[Cat.scala 29:58] + buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 453:17] + node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:67] + node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] + node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:67] + node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] + node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:67] + node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] + node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:67] + node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] + node _T_3327 = cat(_T_3326, _T_3324) @[Cat.scala 29:58] + node _T_3328 = cat(_T_3327, _T_3322) @[Cat.scala 29:58] + node _T_3329 = cat(_T_3328, _T_3320) @[Cat.scala 29:58] + buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 454:19] + node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:68] + node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] + node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:68] + node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] + node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:68] + node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] + node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:68] + node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] + node _T_3342 = cat(_T_3341, _T_3338) @[Cat.scala 29:58] + node _T_3343 = cat(_T_3342, _T_3335) @[Cat.scala 29:58] + node _T_3344 = cat(_T_3343, _T_3332) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 455:20] + node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:120] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 456:109] + node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] + node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 456:120] + node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 456:109] + node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] + node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 456:120] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 456:109] + node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] + node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 456:120] + node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 456:109] + node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3365 = cat(_T_3364, _T_3359) @[Cat.scala 29:58] + node _T_3366 = cat(_T_3365, _T_3354) @[Cat.scala 29:58] + node _T_3367 = cat(_T_3366, _T_3349) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 456:19] + node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] + node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:127] + node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 457:116] + node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] + node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 457:49] + node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] + node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:127] + node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 457:116] + node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] + node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 457:49] + node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] + node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:127] + node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 457:116] + node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] + node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 457:49] + node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] + node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:127] + node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 457:116] + node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] + node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 457:49] + buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 457:20] + buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 457:20] + buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 457:20] + buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 457:20] + node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:71] + node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] + node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:71] + node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] + node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:71] + node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] + node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:71] + node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] + node _T_3400 = cat(_T_3399, _T_3397) @[Cat.scala 29:58] + node _T_3401 = cat(_T_3400, _T_3395) @[Cat.scala 29:58] + node _T_3402 = cat(_T_3401, _T_3393) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 458:23] + node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3411 = cat(_T_3410, _T_3408) @[Cat.scala 29:58] + node _T_3412 = cat(_T_3411, _T_3406) @[Cat.scala 29:58] + node _T_3413 = cat(_T_3412, _T_3404) @[Cat.scala 29:58] + buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 459:19] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:62] node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 457:44] - node _T_3417 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:62] + node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 460:44] + node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:62] node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 457:44] - node _T_3420 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:62] + node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 460:44] + node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:62] node _T_3421 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 457:44] - buf_sz_in[0] <= _T_3413 @[el2_lsu_bus_buffer.scala 457:15] - buf_sz_in[1] <= _T_3416 @[el2_lsu_bus_buffer.scala 457:15] - buf_sz_in[2] <= _T_3419 @[el2_lsu_bus_buffer.scala 457:15] - buf_sz_in[3] <= _T_3422 @[el2_lsu_bus_buffer.scala 457:15] - node _T_3423 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:66] - node _T_3424 = mux(_T_3423, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 458:48] - node _T_3425 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:66] - node _T_3426 = mux(_T_3425, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 458:48] - node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:66] - node _T_3428 = mux(_T_3427, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 458:48] - node _T_3429 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:66] - node _T_3430 = mux(_T_3429, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 458:48] - node _T_3431 = cat(_T_3430, _T_3428) @[Cat.scala 29:58] - node _T_3432 = cat(_T_3431, _T_3426) @[Cat.scala 29:58] - node _T_3433 = cat(_T_3432, _T_3424) @[Cat.scala 29:58] - buf_write_in <= _T_3433 @[el2_lsu_bus_buffer.scala 458:18] - node _T_3434 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3434 : @[Conditional.scala 40:58] - node _T_3435 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 463:56] - node _T_3436 = mux(_T_3435, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 463:31] - buf_nxtstate[0] <= _T_3436 @[el2_lsu_bus_buffer.scala 463:25] - node _T_3437 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 464:45] - node _T_3438 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:77] - node _T_3439 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:97] - node _T_3440 = and(_T_3438, _T_3439) @[el2_lsu_bus_buffer.scala 464:95] - node _T_3441 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 464:117] - node _T_3442 = and(_T_3440, _T_3441) @[el2_lsu_bus_buffer.scala 464:112] - node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:144] - node _T_3444 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 464:166] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 464:161] - node _T_3446 = or(_T_3442, _T_3445) @[el2_lsu_bus_buffer.scala 464:132] - node _T_3447 = and(_T_3437, _T_3446) @[el2_lsu_bus_buffer.scala 464:63] - node _T_3448 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:206] - node _T_3449 = and(ibuf_drain_vld, _T_3448) @[el2_lsu_bus_buffer.scala 464:201] - node _T_3450 = or(_T_3447, _T_3449) @[el2_lsu_bus_buffer.scala 464:183] - buf_state_en[0] <= _T_3450 @[el2_lsu_bus_buffer.scala 464:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 465:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 466:24] - node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:52] - node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 467:47] - node _T_3453 = bits(_T_3452, 0, 0) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3454 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 467:90] - node _T_3455 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3456 = mux(_T_3453, _T_3454, _T_3455) @[el2_lsu_bus_buffer.scala 467:30] - buf_data_in[0] <= _T_3456 @[el2_lsu_bus_buffer.scala 467:24] + node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 460:44] + node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:62] + node _T_3424 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 460:44] + buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 460:15] + buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 460:15] + buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 460:15] + buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 460:15] + node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:66] + node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] + node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:66] + node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:66] + node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] + node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:66] + node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] + node _T_3434 = cat(_T_3433, _T_3431) @[Cat.scala 29:58] + node _T_3435 = cat(_T_3434, _T_3429) @[Cat.scala 29:58] + node _T_3436 = cat(_T_3435, _T_3427) @[Cat.scala 29:58] + buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 461:18] + node _T_3437 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3437 : @[Conditional.scala 40:58] + node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] + node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] + node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] + node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] + node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] + node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] + node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 467:161] + node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 467:132] + node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 467:63] + node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] + node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 467:201] + node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 467:183] + buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 467:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 468:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 469:24] + node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] + node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 470:47] + node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] + node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] + node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 470:30] + buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3457 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3457 : @[Conditional.scala 39:67] - node _T_3458 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3459 = mux(_T_3458, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3460 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 471:46] - buf_state_en[0] <= _T_3460 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3460 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3460 : @[Conditional.scala 39:67] + node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] + node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] + buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] + buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 474:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3461 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3461 : @[Conditional.scala 39:67] - node _T_3462 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 474:60] - node _T_3463 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 474:89] - node _T_3464 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 474:124] - node _T_3465 = and(_T_3463, _T_3464) @[el2_lsu_bus_buffer.scala 474:104] - node _T_3466 = mux(_T_3465, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 474:75] - node _T_3467 = mux(_T_3462, UInt<3>("h00"), _T_3466) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[0] <= _T_3467 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3468 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:48] - node _T_3469 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_3470 = and(obuf_merge, _T_3469) @[el2_lsu_bus_buffer.scala 475:91] - node _T_3471 = or(_T_3468, _T_3470) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3472 = and(_T_3471, obuf_valid) @[el2_lsu_bus_buffer.scala 475:135] - node _T_3473 = and(_T_3472, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 475:148] - buf_cmd_state_bus_en[0] <= _T_3473 @[el2_lsu_bus_buffer.scala 475:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 476:29] - node _T_3474 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3475 = or(_T_3474, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:70] - buf_state_en[0] <= _T_3475 @[el2_lsu_bus_buffer.scala 477:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 478:25] - node _T_3476 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 479:56] - node _T_3477 = eq(_T_3476, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3478 = and(buf_state_en[0], _T_3477) @[el2_lsu_bus_buffer.scala 479:44] - node _T_3479 = and(_T_3478, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:60] - node _T_3480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:76] - node _T_3481 = and(_T_3479, _T_3480) @[el2_lsu_bus_buffer.scala 479:74] - buf_ldfwd_en[0] <= _T_3481 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3482 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 480:46] - buf_ldfwdtag_in[0] <= _T_3482 @[el2_lsu_bus_buffer.scala 480:28] - node _T_3483 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3484 = and(_T_3483, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3485 = and(_T_3484, bus_rsp_read) @[el2_lsu_bus_buffer.scala 481:81] - buf_data_en[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 481:24] - node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:48] - node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3488 = and(_T_3487, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 482:82] - buf_error_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3489 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3490 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 483:85] - node _T_3491 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 483:103] - node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:126] - node _T_3493 = mux(_T_3490, _T_3491, _T_3492) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3494 = mux(buf_error_en[0], _T_3489, _T_3493) @[el2_lsu_bus_buffer.scala 483:30] - buf_data_in[0] <= _T_3494 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3464 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3464 : @[Conditional.scala 39:67] + node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] + node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] + node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] + node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] + node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:104] + node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 478:91] + node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] + node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] + buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 478:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 479:29] + node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] + buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 480:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] + node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 482:56] + node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] + node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 482:44] + node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] + node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] + node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 482:74] + buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] + buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 483:28] + node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] + buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 484:24] + node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] + node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] + buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] + node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] + node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] + node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] + node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 486:30] + buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 486:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3495 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3495 : @[Conditional.scala 39:67] - node _T_3496 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3497 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 486:94] - node _T_3498 = eq(_T_3497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3499 = and(_T_3496, _T_3498) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3500 = or(io.dec_tlu_force_halt, _T_3499) @[el2_lsu_bus_buffer.scala 486:55] - node _T_3501 = bits(_T_3500, 0, 0) @[el2_lsu_bus_buffer.scala 486:125] - node _T_3502 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:30] - node _T_3503 = and(buf_dual[0], _T_3502) @[el2_lsu_bus_buffer.scala 487:28] - node _T_3504 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 487:57] - node _T_3505 = eq(_T_3504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3506 = and(_T_3503, _T_3505) @[el2_lsu_bus_buffer.scala 487:45] - node _T_3507 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 487:90] - node _T_3508 = and(_T_3506, _T_3507) @[el2_lsu_bus_buffer.scala 487:61] - node _T_3509 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3510 = or(_T_3509, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:31] - node _T_3511 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:70] - node _T_3512 = and(buf_dual[0], _T_3511) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3513 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 488:97] - node _T_3514 = eq(_T_3513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:87] - node _T_3515 = and(_T_3512, _T_3514) @[el2_lsu_bus_buffer.scala 488:85] - node _T_3516 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3517 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3518 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3519 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3520 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3521 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3522 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3523 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3524 = mux(_T_3516, _T_3517, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3525 = mux(_T_3518, _T_3519, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3526 = mux(_T_3520, _T_3521, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3527 = mux(_T_3522, _T_3523, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3528 = or(_T_3524, _T_3525) @[Mux.scala 27:72] - node _T_3529 = or(_T_3528, _T_3526) @[Mux.scala 27:72] - node _T_3530 = or(_T_3529, _T_3527) @[Mux.scala 27:72] - wire _T_3531 : UInt<1> @[Mux.scala 27:72] - _T_3531 <= _T_3530 @[Mux.scala 27:72] - node _T_3532 = and(_T_3515, _T_3531) @[el2_lsu_bus_buffer.scala 488:101] - node _T_3533 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 488:167] - node _T_3534 = and(_T_3532, _T_3533) @[el2_lsu_bus_buffer.scala 488:138] - node _T_3535 = and(_T_3534, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:187] - node _T_3536 = or(_T_3510, _T_3535) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3537 = mux(_T_3536, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 488:16] - node _T_3538 = mux(_T_3508, UInt<3>("h04"), _T_3537) @[el2_lsu_bus_buffer.scala 487:14] - node _T_3539 = mux(_T_3501, UInt<3>("h00"), _T_3538) @[el2_lsu_bus_buffer.scala 486:31] - buf_nxtstate[0] <= _T_3539 @[el2_lsu_bus_buffer.scala 486:25] - node _T_3540 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3541 = and(bus_rsp_write, _T_3540) @[el2_lsu_bus_buffer.scala 489:52] - node _T_3542 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3543 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 491:23] - node _T_3544 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3545 = and(_T_3543, _T_3544) @[el2_lsu_bus_buffer.scala 491:27] - node _T_3546 = or(_T_3542, _T_3545) @[el2_lsu_bus_buffer.scala 490:77] - node _T_3547 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 492:26] - node _T_3548 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 492:54] - node _T_3549 = not(_T_3548) @[el2_lsu_bus_buffer.scala 492:44] - node _T_3550 = and(_T_3547, _T_3549) @[el2_lsu_bus_buffer.scala 492:42] - node _T_3551 = and(_T_3550, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 492:58] - node _T_3552 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3553 = and(_T_3551, _T_3552) @[el2_lsu_bus_buffer.scala 492:74] - node _T_3554 = or(_T_3546, _T_3553) @[el2_lsu_bus_buffer.scala 491:71] - node _T_3555 = and(bus_rsp_read, _T_3554) @[el2_lsu_bus_buffer.scala 490:25] - node _T_3556 = or(_T_3541, _T_3555) @[el2_lsu_bus_buffer.scala 489:105] - buf_resp_state_bus_en[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 489:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 493:29] - node _T_3557 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3558 = or(_T_3557, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 494:70] - buf_state_en[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 494:25] - node _T_3559 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:47] - node _T_3560 = and(_T_3559, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:62] - buf_data_en[0] <= _T_3560 @[el2_lsu_bus_buffer.scala 495:24] - node _T_3561 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3562 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 496:111] - node _T_3563 = and(bus_rsp_read_error, _T_3562) @[el2_lsu_bus_buffer.scala 496:91] - node _T_3564 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 497:42] - node _T_3565 = and(bus_rsp_read_error, _T_3564) @[el2_lsu_bus_buffer.scala 497:31] - node _T_3566 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3567 = and(_T_3565, _T_3566) @[el2_lsu_bus_buffer.scala 497:46] - node _T_3568 = or(_T_3563, _T_3567) @[el2_lsu_bus_buffer.scala 496:143] - node _T_3569 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 498:32] - node _T_3570 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 498:53] - node _T_3572 = or(_T_3568, _T_3571) @[el2_lsu_bus_buffer.scala 497:88] - node _T_3573 = and(_T_3561, _T_3572) @[el2_lsu_bus_buffer.scala 496:68] - buf_error_en[0] <= _T_3573 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3574 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:50] - node _T_3575 = and(buf_state_en[0], _T_3574) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3576 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 499:84] - node _T_3577 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 499:102] - node _T_3578 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:125] - node _T_3579 = mux(_T_3576, _T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 499:72] - node _T_3580 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:148] - node _T_3581 = mux(_T_3575, _T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[0] <= _T_3581 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3498 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3498 : @[Conditional.scala 39:67] + node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 489:71] + node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 489:55] + node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] + node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] + node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 490:28] + node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 490:57] + node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 490:45] + node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] + node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 490:61] + node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 491:27] + node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] + node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] + node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 491:97] + node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] + node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 491:85] + node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3527 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3528 = mux(_T_3521, _T_3522, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3529 = mux(_T_3523, _T_3524, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3530 = mux(_T_3525, _T_3526, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3531 = or(_T_3527, _T_3528) @[Mux.scala 27:72] + node _T_3532 = or(_T_3531, _T_3529) @[Mux.scala 27:72] + node _T_3533 = or(_T_3532, _T_3530) @[Mux.scala 27:72] + wire _T_3534 : UInt<1> @[Mux.scala 27:72] + _T_3534 <= _T_3533 @[Mux.scala 27:72] + node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 491:101] + node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] + node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 491:138] + node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] + node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 491:53] + node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] + node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 490:14] + node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 489:31] + buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 489:25] + node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 492:52] + node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:23] + node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 494:47] + node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 494:27] + node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 493:77] + node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 495:26] + node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 495:54] + node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 495:44] + node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 495:42] + node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 495:58] + node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 495:94] + node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 493:25] + node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 492:105] + buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 492:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 496:29] + node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] + buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] + buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 498:24] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 499:111] + node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 499:91] + node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 500:42] + node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 500:31] + node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 500:46] + node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 499:143] + node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] + node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 501:74] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 501:53] + node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 500:88] + node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 499:68] + buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] + node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 502:48] + node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] + node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] + node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] + node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 502:72] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] + node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 502:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 502:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3582 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3582 : @[Conditional.scala 39:67] - node _T_3583 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3584 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 502:86] - node _T_3585 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3586 = bits(_T_3585, 0, 0) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3587 = or(_T_3584, _T_3586) @[el2_lsu_bus_buffer.scala 502:90] - node _T_3588 = or(_T_3587, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:118] - node _T_3589 = mux(_T_3588, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:75] - node _T_3590 = mux(_T_3583, UInt<3>("h00"), _T_3589) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3591 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3592 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3593 = bits(_T_3592, 0, 0) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3594 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 504:58] - node _T_3595 = and(_T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 504:38] - node _T_3596 = or(_T_3591, _T_3595) @[el2_lsu_bus_buffer.scala 503:95] - node _T_3597 = and(bus_rsp_read, _T_3596) @[el2_lsu_bus_buffer.scala 503:45] - buf_state_bus_en[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 503:29] - node _T_3598 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_3599 = or(_T_3598, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[0] <= _T_3599 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3585 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3585 : @[Conditional.scala 39:67] + node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 505:86] + node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 505:90] + node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] + node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 506:66] + node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 507:58] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 507:38] + node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 506:95] + node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 506:45] + buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 506:29] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 508:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3600 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3600 : @[Conditional.scala 39:67] - node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3602 = mux(_T_3601, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3603 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 509:37] - node _T_3604 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 509:98] - node _T_3605 = and(buf_dual[0], _T_3604) @[el2_lsu_bus_buffer.scala 509:80] - node _T_3606 = or(_T_3603, _T_3605) @[el2_lsu_bus_buffer.scala 509:65] - node _T_3607 = or(_T_3606, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:112] - buf_state_en[0] <= _T_3607 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3603 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3603 : @[Conditional.scala 39:67] + node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 512:37] + node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] + node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 512:80] + node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 512:65] + node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] + buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3608 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3608 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 513:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 514:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 516:25] + node _T_3611 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3611 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] - node _T_3609 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:108] - reg _T_3610 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3609 : @[Reg.scala 28:19] - _T_3610 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + reg _T_3613 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3612 : @[Reg.scala 28:19] + _T_3613 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 519:18] - reg _T_3611 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 520:60] - _T_3611 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 520:60] - buf_ageQ[0] <= _T_3611 @[el2_lsu_bus_buffer.scala 520:17] - reg _T_3612 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 521:63] - _T_3612 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 521:63] - buf_rspageQ[0] <= _T_3612 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3613 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:109] - reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3613 : @[Reg.scala 28:19] - _T_3614 <= buf_dualtag_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3615 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 523:74] - node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 523:107] - reg _T_3617 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 522:18] + reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] + _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 523:60] + buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 523:17] + reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] + _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 524:63] + buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + reg _T_3617 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3616 : @[Reg.scala 28:19] - _T_3617 <= _T_3615 @[Reg.scala 28:23] + _T_3617 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 523:17] - node _T_3618 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 524:78] - node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 524:111] + buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 525:20] + node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 526:74] + node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3619 : @[Reg.scala 28:19] _T_3620 <= _T_3618 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 524:19] - node _T_3621 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 525:80] - node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:113] + buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 526:17] + node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:78] + node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3622 : @[Reg.scala 28:19] _T_3623 <= _T_3621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 525:20] - node _T_3624 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 526:78] - node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 527:19] + node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:80] + node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] reg _T_3626 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3625 : @[Reg.scala 28:19] _T_3626 <= _T_3624 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 526:19] - node _T_3627 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3627 : @[Conditional.scala 40:58] - node _T_3628 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 463:56] - node _T_3629 = mux(_T_3628, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 463:31] - buf_nxtstate[1] <= _T_3629 @[el2_lsu_bus_buffer.scala 463:25] - node _T_3630 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 464:45] - node _T_3631 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:77] - node _T_3632 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:97] - node _T_3633 = and(_T_3631, _T_3632) @[el2_lsu_bus_buffer.scala 464:95] - node _T_3634 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 464:117] - node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 464:112] - node _T_3636 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:144] - node _T_3637 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 464:166] - node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 464:161] - node _T_3639 = or(_T_3635, _T_3638) @[el2_lsu_bus_buffer.scala 464:132] - node _T_3640 = and(_T_3630, _T_3639) @[el2_lsu_bus_buffer.scala 464:63] - node _T_3641 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:206] - node _T_3642 = and(ibuf_drain_vld, _T_3641) @[el2_lsu_bus_buffer.scala 464:201] - node _T_3643 = or(_T_3640, _T_3642) @[el2_lsu_bus_buffer.scala 464:183] - buf_state_en[1] <= _T_3643 @[el2_lsu_bus_buffer.scala 464:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 465:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 466:24] - node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:52] - node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 467:47] - node _T_3646 = bits(_T_3645, 0, 0) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3647 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 467:90] - node _T_3648 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3649 = mux(_T_3646, _T_3647, _T_3648) @[el2_lsu_bus_buffer.scala 467:30] - buf_data_in[1] <= _T_3649 @[el2_lsu_bus_buffer.scala 467:24] + buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 528:20] + node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:78] + node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + reg _T_3629 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3628 : @[Reg.scala 28:19] + _T_3629 <= _T_3627 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 529:19] + node _T_3630 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3630 : @[Conditional.scala 40:58] + node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] + node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] + node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] + node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] + node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] + node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] + node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] + node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 467:161] + node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 467:132] + node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 467:63] + node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] + node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 467:201] + node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 467:183] + buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 467:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 468:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 469:24] + node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] + node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 470:47] + node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] + node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] + node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 470:30] + buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3650 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3650 : @[Conditional.scala 39:67] - node _T_3651 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3652 = mux(_T_3651, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3653 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 471:46] - buf_state_en[1] <= _T_3653 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3653 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3653 : @[Conditional.scala 39:67] + node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] + node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] + buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] + buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 474:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3654 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3654 : @[Conditional.scala 39:67] - node _T_3655 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 474:60] - node _T_3656 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 474:89] - node _T_3657 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 474:124] - node _T_3658 = and(_T_3656, _T_3657) @[el2_lsu_bus_buffer.scala 474:104] - node _T_3659 = mux(_T_3658, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 474:75] - node _T_3660 = mux(_T_3655, UInt<3>("h00"), _T_3659) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[1] <= _T_3660 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3661 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 475:48] - node _T_3662 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_3663 = and(obuf_merge, _T_3662) @[el2_lsu_bus_buffer.scala 475:91] - node _T_3664 = or(_T_3661, _T_3663) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3665 = and(_T_3664, obuf_valid) @[el2_lsu_bus_buffer.scala 475:135] - node _T_3666 = and(_T_3665, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 475:148] - buf_cmd_state_bus_en[1] <= _T_3666 @[el2_lsu_bus_buffer.scala 475:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 476:29] - node _T_3667 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3668 = or(_T_3667, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:70] - buf_state_en[1] <= _T_3668 @[el2_lsu_bus_buffer.scala 477:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 478:25] - node _T_3669 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 479:56] - node _T_3670 = eq(_T_3669, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3671 = and(buf_state_en[1], _T_3670) @[el2_lsu_bus_buffer.scala 479:44] - node _T_3672 = and(_T_3671, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:60] - node _T_3673 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:76] - node _T_3674 = and(_T_3672, _T_3673) @[el2_lsu_bus_buffer.scala 479:74] - buf_ldfwd_en[1] <= _T_3674 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3675 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 480:46] - buf_ldfwdtag_in[1] <= _T_3675 @[el2_lsu_bus_buffer.scala 480:28] - node _T_3676 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3677 = and(_T_3676, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3678 = and(_T_3677, bus_rsp_read) @[el2_lsu_bus_buffer.scala 481:81] - buf_data_en[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 481:24] - node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:48] - node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3681 = and(_T_3680, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 482:82] - buf_error_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3682 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3683 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 483:85] - node _T_3684 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 483:103] - node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:126] - node _T_3686 = mux(_T_3683, _T_3684, _T_3685) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3687 = mux(buf_error_en[1], _T_3682, _T_3686) @[el2_lsu_bus_buffer.scala 483:30] - buf_data_in[1] <= _T_3687 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3657 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3657 : @[Conditional.scala 39:67] + node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] + node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] + node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] + node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 478:104] + node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 478:91] + node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] + node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] + buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 478:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 479:29] + node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] + buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 480:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] + node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 482:56] + node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] + node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 482:44] + node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] + node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 482:74] + buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] + buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 483:28] + node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] + buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 484:24] + node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] + node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] + buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] + node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] + node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] + node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] + node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 486:30] + buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 486:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3688 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3688 : @[Conditional.scala 39:67] - node _T_3689 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3690 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 486:94] - node _T_3691 = eq(_T_3690, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3692 = and(_T_3689, _T_3691) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3693 = or(io.dec_tlu_force_halt, _T_3692) @[el2_lsu_bus_buffer.scala 486:55] - node _T_3694 = bits(_T_3693, 0, 0) @[el2_lsu_bus_buffer.scala 486:125] - node _T_3695 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:30] - node _T_3696 = and(buf_dual[1], _T_3695) @[el2_lsu_bus_buffer.scala 487:28] - node _T_3697 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 487:57] - node _T_3698 = eq(_T_3697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3699 = and(_T_3696, _T_3698) @[el2_lsu_bus_buffer.scala 487:45] - node _T_3700 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 487:90] - node _T_3701 = and(_T_3699, _T_3700) @[el2_lsu_bus_buffer.scala 487:61] - node _T_3702 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3703 = or(_T_3702, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:31] - node _T_3704 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:70] - node _T_3705 = and(buf_dual[1], _T_3704) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3706 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 488:97] - node _T_3707 = eq(_T_3706, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:87] - node _T_3708 = and(_T_3705, _T_3707) @[el2_lsu_bus_buffer.scala 488:85] - node _T_3709 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3710 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3711 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3712 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3713 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3714 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3715 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3716 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3717 = mux(_T_3709, _T_3710, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3718 = mux(_T_3711, _T_3712, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3719 = mux(_T_3713, _T_3714, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3720 = mux(_T_3715, _T_3716, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3721 = or(_T_3717, _T_3718) @[Mux.scala 27:72] - node _T_3722 = or(_T_3721, _T_3719) @[Mux.scala 27:72] - node _T_3723 = or(_T_3722, _T_3720) @[Mux.scala 27:72] - wire _T_3724 : UInt<1> @[Mux.scala 27:72] - _T_3724 <= _T_3723 @[Mux.scala 27:72] - node _T_3725 = and(_T_3708, _T_3724) @[el2_lsu_bus_buffer.scala 488:101] - node _T_3726 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 488:167] - node _T_3727 = and(_T_3725, _T_3726) @[el2_lsu_bus_buffer.scala 488:138] - node _T_3728 = and(_T_3727, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:187] - node _T_3729 = or(_T_3703, _T_3728) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3730 = mux(_T_3729, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 488:16] - node _T_3731 = mux(_T_3701, UInt<3>("h04"), _T_3730) @[el2_lsu_bus_buffer.scala 487:14] - node _T_3732 = mux(_T_3694, UInt<3>("h00"), _T_3731) @[el2_lsu_bus_buffer.scala 486:31] - buf_nxtstate[1] <= _T_3732 @[el2_lsu_bus_buffer.scala 486:25] - node _T_3733 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3734 = and(bus_rsp_write, _T_3733) @[el2_lsu_bus_buffer.scala 489:52] - node _T_3735 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3736 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 491:23] - node _T_3737 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3738 = and(_T_3736, _T_3737) @[el2_lsu_bus_buffer.scala 491:27] - node _T_3739 = or(_T_3735, _T_3738) @[el2_lsu_bus_buffer.scala 490:77] - node _T_3740 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 492:26] - node _T_3741 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 492:54] - node _T_3742 = not(_T_3741) @[el2_lsu_bus_buffer.scala 492:44] - node _T_3743 = and(_T_3740, _T_3742) @[el2_lsu_bus_buffer.scala 492:42] - node _T_3744 = and(_T_3743, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 492:58] - node _T_3745 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3746 = and(_T_3744, _T_3745) @[el2_lsu_bus_buffer.scala 492:74] - node _T_3747 = or(_T_3739, _T_3746) @[el2_lsu_bus_buffer.scala 491:71] - node _T_3748 = and(bus_rsp_read, _T_3747) @[el2_lsu_bus_buffer.scala 490:25] - node _T_3749 = or(_T_3734, _T_3748) @[el2_lsu_bus_buffer.scala 489:105] - buf_resp_state_bus_en[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 489:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 493:29] - node _T_3750 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3751 = or(_T_3750, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 494:70] - buf_state_en[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 494:25] - node _T_3752 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:47] - node _T_3753 = and(_T_3752, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:62] - buf_data_en[1] <= _T_3753 @[el2_lsu_bus_buffer.scala 495:24] - node _T_3754 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3755 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:111] - node _T_3756 = and(bus_rsp_read_error, _T_3755) @[el2_lsu_bus_buffer.scala 496:91] - node _T_3757 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 497:42] - node _T_3758 = and(bus_rsp_read_error, _T_3757) @[el2_lsu_bus_buffer.scala 497:31] - node _T_3759 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3760 = and(_T_3758, _T_3759) @[el2_lsu_bus_buffer.scala 497:46] - node _T_3761 = or(_T_3756, _T_3760) @[el2_lsu_bus_buffer.scala 496:143] - node _T_3762 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 498:32] - node _T_3763 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 498:53] - node _T_3765 = or(_T_3761, _T_3764) @[el2_lsu_bus_buffer.scala 497:88] - node _T_3766 = and(_T_3754, _T_3765) @[el2_lsu_bus_buffer.scala 496:68] - buf_error_en[1] <= _T_3766 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3767 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:50] - node _T_3768 = and(buf_state_en[1], _T_3767) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3769 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 499:84] - node _T_3770 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 499:102] - node _T_3771 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:125] - node _T_3772 = mux(_T_3769, _T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 499:72] - node _T_3773 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:148] - node _T_3774 = mux(_T_3768, _T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[1] <= _T_3774 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3691 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3691 : @[Conditional.scala 39:67] + node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 489:71] + node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 489:55] + node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] + node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] + node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 490:28] + node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 490:57] + node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 490:45] + node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 490:61] + node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 491:27] + node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] + node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] + node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 491:97] + node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] + node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 491:85] + node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3720 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3721 = mux(_T_3714, _T_3715, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3722 = mux(_T_3716, _T_3717, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3723 = mux(_T_3718, _T_3719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3724 = or(_T_3720, _T_3721) @[Mux.scala 27:72] + node _T_3725 = or(_T_3724, _T_3722) @[Mux.scala 27:72] + node _T_3726 = or(_T_3725, _T_3723) @[Mux.scala 27:72] + wire _T_3727 : UInt<1> @[Mux.scala 27:72] + _T_3727 <= _T_3726 @[Mux.scala 27:72] + node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 491:101] + node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] + node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 491:138] + node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] + node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 491:53] + node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] + node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 490:14] + node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 489:31] + buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 489:25] + node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 492:52] + node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:23] + node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 494:47] + node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 494:27] + node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 493:77] + node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 495:26] + node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 495:54] + node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 495:44] + node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 495:42] + node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 495:58] + node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 495:94] + node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 493:25] + node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 492:105] + buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 492:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 496:29] + node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] + buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] + buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 498:24] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 499:111] + node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 499:91] + node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 500:42] + node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 500:31] + node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 500:46] + node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 499:143] + node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] + node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 501:74] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 501:53] + node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 500:88] + node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 499:68] + buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] + node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 502:48] + node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] + node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] + node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] + node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 502:72] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] + node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 502:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 502:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3775 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3775 : @[Conditional.scala 39:67] - node _T_3776 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3777 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 502:86] - node _T_3778 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3779 = bits(_T_3778, 0, 0) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3780 = or(_T_3777, _T_3779) @[el2_lsu_bus_buffer.scala 502:90] - node _T_3781 = or(_T_3780, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:118] - node _T_3782 = mux(_T_3781, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:75] - node _T_3783 = mux(_T_3776, UInt<3>("h00"), _T_3782) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3784 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3785 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3786 = bits(_T_3785, 0, 0) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3787 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 504:58] - node _T_3788 = and(_T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 504:38] - node _T_3789 = or(_T_3784, _T_3788) @[el2_lsu_bus_buffer.scala 503:95] - node _T_3790 = and(bus_rsp_read, _T_3789) @[el2_lsu_bus_buffer.scala 503:45] - buf_state_bus_en[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 503:29] - node _T_3791 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_3792 = or(_T_3791, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[1] <= _T_3792 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3778 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3778 : @[Conditional.scala 39:67] + node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 505:86] + node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 505:90] + node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] + node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 506:66] + node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 507:58] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 507:38] + node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 506:95] + node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 506:45] + buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 506:29] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 508:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3793 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3793 : @[Conditional.scala 39:67] - node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3795 = mux(_T_3794, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3796 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 509:37] - node _T_3797 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 509:98] - node _T_3798 = and(buf_dual[1], _T_3797) @[el2_lsu_bus_buffer.scala 509:80] - node _T_3799 = or(_T_3796, _T_3798) @[el2_lsu_bus_buffer.scala 509:65] - node _T_3800 = or(_T_3799, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:112] - buf_state_en[1] <= _T_3800 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3796 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3796 : @[Conditional.scala 39:67] + node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 512:37] + node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] + node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 512:80] + node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 512:65] + node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] + buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3801 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3801 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 513:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 514:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 516:25] + node _T_3804 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3804 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] - node _T_3802 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:108] - reg _T_3803 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3802 : @[Reg.scala 28:19] - _T_3803 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + reg _T_3806 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3805 : @[Reg.scala 28:19] + _T_3806 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 519:18] - reg _T_3804 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 520:60] - _T_3804 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 520:60] - buf_ageQ[1] <= _T_3804 @[el2_lsu_bus_buffer.scala 520:17] - reg _T_3805 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 521:63] - _T_3805 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 521:63] - buf_rspageQ[1] <= _T_3805 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3806 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:109] - reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3806 : @[Reg.scala 28:19] - _T_3807 <= buf_dualtag_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3808 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 523:74] - node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 523:107] - reg _T_3810 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 522:18] + reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] + _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 523:60] + buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 523:17] + reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] + _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 524:63] + buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + reg _T_3810 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3809 : @[Reg.scala 28:19] - _T_3810 <= _T_3808 @[Reg.scala 28:23] + _T_3810 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 523:17] - node _T_3811 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 524:78] - node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 524:111] + buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 525:20] + node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 526:74] + node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3812 : @[Reg.scala 28:19] _T_3813 <= _T_3811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 524:19] - node _T_3814 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 525:80] - node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:113] + buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 526:17] + node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:78] + node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3815 : @[Reg.scala 28:19] _T_3816 <= _T_3814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 525:20] - node _T_3817 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 526:78] - node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 527:19] + node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:80] + node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] reg _T_3819 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3818 : @[Reg.scala 28:19] _T_3819 <= _T_3817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 526:19] - node _T_3820 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3820 : @[Conditional.scala 40:58] - node _T_3821 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 463:56] - node _T_3822 = mux(_T_3821, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 463:31] - buf_nxtstate[2] <= _T_3822 @[el2_lsu_bus_buffer.scala 463:25] - node _T_3823 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 464:45] - node _T_3824 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:77] - node _T_3825 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:97] - node _T_3826 = and(_T_3824, _T_3825) @[el2_lsu_bus_buffer.scala 464:95] - node _T_3827 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 464:117] - node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 464:112] - node _T_3829 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:144] - node _T_3830 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 464:166] - node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 464:161] - node _T_3832 = or(_T_3828, _T_3831) @[el2_lsu_bus_buffer.scala 464:132] - node _T_3833 = and(_T_3823, _T_3832) @[el2_lsu_bus_buffer.scala 464:63] - node _T_3834 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:206] - node _T_3835 = and(ibuf_drain_vld, _T_3834) @[el2_lsu_bus_buffer.scala 464:201] - node _T_3836 = or(_T_3833, _T_3835) @[el2_lsu_bus_buffer.scala 464:183] - buf_state_en[2] <= _T_3836 @[el2_lsu_bus_buffer.scala 464:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 465:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 466:24] - node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:52] - node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 467:47] - node _T_3839 = bits(_T_3838, 0, 0) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3840 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 467:90] - node _T_3841 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3842 = mux(_T_3839, _T_3840, _T_3841) @[el2_lsu_bus_buffer.scala 467:30] - buf_data_in[2] <= _T_3842 @[el2_lsu_bus_buffer.scala 467:24] + buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 528:20] + node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:78] + node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + reg _T_3822 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3821 : @[Reg.scala 28:19] + _T_3822 <= _T_3820 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 529:19] + node _T_3823 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3823 : @[Conditional.scala 40:58] + node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] + node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] + node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] + node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] + node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] + node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] + node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] + node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 467:161] + node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 467:132] + node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 467:63] + node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] + node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 467:201] + node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 467:183] + buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 467:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 468:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 469:24] + node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] + node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 470:47] + node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] + node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] + node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 470:30] + buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3843 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3843 : @[Conditional.scala 39:67] - node _T_3844 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3845 = mux(_T_3844, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3846 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 471:46] - buf_state_en[2] <= _T_3846 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3846 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3846 : @[Conditional.scala 39:67] + node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] + node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] + buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] + buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 474:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3847 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3847 : @[Conditional.scala 39:67] - node _T_3848 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 474:60] - node _T_3849 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 474:89] - node _T_3850 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 474:124] - node _T_3851 = and(_T_3849, _T_3850) @[el2_lsu_bus_buffer.scala 474:104] - node _T_3852 = mux(_T_3851, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 474:75] - node _T_3853 = mux(_T_3848, UInt<3>("h00"), _T_3852) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[2] <= _T_3853 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3854 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:48] - node _T_3855 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_3856 = and(obuf_merge, _T_3855) @[el2_lsu_bus_buffer.scala 475:91] - node _T_3857 = or(_T_3854, _T_3856) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3858 = and(_T_3857, obuf_valid) @[el2_lsu_bus_buffer.scala 475:135] - node _T_3859 = and(_T_3858, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 475:148] - buf_cmd_state_bus_en[2] <= _T_3859 @[el2_lsu_bus_buffer.scala 475:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 476:29] - node _T_3860 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3861 = or(_T_3860, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:70] - buf_state_en[2] <= _T_3861 @[el2_lsu_bus_buffer.scala 477:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 478:25] - node _T_3862 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 479:56] - node _T_3863 = eq(_T_3862, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3864 = and(buf_state_en[2], _T_3863) @[el2_lsu_bus_buffer.scala 479:44] - node _T_3865 = and(_T_3864, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:60] - node _T_3866 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:76] - node _T_3867 = and(_T_3865, _T_3866) @[el2_lsu_bus_buffer.scala 479:74] - buf_ldfwd_en[2] <= _T_3867 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3868 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 480:46] - buf_ldfwdtag_in[2] <= _T_3868 @[el2_lsu_bus_buffer.scala 480:28] - node _T_3869 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3870 = and(_T_3869, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3871 = and(_T_3870, bus_rsp_read) @[el2_lsu_bus_buffer.scala 481:81] - buf_data_en[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 481:24] - node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:48] - node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3874 = and(_T_3873, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 482:82] - buf_error_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3875 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3876 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 483:85] - node _T_3877 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 483:103] - node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:126] - node _T_3879 = mux(_T_3876, _T_3877, _T_3878) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3880 = mux(buf_error_en[2], _T_3875, _T_3879) @[el2_lsu_bus_buffer.scala 483:30] - buf_data_in[2] <= _T_3880 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3850 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3850 : @[Conditional.scala 39:67] + node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] + node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] + node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] + node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 478:104] + node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 478:91] + node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] + node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] + buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 478:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 479:29] + node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] + buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 480:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] + node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 482:56] + node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] + node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 482:44] + node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] + node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 482:74] + buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] + buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 483:28] + node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] + buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 484:24] + node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] + node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] + buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] + node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] + node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] + node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] + node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 486:30] + buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 486:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3881 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3881 : @[Conditional.scala 39:67] - node _T_3882 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3883 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 486:94] - node _T_3884 = eq(_T_3883, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3885 = and(_T_3882, _T_3884) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3886 = or(io.dec_tlu_force_halt, _T_3885) @[el2_lsu_bus_buffer.scala 486:55] - node _T_3887 = bits(_T_3886, 0, 0) @[el2_lsu_bus_buffer.scala 486:125] - node _T_3888 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:30] - node _T_3889 = and(buf_dual[2], _T_3888) @[el2_lsu_bus_buffer.scala 487:28] - node _T_3890 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 487:57] - node _T_3891 = eq(_T_3890, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3892 = and(_T_3889, _T_3891) @[el2_lsu_bus_buffer.scala 487:45] - node _T_3893 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 487:90] - node _T_3894 = and(_T_3892, _T_3893) @[el2_lsu_bus_buffer.scala 487:61] - node _T_3895 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3896 = or(_T_3895, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:31] - node _T_3897 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:70] - node _T_3898 = and(buf_dual[2], _T_3897) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3899 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 488:97] - node _T_3900 = eq(_T_3899, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:87] - node _T_3901 = and(_T_3898, _T_3900) @[el2_lsu_bus_buffer.scala 488:85] - node _T_3902 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3903 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3904 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3905 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3906 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3907 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3908 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3909 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3910 = mux(_T_3902, _T_3903, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3911 = mux(_T_3904, _T_3905, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3912 = mux(_T_3906, _T_3907, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3913 = mux(_T_3908, _T_3909, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3914 = or(_T_3910, _T_3911) @[Mux.scala 27:72] - node _T_3915 = or(_T_3914, _T_3912) @[Mux.scala 27:72] - node _T_3916 = or(_T_3915, _T_3913) @[Mux.scala 27:72] - wire _T_3917 : UInt<1> @[Mux.scala 27:72] - _T_3917 <= _T_3916 @[Mux.scala 27:72] - node _T_3918 = and(_T_3901, _T_3917) @[el2_lsu_bus_buffer.scala 488:101] - node _T_3919 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 488:167] - node _T_3920 = and(_T_3918, _T_3919) @[el2_lsu_bus_buffer.scala 488:138] - node _T_3921 = and(_T_3920, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:187] - node _T_3922 = or(_T_3896, _T_3921) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3923 = mux(_T_3922, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 488:16] - node _T_3924 = mux(_T_3894, UInt<3>("h04"), _T_3923) @[el2_lsu_bus_buffer.scala 487:14] - node _T_3925 = mux(_T_3887, UInt<3>("h00"), _T_3924) @[el2_lsu_bus_buffer.scala 486:31] - buf_nxtstate[2] <= _T_3925 @[el2_lsu_bus_buffer.scala 486:25] - node _T_3926 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3927 = and(bus_rsp_write, _T_3926) @[el2_lsu_bus_buffer.scala 489:52] - node _T_3928 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3929 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 491:23] - node _T_3930 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3931 = and(_T_3929, _T_3930) @[el2_lsu_bus_buffer.scala 491:27] - node _T_3932 = or(_T_3928, _T_3931) @[el2_lsu_bus_buffer.scala 490:77] - node _T_3933 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 492:26] - node _T_3934 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 492:54] - node _T_3935 = not(_T_3934) @[el2_lsu_bus_buffer.scala 492:44] - node _T_3936 = and(_T_3933, _T_3935) @[el2_lsu_bus_buffer.scala 492:42] - node _T_3937 = and(_T_3936, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 492:58] - node _T_3938 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3939 = and(_T_3937, _T_3938) @[el2_lsu_bus_buffer.scala 492:74] - node _T_3940 = or(_T_3932, _T_3939) @[el2_lsu_bus_buffer.scala 491:71] - node _T_3941 = and(bus_rsp_read, _T_3940) @[el2_lsu_bus_buffer.scala 490:25] - node _T_3942 = or(_T_3927, _T_3941) @[el2_lsu_bus_buffer.scala 489:105] - buf_resp_state_bus_en[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 489:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 493:29] - node _T_3943 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3944 = or(_T_3943, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 494:70] - buf_state_en[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 494:25] - node _T_3945 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:47] - node _T_3946 = and(_T_3945, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:62] - buf_data_en[2] <= _T_3946 @[el2_lsu_bus_buffer.scala 495:24] - node _T_3947 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3948 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 496:111] - node _T_3949 = and(bus_rsp_read_error, _T_3948) @[el2_lsu_bus_buffer.scala 496:91] - node _T_3950 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 497:42] - node _T_3951 = and(bus_rsp_read_error, _T_3950) @[el2_lsu_bus_buffer.scala 497:31] - node _T_3952 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3953 = and(_T_3951, _T_3952) @[el2_lsu_bus_buffer.scala 497:46] - node _T_3954 = or(_T_3949, _T_3953) @[el2_lsu_bus_buffer.scala 496:143] - node _T_3955 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 498:32] - node _T_3956 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 498:53] - node _T_3958 = or(_T_3954, _T_3957) @[el2_lsu_bus_buffer.scala 497:88] - node _T_3959 = and(_T_3947, _T_3958) @[el2_lsu_bus_buffer.scala 496:68] - buf_error_en[2] <= _T_3959 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3960 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:50] - node _T_3961 = and(buf_state_en[2], _T_3960) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3962 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 499:84] - node _T_3963 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 499:102] - node _T_3964 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:125] - node _T_3965 = mux(_T_3962, _T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 499:72] - node _T_3966 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:148] - node _T_3967 = mux(_T_3961, _T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[2] <= _T_3967 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3884 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3884 : @[Conditional.scala 39:67] + node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 489:71] + node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 489:55] + node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] + node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] + node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 490:28] + node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 490:57] + node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 490:45] + node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 490:61] + node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 491:27] + node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] + node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] + node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 491:97] + node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] + node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 491:85] + node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3913 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3914 = mux(_T_3907, _T_3908, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3915 = mux(_T_3909, _T_3910, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3916 = mux(_T_3911, _T_3912, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3917 = or(_T_3913, _T_3914) @[Mux.scala 27:72] + node _T_3918 = or(_T_3917, _T_3915) @[Mux.scala 27:72] + node _T_3919 = or(_T_3918, _T_3916) @[Mux.scala 27:72] + wire _T_3920 : UInt<1> @[Mux.scala 27:72] + _T_3920 <= _T_3919 @[Mux.scala 27:72] + node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 491:101] + node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] + node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 491:138] + node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] + node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 491:53] + node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] + node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 490:14] + node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 489:31] + buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 489:25] + node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 492:52] + node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:23] + node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 494:47] + node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 494:27] + node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 493:77] + node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 495:26] + node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 495:54] + node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 495:44] + node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 495:42] + node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 495:58] + node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 495:94] + node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 493:25] + node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 492:105] + buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 492:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 496:29] + node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] + buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] + buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 498:24] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:111] + node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 499:91] + node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 500:42] + node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 500:31] + node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 500:46] + node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 499:143] + node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] + node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:74] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 501:53] + node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 500:88] + node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 499:68] + buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] + node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 502:48] + node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] + node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] + node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] + node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 502:72] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] + node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 502:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 502:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3968 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3968 : @[Conditional.scala 39:67] - node _T_3969 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3970 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 502:86] - node _T_3971 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3972 = bits(_T_3971, 0, 0) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3973 = or(_T_3970, _T_3972) @[el2_lsu_bus_buffer.scala 502:90] - node _T_3974 = or(_T_3973, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:118] - node _T_3975 = mux(_T_3974, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:75] - node _T_3976 = mux(_T_3969, UInt<3>("h00"), _T_3975) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3977 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3978 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3979 = bits(_T_3978, 0, 0) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3980 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 504:58] - node _T_3981 = and(_T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 504:38] - node _T_3982 = or(_T_3977, _T_3981) @[el2_lsu_bus_buffer.scala 503:95] - node _T_3983 = and(bus_rsp_read, _T_3982) @[el2_lsu_bus_buffer.scala 503:45] - buf_state_bus_en[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 503:29] - node _T_3984 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_3985 = or(_T_3984, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[2] <= _T_3985 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3971 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3971 : @[Conditional.scala 39:67] + node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 505:86] + node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 505:90] + node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] + node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 506:66] + node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 507:58] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 507:38] + node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 506:95] + node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 506:45] + buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 506:29] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 508:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3986 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3986 : @[Conditional.scala 39:67] - node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3988 = mux(_T_3987, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3989 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 509:37] - node _T_3990 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 509:98] - node _T_3991 = and(buf_dual[2], _T_3990) @[el2_lsu_bus_buffer.scala 509:80] - node _T_3992 = or(_T_3989, _T_3991) @[el2_lsu_bus_buffer.scala 509:65] - node _T_3993 = or(_T_3992, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:112] - buf_state_en[2] <= _T_3993 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3989 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3989 : @[Conditional.scala 39:67] + node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 512:37] + node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] + node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 512:80] + node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 512:65] + node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] + buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3994 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3994 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 513:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 514:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 516:25] + node _T_3997 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3997 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] - node _T_3995 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:108] - reg _T_3996 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3995 : @[Reg.scala 28:19] - _T_3996 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + reg _T_3999 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3998 : @[Reg.scala 28:19] + _T_3999 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 519:18] - reg _T_3997 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 520:60] - _T_3997 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 520:60] - buf_ageQ[2] <= _T_3997 @[el2_lsu_bus_buffer.scala 520:17] - reg _T_3998 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 521:63] - _T_3998 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 521:63] - buf_rspageQ[2] <= _T_3998 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3999 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:109] - reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3999 : @[Reg.scala 28:19] - _T_4000 <= buf_dualtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 522:20] - node _T_4001 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 523:74] - node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 523:107] - reg _T_4003 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 522:18] + reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] + _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 523:60] + buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 523:17] + reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] + _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 524:63] + buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 524:20] + node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + reg _T_4003 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4002 : @[Reg.scala 28:19] - _T_4003 <= _T_4001 @[Reg.scala 28:23] + _T_4003 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 523:17] - node _T_4004 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 524:78] - node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 524:111] + buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 525:20] + node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 526:74] + node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4005 : @[Reg.scala 28:19] _T_4006 <= _T_4004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 524:19] - node _T_4007 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 525:80] - node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:113] + buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 526:17] + node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:78] + node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4008 : @[Reg.scala 28:19] _T_4009 <= _T_4007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 525:20] - node _T_4010 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 526:78] - node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 527:19] + node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:80] + node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] reg _T_4012 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4011 : @[Reg.scala 28:19] _T_4012 <= _T_4010 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 526:19] - node _T_4013 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4013 : @[Conditional.scala 40:58] - node _T_4014 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 463:56] - node _T_4015 = mux(_T_4014, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 463:31] - buf_nxtstate[3] <= _T_4015 @[el2_lsu_bus_buffer.scala 463:25] - node _T_4016 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 464:45] - node _T_4017 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:77] - node _T_4018 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:97] - node _T_4019 = and(_T_4017, _T_4018) @[el2_lsu_bus_buffer.scala 464:95] - node _T_4020 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 464:117] - node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 464:112] - node _T_4022 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:144] - node _T_4023 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 464:166] - node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 464:161] - node _T_4025 = or(_T_4021, _T_4024) @[el2_lsu_bus_buffer.scala 464:132] - node _T_4026 = and(_T_4016, _T_4025) @[el2_lsu_bus_buffer.scala 464:63] - node _T_4027 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:206] - node _T_4028 = and(ibuf_drain_vld, _T_4027) @[el2_lsu_bus_buffer.scala 464:201] - node _T_4029 = or(_T_4026, _T_4028) @[el2_lsu_bus_buffer.scala 464:183] - buf_state_en[3] <= _T_4029 @[el2_lsu_bus_buffer.scala 464:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 465:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 466:24] - node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:52] - node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 467:47] - node _T_4032 = bits(_T_4031, 0, 0) @[el2_lsu_bus_buffer.scala 467:73] - node _T_4033 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 467:90] - node _T_4034 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 467:114] - node _T_4035 = mux(_T_4032, _T_4033, _T_4034) @[el2_lsu_bus_buffer.scala 467:30] - buf_data_in[3] <= _T_4035 @[el2_lsu_bus_buffer.scala 467:24] + buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 528:20] + node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:78] + node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + reg _T_4015 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4014 : @[Reg.scala 28:19] + _T_4015 <= _T_4013 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 529:19] + node _T_4016 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4016 : @[Conditional.scala 40:58] + node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] + node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 466:25] + node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] + node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] + node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] + node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 467:95] + node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] + node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 467:112] + node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] + node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] + node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 467:161] + node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 467:132] + node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 467:63] + node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] + node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 467:201] + node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 467:183] + buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 467:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 468:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 469:24] + node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] + node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 470:47] + node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] + node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] + node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] + node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 470:30] + buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4036 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4036 : @[Conditional.scala 39:67] - node _T_4037 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_4038 = mux(_T_4037, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 470:25] - node _T_4039 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 471:46] - buf_state_en[3] <= _T_4039 @[el2_lsu_bus_buffer.scala 471:25] + node _T_4039 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4039 : @[Conditional.scala 39:67] + node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] + node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] + buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 473:25] + node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] + buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 474:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4040 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4040 : @[Conditional.scala 39:67] - node _T_4041 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 474:60] - node _T_4042 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 474:89] - node _T_4043 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 474:124] - node _T_4044 = and(_T_4042, _T_4043) @[el2_lsu_bus_buffer.scala 474:104] - node _T_4045 = mux(_T_4044, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 474:75] - node _T_4046 = mux(_T_4041, UInt<3>("h00"), _T_4045) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[3] <= _T_4046 @[el2_lsu_bus_buffer.scala 474:25] - node _T_4047 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 475:48] - node _T_4048 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_4049 = and(obuf_merge, _T_4048) @[el2_lsu_bus_buffer.scala 475:91] - node _T_4050 = or(_T_4047, _T_4049) @[el2_lsu_bus_buffer.scala 475:77] - node _T_4051 = and(_T_4050, obuf_valid) @[el2_lsu_bus_buffer.scala 475:135] - node _T_4052 = and(_T_4051, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 475:148] - buf_cmd_state_bus_en[3] <= _T_4052 @[el2_lsu_bus_buffer.scala 475:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 476:29] - node _T_4053 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:49] - node _T_4054 = or(_T_4053, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:70] - buf_state_en[3] <= _T_4054 @[el2_lsu_bus_buffer.scala 477:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 478:25] - node _T_4055 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 479:56] - node _T_4056 = eq(_T_4055, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:46] - node _T_4057 = and(buf_state_en[3], _T_4056) @[el2_lsu_bus_buffer.scala 479:44] - node _T_4058 = and(_T_4057, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:60] - node _T_4059 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:76] - node _T_4060 = and(_T_4058, _T_4059) @[el2_lsu_bus_buffer.scala 479:74] - buf_ldfwd_en[3] <= _T_4060 @[el2_lsu_bus_buffer.scala 479:25] - node _T_4061 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 480:46] - buf_ldfwdtag_in[3] <= _T_4061 @[el2_lsu_bus_buffer.scala 480:28] - node _T_4062 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 481:47] - node _T_4063 = and(_T_4062, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:67] - node _T_4064 = and(_T_4063, bus_rsp_read) @[el2_lsu_bus_buffer.scala 481:81] - buf_data_en[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 481:24] - node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:48] - node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:68] - node _T_4067 = and(_T_4066, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 482:82] - buf_error_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 482:25] - node _T_4068 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:61] - node _T_4069 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 483:85] - node _T_4070 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 483:103] - node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:126] - node _T_4072 = mux(_T_4069, _T_4070, _T_4071) @[el2_lsu_bus_buffer.scala 483:73] - node _T_4073 = mux(buf_error_en[3], _T_4068, _T_4072) @[el2_lsu_bus_buffer.scala 483:30] - buf_data_in[3] <= _T_4073 @[el2_lsu_bus_buffer.scala 483:24] + node _T_4043 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4043 : @[Conditional.scala 39:67] + node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] + node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] + node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 477:104] + node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] + node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 477:25] + node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 478:48] + node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 478:104] + node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 478:91] + node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 478:77] + node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] + node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] + buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 478:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 479:29] + node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] + node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] + buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 480:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] + node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 482:56] + node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] + node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 482:44] + node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] + node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 482:74] + buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 482:25] + node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] + buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 483:28] + node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] + node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] + node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] + buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 484:24] + node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] + node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] + node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] + buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 485:25] + node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] + node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] + node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] + node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] + node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 486:73] + node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 486:30] + buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 486:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4074 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4074 : @[Conditional.scala 39:67] - node _T_4075 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] - node _T_4076 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 486:94] - node _T_4077 = eq(_T_4076, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_4078 = and(_T_4075, _T_4077) @[el2_lsu_bus_buffer.scala 486:71] - node _T_4079 = or(io.dec_tlu_force_halt, _T_4078) @[el2_lsu_bus_buffer.scala 486:55] - node _T_4080 = bits(_T_4079, 0, 0) @[el2_lsu_bus_buffer.scala 486:125] - node _T_4081 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:30] - node _T_4082 = and(buf_dual[3], _T_4081) @[el2_lsu_bus_buffer.scala 487:28] - node _T_4083 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 487:57] - node _T_4084 = eq(_T_4083, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:47] - node _T_4085 = and(_T_4082, _T_4084) @[el2_lsu_bus_buffer.scala 487:45] - node _T_4086 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 487:90] - node _T_4087 = and(_T_4085, _T_4086) @[el2_lsu_bus_buffer.scala 487:61] - node _T_4088 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 488:27] - node _T_4089 = or(_T_4088, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:31] - node _T_4090 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:70] - node _T_4091 = and(buf_dual[3], _T_4090) @[el2_lsu_bus_buffer.scala 488:68] - node _T_4092 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 488:97] - node _T_4093 = eq(_T_4092, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:87] - node _T_4094 = and(_T_4091, _T_4093) @[el2_lsu_bus_buffer.scala 488:85] - node _T_4095 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4096 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4097 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4098 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4099 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4100 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4101 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4102 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4103 = mux(_T_4095, _T_4096, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4104 = mux(_T_4097, _T_4098, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4105 = mux(_T_4099, _T_4100, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4106 = mux(_T_4101, _T_4102, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4107 = or(_T_4103, _T_4104) @[Mux.scala 27:72] - node _T_4108 = or(_T_4107, _T_4105) @[Mux.scala 27:72] - node _T_4109 = or(_T_4108, _T_4106) @[Mux.scala 27:72] - wire _T_4110 : UInt<1> @[Mux.scala 27:72] - _T_4110 <= _T_4109 @[Mux.scala 27:72] - node _T_4111 = and(_T_4094, _T_4110) @[el2_lsu_bus_buffer.scala 488:101] - node _T_4112 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 488:167] - node _T_4113 = and(_T_4111, _T_4112) @[el2_lsu_bus_buffer.scala 488:138] - node _T_4114 = and(_T_4113, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:187] - node _T_4115 = or(_T_4089, _T_4114) @[el2_lsu_bus_buffer.scala 488:53] - node _T_4116 = mux(_T_4115, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 488:16] - node _T_4117 = mux(_T_4087, UInt<3>("h04"), _T_4116) @[el2_lsu_bus_buffer.scala 487:14] - node _T_4118 = mux(_T_4080, UInt<3>("h00"), _T_4117) @[el2_lsu_bus_buffer.scala 486:31] - buf_nxtstate[3] <= _T_4118 @[el2_lsu_bus_buffer.scala 486:25] - node _T_4119 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_4120 = and(bus_rsp_write, _T_4119) @[el2_lsu_bus_buffer.scala 489:52] - node _T_4121 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_4122 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 491:23] - node _T_4123 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 491:47] - node _T_4124 = and(_T_4122, _T_4123) @[el2_lsu_bus_buffer.scala 491:27] - node _T_4125 = or(_T_4121, _T_4124) @[el2_lsu_bus_buffer.scala 490:77] - node _T_4126 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 492:26] - node _T_4127 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 492:54] - node _T_4128 = not(_T_4127) @[el2_lsu_bus_buffer.scala 492:44] - node _T_4129 = and(_T_4126, _T_4128) @[el2_lsu_bus_buffer.scala 492:42] - node _T_4130 = and(_T_4129, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 492:58] - node _T_4131 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 492:94] - node _T_4132 = and(_T_4130, _T_4131) @[el2_lsu_bus_buffer.scala 492:74] - node _T_4133 = or(_T_4125, _T_4132) @[el2_lsu_bus_buffer.scala 491:71] - node _T_4134 = and(bus_rsp_read, _T_4133) @[el2_lsu_bus_buffer.scala 490:25] - node _T_4135 = or(_T_4120, _T_4134) @[el2_lsu_bus_buffer.scala 489:105] - buf_resp_state_bus_en[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 489:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 493:29] - node _T_4136 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 494:49] - node _T_4137 = or(_T_4136, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 494:70] - buf_state_en[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 494:25] - node _T_4138 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:47] - node _T_4139 = and(_T_4138, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:62] - buf_data_en[3] <= _T_4139 @[el2_lsu_bus_buffer.scala 495:24] - node _T_4140 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_4141 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 496:111] - node _T_4142 = and(bus_rsp_read_error, _T_4141) @[el2_lsu_bus_buffer.scala 496:91] - node _T_4143 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 497:42] - node _T_4144 = and(bus_rsp_read_error, _T_4143) @[el2_lsu_bus_buffer.scala 497:31] - node _T_4145 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 497:66] - node _T_4146 = and(_T_4144, _T_4145) @[el2_lsu_bus_buffer.scala 497:46] - node _T_4147 = or(_T_4142, _T_4146) @[el2_lsu_bus_buffer.scala 496:143] - node _T_4148 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 498:32] - node _T_4149 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 498:74] - node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 498:53] - node _T_4151 = or(_T_4147, _T_4150) @[el2_lsu_bus_buffer.scala 497:88] - node _T_4152 = and(_T_4140, _T_4151) @[el2_lsu_bus_buffer.scala 496:68] - buf_error_en[3] <= _T_4152 @[el2_lsu_bus_buffer.scala 496:25] - node _T_4153 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:50] - node _T_4154 = and(buf_state_en[3], _T_4153) @[el2_lsu_bus_buffer.scala 499:48] - node _T_4155 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 499:84] - node _T_4156 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 499:102] - node _T_4157 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:125] - node _T_4158 = mux(_T_4155, _T_4156, _T_4157) @[el2_lsu_bus_buffer.scala 499:72] - node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:148] - node _T_4160 = mux(_T_4154, _T_4158, _T_4159) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[3] <= _T_4160 @[el2_lsu_bus_buffer.scala 499:24] + node _T_4077 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4077 : @[Conditional.scala 39:67] + node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 489:67] + node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] + node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] + node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 489:71] + node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 489:55] + node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] + node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] + node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 490:28] + node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 490:57] + node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] + node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 490:45] + node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 490:61] + node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 491:27] + node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] + node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] + node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 491:68] + node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 491:97] + node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] + node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 491:85] + node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4106 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4107 = mux(_T_4100, _T_4101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4108 = mux(_T_4102, _T_4103, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4109 = mux(_T_4104, _T_4105, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4110 = or(_T_4106, _T_4107) @[Mux.scala 27:72] + node _T_4111 = or(_T_4110, _T_4108) @[Mux.scala 27:72] + node _T_4112 = or(_T_4111, _T_4109) @[Mux.scala 27:72] + wire _T_4113 : UInt<1> @[Mux.scala 27:72] + _T_4113 <= _T_4112 @[Mux.scala 27:72] + node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 491:101] + node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] + node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 491:138] + node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] + node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 491:53] + node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] + node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 490:14] + node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 489:31] + buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 489:25] + node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 492:52] + node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:23] + node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 494:47] + node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 494:27] + node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 493:77] + node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 495:26] + node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 495:54] + node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 495:44] + node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 495:42] + node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 495:58] + node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 495:94] + node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 495:74] + node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 494:71] + node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 493:25] + node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 492:105] + buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 492:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 496:29] + node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] + node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] + buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 497:25] + node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] + node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] + buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 498:24] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] + node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 499:111] + node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 499:91] + node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 500:42] + node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 500:31] + node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 500:46] + node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 499:143] + node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] + node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 501:74] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 501:53] + node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 500:88] + node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 499:68] + buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 499:25] + node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] + node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 502:48] + node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] + node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] + node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] + node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 502:72] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] + node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 502:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 502:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4161 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4161 : @[Conditional.scala 39:67] - node _T_4162 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_4163 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 502:86] - node _T_4164 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 502:101] - node _T_4165 = bits(_T_4164, 0, 0) @[el2_lsu_bus_buffer.scala 502:101] - node _T_4166 = or(_T_4163, _T_4165) @[el2_lsu_bus_buffer.scala 502:90] - node _T_4167 = or(_T_4166, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:118] - node _T_4168 = mux(_T_4167, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:75] - node _T_4169 = mux(_T_4162, UInt<3>("h00"), _T_4168) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 502:25] - node _T_4170 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_4171 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 504:21] - node _T_4172 = bits(_T_4171, 0, 0) @[el2_lsu_bus_buffer.scala 504:21] - node _T_4173 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 504:58] - node _T_4174 = and(_T_4172, _T_4173) @[el2_lsu_bus_buffer.scala 504:38] - node _T_4175 = or(_T_4170, _T_4174) @[el2_lsu_bus_buffer.scala 503:95] - node _T_4176 = and(bus_rsp_read, _T_4175) @[el2_lsu_bus_buffer.scala 503:45] - buf_state_bus_en[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 503:29] - node _T_4177 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_4178 = or(_T_4177, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[3] <= _T_4178 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4164 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4164 : @[Conditional.scala 39:67] + node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 505:86] + node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 505:101] + node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] + node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 505:90] + node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] + node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 506:66] + node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 507:21] + node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] + node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 507:58] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 507:38] + node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 506:95] + node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 506:45] + buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 506:29] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 508:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4179 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4179 : @[Conditional.scala 39:67] - node _T_4180 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_4181 = mux(_T_4180, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 508:25] - node _T_4182 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 509:37] - node _T_4183 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 509:98] - node _T_4184 = and(buf_dual[3], _T_4183) @[el2_lsu_bus_buffer.scala 509:80] - node _T_4185 = or(_T_4182, _T_4184) @[el2_lsu_bus_buffer.scala 509:65] - node _T_4186 = or(_T_4185, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:112] - buf_state_en[3] <= _T_4186 @[el2_lsu_bus_buffer.scala 509:25] + node _T_4182 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4182 : @[Conditional.scala 39:67] + node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 511:25] + node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 512:37] + node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] + node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 512:80] + node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 512:65] + node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] + buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4187 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4187 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 513:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 514:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 516:25] + node _T_4190 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4190 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] - node _T_4188 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:108] - reg _T_4189 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4188 : @[Reg.scala 28:19] - _T_4189 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + reg _T_4192 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4191 : @[Reg.scala 28:19] + _T_4192 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 519:18] - reg _T_4190 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 520:60] - _T_4190 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 520:60] - buf_ageQ[3] <= _T_4190 @[el2_lsu_bus_buffer.scala 520:17] - reg _T_4191 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 521:63] - _T_4191 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 521:63] - buf_rspageQ[3] <= _T_4191 @[el2_lsu_bus_buffer.scala 521:20] - node _T_4192 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:109] - reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4192 : @[Reg.scala 28:19] - _T_4193 <= buf_dualtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 522:20] - node _T_4194 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 523:74] - node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 523:107] - reg _T_4196 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 522:18] + reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] + _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 523:60] + buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 523:17] + reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] + _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 524:63] + buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 524:20] + node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + reg _T_4196 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4195 : @[Reg.scala 28:19] - _T_4196 <= _T_4194 @[Reg.scala 28:23] + _T_4196 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 523:17] - node _T_4197 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 524:78] - node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 524:111] + buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 525:20] + node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 526:74] + node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4198 : @[Reg.scala 28:19] _T_4199 <= _T_4197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 524:19] - node _T_4200 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 525:80] - node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:113] + buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 526:17] + node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:78] + node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4201 : @[Reg.scala 28:19] _T_4202 <= _T_4200 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 525:20] - node _T_4203 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 526:78] - node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 527:19] + node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:80] + node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] reg _T_4205 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4204 : @[Reg.scala 28:19] _T_4205 <= _T_4203 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 526:19] - node _T_4206 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:133] - reg _T_4207 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4206 : @[Reg.scala 28:19] - _T_4207 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 528:20] + node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:78] + node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4207 : @[Reg.scala 28:19] + _T_4208 <= _T_4206 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4208 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:133] - reg _T_4209 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4208 : @[Reg.scala 28:19] - _T_4209 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 529:19] + node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4209 : @[Reg.scala 28:19] + _T_4210 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4210 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:133] - reg _T_4211 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4210 : @[Reg.scala 28:19] - _T_4211 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4211 : @[Reg.scala 28:19] + _T_4212 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4212 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:133] - reg _T_4213 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4212 : @[Reg.scala 28:19] - _T_4213 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + reg _T_4214 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4213 : @[Reg.scala 28:19] + _T_4214 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4214 = cat(_T_4213, _T_4211) @[Cat.scala 29:58] - node _T_4215 = cat(_T_4214, _T_4209) @[Cat.scala 29:58] - node _T_4216 = cat(_T_4215, _T_4207) @[Cat.scala 29:58] - buf_ldfwd <= _T_4216 @[el2_lsu_bus_buffer.scala 529:15] - node _T_4217 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:134] - reg _T_4218 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4217 : @[Reg.scala 28:19] - _T_4218 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + reg _T_4216 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4215 : @[Reg.scala 28:19] + _T_4216 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4219 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:134] - reg _T_4220 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4219 : @[Reg.scala 28:19] - _T_4220 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + node _T_4217 = cat(_T_4216, _T_4214) @[Cat.scala 29:58] + node _T_4218 = cat(_T_4217, _T_4212) @[Cat.scala 29:58] + node _T_4219 = cat(_T_4218, _T_4210) @[Cat.scala 29:58] + buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 532:15] + node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4220 : @[Reg.scala 28:19] + _T_4221 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4221 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:134] - reg _T_4222 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4221 : @[Reg.scala 28:19] - _T_4222 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4222 : @[Reg.scala 28:19] + _T_4223 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4223 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:134] - reg _T_4224 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4223 : @[Reg.scala 28:19] - _T_4224 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + reg _T_4225 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4224 : @[Reg.scala 28:19] + _T_4225 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4218 @[el2_lsu_bus_buffer.scala 530:18] - buf_ldfwdtag[1] <= _T_4220 @[el2_lsu_bus_buffer.scala 530:18] - buf_ldfwdtag[2] <= _T_4222 @[el2_lsu_bus_buffer.scala 530:18] - buf_ldfwdtag[3] <= _T_4224 @[el2_lsu_bus_buffer.scala 530:18] - node _T_4225 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 531:107] - node _T_4226 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:140] - reg _T_4227 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + reg _T_4227 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4226 : @[Reg.scala 28:19] - _T_4227 <= _T_4225 @[Reg.scala 28:23] + _T_4227 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4228 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 531:107] - node _T_4229 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:140] + buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 533:18] + buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 533:18] + buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 533:18] + buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 533:18] + node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 534:107] + node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4229 : @[Reg.scala 28:19] _T_4230 <= _T_4228 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4231 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 531:107] - node _T_4232 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:140] + node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 534:107] + node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= _T_4231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 531:107] - node _T_4235 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:140] + node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 534:107] + node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] reg _T_4236 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4235 : @[Reg.scala 28:19] _T_4236 <= _T_4234 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4237 = cat(_T_4236, _T_4233) @[Cat.scala 29:58] - node _T_4238 = cat(_T_4237, _T_4230) @[Cat.scala 29:58] - node _T_4239 = cat(_T_4238, _T_4227) @[Cat.scala 29:58] - buf_sideeffect <= _T_4239 @[el2_lsu_bus_buffer.scala 531:20] - node _T_4240 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 532:99] - node _T_4241 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:132] - reg _T_4242 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4241 : @[Reg.scala 28:19] - _T_4242 <= _T_4240 @[Reg.scala 28:23] + node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 534:107] + node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] + reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4238 : @[Reg.scala 28:19] + _T_4239 <= _T_4237 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4243 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 532:99] - node _T_4244 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:132] + node _T_4240 = cat(_T_4239, _T_4236) @[Cat.scala 29:58] + node _T_4241 = cat(_T_4240, _T_4233) @[Cat.scala 29:58] + node _T_4242 = cat(_T_4241, _T_4230) @[Cat.scala 29:58] + buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 534:20] + node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 535:99] + node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4244 : @[Reg.scala 28:19] _T_4245 <= _T_4243 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4246 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 532:99] - node _T_4247 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:132] + node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 535:99] + node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4247 : @[Reg.scala 28:19] _T_4248 <= _T_4246 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4249 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 532:99] - node _T_4250 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:132] + node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 535:99] + node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] reg _T_4251 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4250 : @[Reg.scala 28:19] _T_4251 <= _T_4249 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4252 = cat(_T_4251, _T_4248) @[Cat.scala 29:58] - node _T_4253 = cat(_T_4252, _T_4245) @[Cat.scala 29:58] - node _T_4254 = cat(_T_4253, _T_4242) @[Cat.scala 29:58] - buf_unsign <= _T_4254 @[el2_lsu_bus_buffer.scala 532:16] - node _T_4255 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 533:97] - node _T_4256 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:130] - reg _T_4257 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4256 : @[Reg.scala 28:19] - _T_4257 <= _T_4255 @[Reg.scala 28:23] + node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 535:99] + node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] + reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4253 : @[Reg.scala 28:19] + _T_4254 <= _T_4252 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4258 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 533:97] - node _T_4259 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:130] + node _T_4255 = cat(_T_4254, _T_4251) @[Cat.scala 29:58] + node _T_4256 = cat(_T_4255, _T_4248) @[Cat.scala 29:58] + node _T_4257 = cat(_T_4256, _T_4245) @[Cat.scala 29:58] + buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 535:16] + node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 536:97] + node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4259 : @[Reg.scala 28:19] _T_4260 <= _T_4258 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4261 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 533:97] - node _T_4262 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:130] + node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 536:97] + node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4262 : @[Reg.scala 28:19] _T_4263 <= _T_4261 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4264 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 533:97] - node _T_4265 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:130] + node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 536:97] + node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] reg _T_4266 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4265 : @[Reg.scala 28:19] _T_4266 <= _T_4264 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4267 = cat(_T_4266, _T_4263) @[Cat.scala 29:58] - node _T_4268 = cat(_T_4267, _T_4260) @[Cat.scala 29:58] - node _T_4269 = cat(_T_4268, _T_4257) @[Cat.scala 29:58] - buf_write <= _T_4269 @[el2_lsu_bus_buffer.scala 533:15] - node _T_4270 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:119] - reg _T_4271 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4270 : @[Reg.scala 28:19] - _T_4271 <= buf_sz_in[0] @[Reg.scala 28:23] + node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 536:97] + node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] + reg _T_4269 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4268 : @[Reg.scala 28:19] + _T_4269 <= _T_4267 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4272 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:119] - reg _T_4273 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4272 : @[Reg.scala 28:19] - _T_4273 <= buf_sz_in[1] @[Reg.scala 28:23] + node _T_4270 = cat(_T_4269, _T_4266) @[Cat.scala 29:58] + node _T_4271 = cat(_T_4270, _T_4263) @[Cat.scala 29:58] + node _T_4272 = cat(_T_4271, _T_4260) @[Cat.scala 29:58] + buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 536:15] + node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4273 : @[Reg.scala 28:19] + _T_4274 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4274 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:119] - reg _T_4275 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4274 : @[Reg.scala 28:19] - _T_4275 <= buf_sz_in[2] @[Reg.scala 28:23] + node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + reg _T_4276 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4275 : @[Reg.scala 28:19] + _T_4276 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4276 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:119] - reg _T_4277 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4276 : @[Reg.scala 28:19] - _T_4277 <= buf_sz_in[3] @[Reg.scala 28:23] + node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4277 : @[Reg.scala 28:19] + _T_4278 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4271 @[el2_lsu_bus_buffer.scala 534:12] - buf_sz[1] <= _T_4273 @[el2_lsu_bus_buffer.scala 534:12] - buf_sz[2] <= _T_4275 @[el2_lsu_bus_buffer.scala 534:12] - buf_sz[3] <= _T_4277 @[el2_lsu_bus_buffer.scala 534:12] - node _T_4278 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:82] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4279 : @[Reg.scala 28:19] + _T_4280 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 537:12] + buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 537:12] + buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 537:12] + buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 537:12] + node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4278 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4281 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4279 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4279 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4280 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4282 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4282 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4280 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4283 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4281 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4281 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4282 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4284 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4284 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4282 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4285 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4283 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4283 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4284 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4286 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4286 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4284 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4287 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4285 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4285 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4279 @[el2_lsu_bus_buffer.scala 535:14] - buf_addr[1] <= _T_4281 @[el2_lsu_bus_buffer.scala 535:14] - buf_addr[2] <= _T_4283 @[el2_lsu_bus_buffer.scala 535:14] - buf_addr[3] <= _T_4285 @[el2_lsu_bus_buffer.scala 535:14] - node _T_4286 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:127] - reg _T_4287 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4286 : @[Reg.scala 28:19] - _T_4287 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4288 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4288 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 538:14] + buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 538:14] + buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 538:14] + buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 538:14] + node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4288 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:127] - reg _T_4289 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4288 : @[Reg.scala 28:19] - _T_4289 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + reg _T_4292 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4291 : @[Reg.scala 28:19] + _T_4292 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4290 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:127] - reg _T_4291 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4290 : @[Reg.scala 28:19] - _T_4291 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + reg _T_4294 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4293 : @[Reg.scala 28:19] + _T_4294 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4292 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:127] - reg _T_4293 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4292 : @[Reg.scala 28:19] - _T_4293 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4295 : @[Reg.scala 28:19] + _T_4296 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4287 @[el2_lsu_bus_buffer.scala 536:16] - buf_byteen[1] <= _T_4289 @[el2_lsu_bus_buffer.scala 536:16] - buf_byteen[2] <= _T_4291 @[el2_lsu_bus_buffer.scala 536:16] - buf_byteen[3] <= _T_4293 @[el2_lsu_bus_buffer.scala 536:16] + buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 539:16] + buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 539:16] + buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 539:16] + buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 539:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4294 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4294 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4297 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4297 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4295 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4295 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4298 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4298 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4296 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4296 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4299 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4299 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4297 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4297 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4294 @[el2_lsu_bus_buffer.scala 537:14] - buf_data[1] <= _T_4295 @[el2_lsu_bus_buffer.scala 537:14] - buf_data[2] <= _T_4296 @[el2_lsu_bus_buffer.scala 537:14] - buf_data[3] <= _T_4297 @[el2_lsu_bus_buffer.scala 537:14] - node _T_4298 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 538:121] - node _T_4299 = mux(buf_error_en[0], UInt<1>("h01"), _T_4298) @[el2_lsu_bus_buffer.scala 538:86] - node _T_4300 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:128] - node _T_4301 = and(_T_4299, _T_4300) @[el2_lsu_bus_buffer.scala 538:126] - reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 538:82] - _T_4302 <= _T_4301 @[el2_lsu_bus_buffer.scala 538:82] - node _T_4303 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 538:121] - node _T_4304 = mux(buf_error_en[1], UInt<1>("h01"), _T_4303) @[el2_lsu_bus_buffer.scala 538:86] - node _T_4305 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:128] - node _T_4306 = and(_T_4304, _T_4305) @[el2_lsu_bus_buffer.scala 538:126] - reg _T_4307 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 538:82] - _T_4307 <= _T_4306 @[el2_lsu_bus_buffer.scala 538:82] - node _T_4308 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 538:121] - node _T_4309 = mux(buf_error_en[2], UInt<1>("h01"), _T_4308) @[el2_lsu_bus_buffer.scala 538:86] - node _T_4310 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:128] - node _T_4311 = and(_T_4309, _T_4310) @[el2_lsu_bus_buffer.scala 538:126] - reg _T_4312 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 538:82] - _T_4312 <= _T_4311 @[el2_lsu_bus_buffer.scala 538:82] - node _T_4313 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 538:121] - node _T_4314 = mux(buf_error_en[3], UInt<1>("h01"), _T_4313) @[el2_lsu_bus_buffer.scala 538:86] - node _T_4315 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:128] - node _T_4316 = and(_T_4314, _T_4315) @[el2_lsu_bus_buffer.scala 538:126] - reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 538:82] - _T_4317 <= _T_4316 @[el2_lsu_bus_buffer.scala 538:82] - node _T_4318 = cat(_T_4317, _T_4312) @[Cat.scala 29:58] - node _T_4319 = cat(_T_4318, _T_4307) @[Cat.scala 29:58] - node _T_4320 = cat(_T_4319, _T_4302) @[Cat.scala 29:58] - buf_error <= _T_4320 @[el2_lsu_bus_buffer.scala 538:15] - node _T_4321 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4322 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4323 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4324 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4325 = add(_T_4324, _T_4323) @[el2_lsu_bus_buffer.scala 540:96] - node _T_4326 = add(_T_4325, _T_4322) @[el2_lsu_bus_buffer.scala 540:96] - node buf_numvld_any = add(_T_4326, _T_4321) @[el2_lsu_bus_buffer.scala 540:96] - node _T_4327 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4328 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 541:76] - node _T_4329 = eq(_T_4328, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 541:79] - node _T_4330 = and(_T_4327, _T_4329) @[el2_lsu_bus_buffer.scala 541:64] - node _T_4331 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:91] - node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 541:89] - node _T_4333 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4334 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 541:76] - node _T_4335 = eq(_T_4334, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 541:79] - node _T_4336 = and(_T_4333, _T_4335) @[el2_lsu_bus_buffer.scala 541:64] - node _T_4337 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:91] - node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 541:89] - node _T_4339 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4340 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 541:76] - node _T_4341 = eq(_T_4340, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 541:79] - node _T_4342 = and(_T_4339, _T_4341) @[el2_lsu_bus_buffer.scala 541:64] - node _T_4343 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:91] - node _T_4344 = and(_T_4342, _T_4343) @[el2_lsu_bus_buffer.scala 541:89] - node _T_4345 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4346 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 541:76] - node _T_4347 = eq(_T_4346, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 541:79] - node _T_4348 = and(_T_4345, _T_4347) @[el2_lsu_bus_buffer.scala 541:64] - node _T_4349 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:91] - node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 541:89] - node _T_4351 = add(_T_4350, _T_4344) @[el2_lsu_bus_buffer.scala 541:142] - node _T_4352 = add(_T_4351, _T_4338) @[el2_lsu_bus_buffer.scala 541:142] - node _T_4353 = add(_T_4352, _T_4332) @[el2_lsu_bus_buffer.scala 541:142] - buf_numvld_wrcmd_any <= _T_4353 @[el2_lsu_bus_buffer.scala 541:24] - node _T_4354 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4355 = eq(_T_4354, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 542:63] - node _T_4356 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4357 = and(_T_4355, _T_4356) @[el2_lsu_bus_buffer.scala 542:73] - node _T_4358 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4359 = eq(_T_4358, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 542:63] - node _T_4360 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 542:73] - node _T_4362 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4363 = eq(_T_4362, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 542:63] - node _T_4364 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4365 = and(_T_4363, _T_4364) @[el2_lsu_bus_buffer.scala 542:73] - node _T_4366 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4367 = eq(_T_4366, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 542:63] - node _T_4368 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4369 = and(_T_4367, _T_4368) @[el2_lsu_bus_buffer.scala 542:73] - node _T_4370 = add(_T_4369, _T_4365) @[el2_lsu_bus_buffer.scala 542:126] - node _T_4371 = add(_T_4370, _T_4361) @[el2_lsu_bus_buffer.scala 542:126] - node _T_4372 = add(_T_4371, _T_4357) @[el2_lsu_bus_buffer.scala 542:126] - buf_numvld_cmd_any <= _T_4372 @[el2_lsu_bus_buffer.scala 542:22] - node _T_4373 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 543:61] - node _T_4374 = eq(_T_4373, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 543:64] - node _T_4375 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 543:85] - node _T_4376 = eq(_T_4375, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:88] - node _T_4377 = or(_T_4374, _T_4376) @[el2_lsu_bus_buffer.scala 543:74] - node _T_4378 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:102] - node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 543:100] - node _T_4380 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 543:61] - node _T_4381 = eq(_T_4380, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 543:64] - node _T_4382 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 543:85] - node _T_4383 = eq(_T_4382, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:88] - node _T_4384 = or(_T_4381, _T_4383) @[el2_lsu_bus_buffer.scala 543:74] - node _T_4385 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:102] - node _T_4386 = and(_T_4384, _T_4385) @[el2_lsu_bus_buffer.scala 543:100] - node _T_4387 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 543:61] - node _T_4388 = eq(_T_4387, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 543:64] - node _T_4389 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 543:85] - node _T_4390 = eq(_T_4389, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:88] - node _T_4391 = or(_T_4388, _T_4390) @[el2_lsu_bus_buffer.scala 543:74] - node _T_4392 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:102] - node _T_4393 = and(_T_4391, _T_4392) @[el2_lsu_bus_buffer.scala 543:100] - node _T_4394 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 543:61] - node _T_4395 = eq(_T_4394, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 543:64] - node _T_4396 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 543:85] - node _T_4397 = eq(_T_4396, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:88] - node _T_4398 = or(_T_4395, _T_4397) @[el2_lsu_bus_buffer.scala 543:74] - node _T_4399 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:102] - node _T_4400 = and(_T_4398, _T_4399) @[el2_lsu_bus_buffer.scala 543:100] - node _T_4401 = add(_T_4400, _T_4393) @[el2_lsu_bus_buffer.scala 543:153] - node _T_4402 = add(_T_4401, _T_4386) @[el2_lsu_bus_buffer.scala 543:153] - node _T_4403 = add(_T_4402, _T_4379) @[el2_lsu_bus_buffer.scala 543:153] - buf_numvld_pend_any <= _T_4403 @[el2_lsu_bus_buffer.scala 543:23] - node _T_4404 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 544:61] - node _T_4405 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 544:61] - node _T_4406 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 544:61] - node _T_4407 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 544:61] - node _T_4408 = or(_T_4407, _T_4406) @[el2_lsu_bus_buffer.scala 544:93] - node _T_4409 = or(_T_4408, _T_4405) @[el2_lsu_bus_buffer.scala 544:93] - node _T_4410 = or(_T_4409, _T_4404) @[el2_lsu_bus_buffer.scala 544:93] - any_done_wait_state <= _T_4410 @[el2_lsu_bus_buffer.scala 544:23] - node _T_4411 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 545:53] - io.lsu_bus_buffer_pend_any <= _T_4411 @[el2_lsu_bus_buffer.scala 545:30] - node _T_4412 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 546:52] - node _T_4413 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 546:92] - node _T_4414 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 546:119] - node _T_4415 = mux(_T_4412, _T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 546:36] - io.lsu_bus_buffer_full_any <= _T_4415 @[el2_lsu_bus_buffer.scala 546:30] - node _T_4416 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 547:52] - node _T_4417 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 547:52] - node _T_4418 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 547:52] - node _T_4419 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 547:52] - node _T_4420 = or(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 547:65] - node _T_4421 = or(_T_4420, _T_4418) @[el2_lsu_bus_buffer.scala 547:65] - node _T_4422 = or(_T_4421, _T_4419) @[el2_lsu_bus_buffer.scala 547:65] - node _T_4423 = eq(_T_4422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:34] - node _T_4424 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:72] - node _T_4425 = and(_T_4423, _T_4424) @[el2_lsu_bus_buffer.scala 547:70] - node _T_4426 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:86] - node _T_4427 = and(_T_4425, _T_4426) @[el2_lsu_bus_buffer.scala 547:84] - io.lsu_bus_buffer_empty_any <= _T_4427 @[el2_lsu_bus_buffer.scala 547:31] - node _T_4428 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 549:51] - node _T_4429 = and(_T_4428, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 549:72] - node _T_4430 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:94] - node _T_4431 = and(_T_4429, _T_4430) @[el2_lsu_bus_buffer.scala 549:92] - node _T_4432 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:111] - node _T_4433 = and(_T_4431, _T_4432) @[el2_lsu_bus_buffer.scala 549:109] - io.lsu_nonblock_load_valid_m <= _T_4433 @[el2_lsu_bus_buffer.scala 549:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 550:30] + reg _T_4300 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4300 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 540:14] + buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 540:14] + buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 540:14] + buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 540:14] + node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 541:121] + node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] + node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 541:126] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] + _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 541:82] + node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 541:121] + node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] + node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 541:126] + reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] + _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 541:82] + node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 541:121] + node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] + node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 541:126] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] + _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 541:82] + node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 541:121] + node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] + node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 541:126] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] + _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 541:82] + node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] + node _T_4322 = cat(_T_4321, _T_4310) @[Cat.scala 29:58] + node _T_4323 = cat(_T_4322, _T_4305) @[Cat.scala 29:58] + buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 541:15] + node _T_4324 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4325 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4326 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4327 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4328 = add(_T_4327, _T_4326) @[el2_lsu_bus_buffer.scala 543:96] + node _T_4329 = add(_T_4328, _T_4325) @[el2_lsu_bus_buffer.scala 543:96] + node buf_numvld_any = add(_T_4329, _T_4324) @[el2_lsu_bus_buffer.scala 543:96] + node _T_4330 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4331 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 544:76] + node _T_4332 = eq(_T_4331, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4333 = and(_T_4330, _T_4332) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4334 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4335 = and(_T_4333, _T_4334) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4336 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4337 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:76] + node _T_4338 = eq(_T_4337, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4339 = and(_T_4336, _T_4338) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4340 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4341 = and(_T_4339, _T_4340) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4342 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4343 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:76] + node _T_4344 = eq(_T_4343, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4345 = and(_T_4342, _T_4344) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4346 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4348 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4349 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:76] + node _T_4350 = eq(_T_4349, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4351 = and(_T_4348, _T_4350) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4352 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4354 = add(_T_4353, _T_4347) @[el2_lsu_bus_buffer.scala 544:142] + node _T_4355 = add(_T_4354, _T_4341) @[el2_lsu_bus_buffer.scala 544:142] + node _T_4356 = add(_T_4355, _T_4335) @[el2_lsu_bus_buffer.scala 544:142] + buf_numvld_wrcmd_any <= _T_4356 @[el2_lsu_bus_buffer.scala 544:24] + node _T_4357 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4358 = eq(_T_4357, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4359 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4360 = and(_T_4358, _T_4359) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4361 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4362 = eq(_T_4361, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4365 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4366 = eq(_T_4365, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4367 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4368 = and(_T_4366, _T_4367) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4369 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4370 = eq(_T_4369, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4371 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4372 = and(_T_4370, _T_4371) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4373 = add(_T_4372, _T_4368) @[el2_lsu_bus_buffer.scala 545:126] + node _T_4374 = add(_T_4373, _T_4364) @[el2_lsu_bus_buffer.scala 545:126] + node _T_4375 = add(_T_4374, _T_4360) @[el2_lsu_bus_buffer.scala 545:126] + buf_numvld_cmd_any <= _T_4375 @[el2_lsu_bus_buffer.scala 545:22] + node _T_4376 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4377 = eq(_T_4376, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4378 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 546:85] + node _T_4379 = eq(_T_4378, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:88] + node _T_4380 = or(_T_4377, _T_4379) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4381 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4383 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4384 = eq(_T_4383, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4385 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 546:85] + node _T_4386 = eq(_T_4385, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:88] + node _T_4387 = or(_T_4384, _T_4386) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4389 = and(_T_4387, _T_4388) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4390 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4391 = eq(_T_4390, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4392 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 546:85] + node _T_4393 = eq(_T_4392, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:88] + node _T_4394 = or(_T_4391, _T_4393) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4395 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4396 = and(_T_4394, _T_4395) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4397 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4398 = eq(_T_4397, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4399 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 546:85] + node _T_4400 = eq(_T_4399, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:88] + node _T_4401 = or(_T_4398, _T_4400) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4402 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4403 = and(_T_4401, _T_4402) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4404 = add(_T_4403, _T_4396) @[el2_lsu_bus_buffer.scala 546:153] + node _T_4405 = add(_T_4404, _T_4389) @[el2_lsu_bus_buffer.scala 546:153] + node _T_4406 = add(_T_4405, _T_4382) @[el2_lsu_bus_buffer.scala 546:153] + buf_numvld_pend_any <= _T_4406 @[el2_lsu_bus_buffer.scala 546:23] + node _T_4407 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4408 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4409 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4410 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4411 = or(_T_4410, _T_4409) @[el2_lsu_bus_buffer.scala 547:93] + node _T_4412 = or(_T_4411, _T_4408) @[el2_lsu_bus_buffer.scala 547:93] + node _T_4413 = or(_T_4412, _T_4407) @[el2_lsu_bus_buffer.scala 547:93] + any_done_wait_state <= _T_4413 @[el2_lsu_bus_buffer.scala 547:23] + node _T_4414 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 548:53] + io.lsu_bus_buffer_pend_any <= _T_4414 @[el2_lsu_bus_buffer.scala 548:30] + node _T_4415 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4416 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:92] + node _T_4417 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:119] + node _T_4418 = mux(_T_4415, _T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 549:36] + io.lsu_bus_buffer_full_any <= _T_4418 @[el2_lsu_bus_buffer.scala 549:30] + node _T_4419 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4420 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4421 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4422 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4423 = or(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4424 = or(_T_4423, _T_4421) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4425 = or(_T_4424, _T_4422) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4426 = eq(_T_4425, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:34] + node _T_4427 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:72] + node _T_4428 = and(_T_4426, _T_4427) @[el2_lsu_bus_buffer.scala 550:70] + node _T_4429 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:86] + node _T_4430 = and(_T_4428, _T_4429) @[el2_lsu_bus_buffer.scala 550:84] + io.lsu_bus_buffer_empty_any <= _T_4430 @[el2_lsu_bus_buffer.scala 550:31] + node _T_4431 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 552:51] + node _T_4432 = and(_T_4431, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 552:72] + node _T_4433 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:94] + node _T_4434 = and(_T_4432, _T_4433) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4435 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:111] + node _T_4436 = and(_T_4434, _T_4435) @[el2_lsu_bus_buffer.scala 552:109] + io.lsu_nonblock_load_valid_m <= _T_4436 @[el2_lsu_bus_buffer.scala 552:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 553:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4434 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:61] - node _T_4435 = and(lsu_nonblock_load_valid_r, _T_4434) @[el2_lsu_bus_buffer.scala 552:59] - io.lsu_nonblock_load_inv_r <= _T_4435 @[el2_lsu_bus_buffer.scala 552:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 553:34] - node _T_4436 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4437 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:127] - node _T_4438 = and(UInt<1>("h01"), _T_4437) @[el2_lsu_bus_buffer.scala 554:116] - node _T_4439 = eq(_T_4438, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:95] - node _T_4440 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4441 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:127] - node _T_4442 = and(UInt<1>("h01"), _T_4441) @[el2_lsu_bus_buffer.scala 554:116] - node _T_4443 = eq(_T_4442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:95] - node _T_4444 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4445 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:127] - node _T_4446 = and(UInt<1>("h01"), _T_4445) @[el2_lsu_bus_buffer.scala 554:116] - node _T_4447 = eq(_T_4446, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:95] - node _T_4448 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4449 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:127] - node _T_4450 = and(UInt<1>("h01"), _T_4449) @[el2_lsu_bus_buffer.scala 554:116] - node _T_4451 = eq(_T_4450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:95] - node _T_4452 = mux(_T_4436, _T_4439, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4453 = mux(_T_4440, _T_4443, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4454 = mux(_T_4444, _T_4447, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4455 = mux(_T_4448, _T_4451, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4456 = or(_T_4452, _T_4453) @[Mux.scala 27:72] - node _T_4457 = or(_T_4456, _T_4454) @[Mux.scala 27:72] - node _T_4458 = or(_T_4457, _T_4455) @[Mux.scala 27:72] + node _T_4437 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:61] + node _T_4438 = and(lsu_nonblock_load_valid_r, _T_4437) @[el2_lsu_bus_buffer.scala 555:59] + io.lsu_nonblock_load_inv_r <= _T_4438 @[el2_lsu_bus_buffer.scala 555:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 556:34] + node _T_4439 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4440 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4441 = and(UInt<1>("h01"), _T_4440) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4442 = eq(_T_4441, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4443 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4444 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4445 = and(UInt<1>("h01"), _T_4444) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4446 = eq(_T_4445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4447 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4448 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4449 = and(UInt<1>("h01"), _T_4448) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4450 = eq(_T_4449, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4451 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4452 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4453 = and(UInt<1>("h01"), _T_4452) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4454 = eq(_T_4453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4455 = mux(_T_4439, _T_4442, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4456 = mux(_T_4443, _T_4446, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4457 = mux(_T_4447, _T_4450, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4458 = mux(_T_4451, _T_4454, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4459 = or(_T_4455, _T_4456) @[Mux.scala 27:72] + node _T_4460 = or(_T_4459, _T_4457) @[Mux.scala 27:72] + node _T_4461 = or(_T_4460, _T_4458) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4458 @[Mux.scala 27:72] - node _T_4459 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4460 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 555:104] - node _T_4461 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4462 = eq(_T_4461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:110] - node _T_4463 = and(_T_4460, _T_4462) @[el2_lsu_bus_buffer.scala 555:108] - node _T_4464 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4465 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 555:104] - node _T_4466 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4467 = eq(_T_4466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:110] - node _T_4468 = and(_T_4465, _T_4467) @[el2_lsu_bus_buffer.scala 555:108] - node _T_4469 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4470 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 555:104] - node _T_4471 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4472 = eq(_T_4471, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:110] - node _T_4473 = and(_T_4470, _T_4472) @[el2_lsu_bus_buffer.scala 555:108] - node _T_4474 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4475 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 555:104] - node _T_4476 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4477 = eq(_T_4476, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:110] - node _T_4478 = and(_T_4475, _T_4477) @[el2_lsu_bus_buffer.scala 555:108] - node _T_4479 = mux(_T_4459, _T_4463, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4480 = mux(_T_4464, _T_4468, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4481 = mux(_T_4469, _T_4473, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4482 = mux(_T_4474, _T_4478, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4483 = or(_T_4479, _T_4480) @[Mux.scala 27:72] - node _T_4484 = or(_T_4483, _T_4481) @[Mux.scala 27:72] - node _T_4485 = or(_T_4484, _T_4482) @[Mux.scala 27:72] - wire _T_4486 : UInt<1> @[Mux.scala 27:72] - _T_4486 <= _T_4485 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4486 @[el2_lsu_bus_buffer.scala 555:35] - node _T_4487 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4488 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 556:102] - node _T_4489 = eq(_T_4488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4490 = and(_T_4487, _T_4489) @[el2_lsu_bus_buffer.scala 556:90] - node _T_4491 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:109] - node _T_4492 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:124] - node _T_4493 = or(_T_4491, _T_4492) @[el2_lsu_bus_buffer.scala 556:122] - node _T_4494 = and(_T_4490, _T_4493) @[el2_lsu_bus_buffer.scala 556:106] - node _T_4495 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4496 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 556:102] - node _T_4497 = eq(_T_4496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4498 = and(_T_4495, _T_4497) @[el2_lsu_bus_buffer.scala 556:90] - node _T_4499 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:109] - node _T_4500 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:124] - node _T_4501 = or(_T_4499, _T_4500) @[el2_lsu_bus_buffer.scala 556:122] - node _T_4502 = and(_T_4498, _T_4501) @[el2_lsu_bus_buffer.scala 556:106] - node _T_4503 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4504 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 556:102] - node _T_4505 = eq(_T_4504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4506 = and(_T_4503, _T_4505) @[el2_lsu_bus_buffer.scala 556:90] - node _T_4507 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:109] - node _T_4508 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:124] - node _T_4509 = or(_T_4507, _T_4508) @[el2_lsu_bus_buffer.scala 556:122] - node _T_4510 = and(_T_4506, _T_4509) @[el2_lsu_bus_buffer.scala 556:106] - node _T_4511 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4512 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 556:102] - node _T_4513 = eq(_T_4512, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4514 = and(_T_4511, _T_4513) @[el2_lsu_bus_buffer.scala 556:90] - node _T_4515 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:109] - node _T_4516 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:124] - node _T_4517 = or(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 556:122] - node _T_4518 = and(_T_4514, _T_4517) @[el2_lsu_bus_buffer.scala 556:106] - node _T_4519 = mux(_T_4494, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4520 = mux(_T_4502, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4521 = mux(_T_4510, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4522 = mux(_T_4518, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4523 = or(_T_4519, _T_4520) @[Mux.scala 27:72] - node _T_4524 = or(_T_4523, _T_4521) @[Mux.scala 27:72] - node _T_4525 = or(_T_4524, _T_4522) @[Mux.scala 27:72] - wire _T_4526 : UInt<2> @[Mux.scala 27:72] - _T_4526 <= _T_4525 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4526 @[el2_lsu_bus_buffer.scala 556:33] - node _T_4527 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4528 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 557:101] - node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:91] - node _T_4530 = and(_T_4527, _T_4529) @[el2_lsu_bus_buffer.scala 557:89] - node _T_4531 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:108] - node _T_4532 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:123] - node _T_4533 = or(_T_4531, _T_4532) @[el2_lsu_bus_buffer.scala 557:121] - node _T_4534 = and(_T_4530, _T_4533) @[el2_lsu_bus_buffer.scala 557:105] - node _T_4535 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4536 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 557:101] - node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:91] - node _T_4538 = and(_T_4535, _T_4537) @[el2_lsu_bus_buffer.scala 557:89] - node _T_4539 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:108] - node _T_4540 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:123] - node _T_4541 = or(_T_4539, _T_4540) @[el2_lsu_bus_buffer.scala 557:121] - node _T_4542 = and(_T_4538, _T_4541) @[el2_lsu_bus_buffer.scala 557:105] - node _T_4543 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4544 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 557:101] - node _T_4545 = eq(_T_4544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:91] - node _T_4546 = and(_T_4543, _T_4545) @[el2_lsu_bus_buffer.scala 557:89] - node _T_4547 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:108] - node _T_4548 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:123] - node _T_4549 = or(_T_4547, _T_4548) @[el2_lsu_bus_buffer.scala 557:121] - node _T_4550 = and(_T_4546, _T_4549) @[el2_lsu_bus_buffer.scala 557:105] - node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4552 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 557:101] - node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:91] - node _T_4554 = and(_T_4551, _T_4553) @[el2_lsu_bus_buffer.scala 557:89] - node _T_4555 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:108] - node _T_4556 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:123] - node _T_4557 = or(_T_4555, _T_4556) @[el2_lsu_bus_buffer.scala 557:121] - node _T_4558 = and(_T_4554, _T_4557) @[el2_lsu_bus_buffer.scala 557:105] - node _T_4559 = mux(_T_4534, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4560 = mux(_T_4542, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4561 = mux(_T_4550, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4562 = mux(_T_4558, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4563 = or(_T_4559, _T_4560) @[Mux.scala 27:72] - node _T_4564 = or(_T_4563, _T_4561) @[Mux.scala 27:72] - node _T_4565 = or(_T_4564, _T_4562) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4461 @[Mux.scala 27:72] + node _T_4462 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4463 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4464 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4467 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4468 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4469 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4472 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4473 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4474 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4475 = eq(_T_4474, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4476 = and(_T_4473, _T_4475) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4477 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4478 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4479 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4480 = eq(_T_4479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4481 = and(_T_4478, _T_4480) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4482 = mux(_T_4462, _T_4466, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4483 = mux(_T_4467, _T_4471, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4484 = mux(_T_4472, _T_4476, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4485 = mux(_T_4477, _T_4481, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4486 = or(_T_4482, _T_4483) @[Mux.scala 27:72] + node _T_4487 = or(_T_4486, _T_4484) @[Mux.scala 27:72] + node _T_4488 = or(_T_4487, _T_4485) @[Mux.scala 27:72] + wire _T_4489 : UInt<1> @[Mux.scala 27:72] + _T_4489 <= _T_4488 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4489 @[el2_lsu_bus_buffer.scala 558:35] + node _T_4490 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4491 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4492 = eq(_T_4491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4493 = and(_T_4490, _T_4492) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4494 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4495 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4496 = or(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4497 = and(_T_4493, _T_4496) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4498 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4499 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4501 = and(_T_4498, _T_4500) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4502 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4503 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4504 = or(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4505 = and(_T_4501, _T_4504) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4506 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4507 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4509 = and(_T_4506, _T_4508) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4510 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4511 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4512 = or(_T_4510, _T_4511) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4513 = and(_T_4509, _T_4512) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4514 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4515 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4517 = and(_T_4514, _T_4516) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4518 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4519 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4520 = or(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4521 = and(_T_4517, _T_4520) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4522 = mux(_T_4497, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4523 = mux(_T_4505, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4524 = mux(_T_4513, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4525 = mux(_T_4521, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4526 = or(_T_4522, _T_4523) @[Mux.scala 27:72] + node _T_4527 = or(_T_4526, _T_4524) @[Mux.scala 27:72] + node _T_4528 = or(_T_4527, _T_4525) @[Mux.scala 27:72] + wire _T_4529 : UInt<2> @[Mux.scala 27:72] + _T_4529 <= _T_4528 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4529 @[el2_lsu_bus_buffer.scala 559:33] + node _T_4530 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4531 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4534 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4535 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4536 = or(_T_4534, _T_4535) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4537 = and(_T_4533, _T_4536) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4538 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4539 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4541 = and(_T_4538, _T_4540) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4542 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4543 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4544 = or(_T_4542, _T_4543) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4545 = and(_T_4541, _T_4544) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4547 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4549 = and(_T_4546, _T_4548) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4550 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4551 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4552 = or(_T_4550, _T_4551) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4553 = and(_T_4549, _T_4552) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4554 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4555 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4556 = eq(_T_4555, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4557 = and(_T_4554, _T_4556) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4558 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4559 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4560 = or(_T_4558, _T_4559) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4561 = and(_T_4557, _T_4560) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4562 = mux(_T_4537, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4563 = mux(_T_4545, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4564 = mux(_T_4553, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4565 = mux(_T_4561, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4566 = or(_T_4562, _T_4563) @[Mux.scala 27:72] + node _T_4567 = or(_T_4566, _T_4564) @[Mux.scala 27:72] + node _T_4568 = or(_T_4567, _T_4565) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4565 @[Mux.scala 27:72] - node _T_4566 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4567 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 558:101] - node _T_4568 = eq(_T_4567, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:91] - node _T_4569 = and(_T_4566, _T_4568) @[el2_lsu_bus_buffer.scala 558:89] - node _T_4570 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4571 = and(_T_4569, _T_4570) @[el2_lsu_bus_buffer.scala 558:105] - node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 558:101] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:91] - node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 558:89] - node _T_4576 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4577 = and(_T_4575, _T_4576) @[el2_lsu_bus_buffer.scala 558:105] - node _T_4578 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4579 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 558:101] - node _T_4580 = eq(_T_4579, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:91] - node _T_4581 = and(_T_4578, _T_4580) @[el2_lsu_bus_buffer.scala 558:89] - node _T_4582 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4583 = and(_T_4581, _T_4582) @[el2_lsu_bus_buffer.scala 558:105] - node _T_4584 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4585 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 558:101] - node _T_4586 = eq(_T_4585, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:91] - node _T_4587 = and(_T_4584, _T_4586) @[el2_lsu_bus_buffer.scala 558:89] - node _T_4588 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4589 = and(_T_4587, _T_4588) @[el2_lsu_bus_buffer.scala 558:105] - node _T_4590 = mux(_T_4571, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4591 = mux(_T_4577, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4592 = mux(_T_4583, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4593 = mux(_T_4589, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4594 = or(_T_4590, _T_4591) @[Mux.scala 27:72] - node _T_4595 = or(_T_4594, _T_4592) @[Mux.scala 27:72] - node _T_4596 = or(_T_4595, _T_4593) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4568 @[Mux.scala 27:72] + node _T_4569 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4570 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4572 = and(_T_4569, _T_4571) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4573 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4574 = and(_T_4572, _T_4573) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4575 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4576 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4577 = eq(_T_4576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4578 = and(_T_4575, _T_4577) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4579 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4580 = and(_T_4578, _T_4579) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4581 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4582 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4584 = and(_T_4581, _T_4583) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4585 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4586 = and(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4587 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4588 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4589 = eq(_T_4588, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4590 = and(_T_4587, _T_4589) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4591 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4592 = and(_T_4590, _T_4591) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4593 = mux(_T_4574, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4594 = mux(_T_4580, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4595 = mux(_T_4586, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4596 = mux(_T_4592, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4597 = or(_T_4593, _T_4594) @[Mux.scala 27:72] + node _T_4598 = or(_T_4597, _T_4595) @[Mux.scala 27:72] + node _T_4599 = or(_T_4598, _T_4596) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4596 @[Mux.scala 27:72] - node _T_4597 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4598 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4599 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4600 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4601 = mux(_T_4597, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4602 = mux(_T_4598, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4603 = mux(_T_4599, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4604 = mux(_T_4600, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4605 = or(_T_4601, _T_4602) @[Mux.scala 27:72] - node _T_4606 = or(_T_4605, _T_4603) @[Mux.scala 27:72] - node _T_4607 = or(_T_4606, _T_4604) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4599 @[Mux.scala 27:72] + node _T_4600 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4601 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4604 = mux(_T_4600, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4605 = mux(_T_4601, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4606 = mux(_T_4602, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4607 = mux(_T_4603, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4608 = or(_T_4604, _T_4605) @[Mux.scala 27:72] + node _T_4609 = or(_T_4608, _T_4606) @[Mux.scala 27:72] + node _T_4610 = or(_T_4609, _T_4607) @[Mux.scala 27:72] wire lsu_nonblock_addr_offset : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_addr_offset <= _T_4607 @[Mux.scala 27:72] - node _T_4608 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4609 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4610 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4611 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4612 = mux(_T_4608, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4613 = mux(_T_4609, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4614 = mux(_T_4610, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4615 = mux(_T_4611, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4616 = or(_T_4612, _T_4613) @[Mux.scala 27:72] - node _T_4617 = or(_T_4616, _T_4614) @[Mux.scala 27:72] - node _T_4618 = or(_T_4617, _T_4615) @[Mux.scala 27:72] + lsu_nonblock_addr_offset <= _T_4610 @[Mux.scala 27:72] + node _T_4611 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4612 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4614 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4615 = mux(_T_4611, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4616 = mux(_T_4612, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4617 = mux(_T_4613, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4618 = mux(_T_4614, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4619 = or(_T_4615, _T_4616) @[Mux.scala 27:72] + node _T_4620 = or(_T_4619, _T_4617) @[Mux.scala 27:72] + node _T_4621 = or(_T_4620, _T_4618) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4618 @[Mux.scala 27:72] - node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4620 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4621 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4622 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4623 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4624 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4625 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4626 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4627 = mux(_T_4619, _T_4620, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4628 = mux(_T_4621, _T_4622, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4629 = mux(_T_4623, _T_4624, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4630 = mux(_T_4625, _T_4626, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4631 = or(_T_4627, _T_4628) @[Mux.scala 27:72] - node _T_4632 = or(_T_4631, _T_4629) @[Mux.scala 27:72] - node _T_4633 = or(_T_4632, _T_4630) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4621 @[Mux.scala 27:72] + node _T_4622 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4623 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4624 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4625 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4626 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4627 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4628 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4629 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4630 = mux(_T_4622, _T_4623, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4631 = mux(_T_4624, _T_4625, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4632 = mux(_T_4626, _T_4627, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4633 = mux(_T_4628, _T_4629, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4634 = or(_T_4630, _T_4631) @[Mux.scala 27:72] + node _T_4635 = or(_T_4634, _T_4632) @[Mux.scala 27:72] + node _T_4636 = or(_T_4635, _T_4633) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4633 @[Mux.scala 27:72] - node _T_4634 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4635 = cat(_T_4634, buf_dual[1]) @[Cat.scala 29:58] - node _T_4636 = cat(_T_4635, buf_dual[0]) @[Cat.scala 29:58] - node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4638 = bits(_T_4636, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4639 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4640 = bits(_T_4636, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4641 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4642 = bits(_T_4636, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4643 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4644 = bits(_T_4636, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4645 = mux(_T_4637, _T_4638, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4646 = mux(_T_4639, _T_4640, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4647 = mux(_T_4641, _T_4642, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4648 = mux(_T_4643, _T_4644, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4649 = or(_T_4645, _T_4646) @[Mux.scala 27:72] - node _T_4650 = or(_T_4649, _T_4647) @[Mux.scala 27:72] - node _T_4651 = or(_T_4650, _T_4648) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4636 @[Mux.scala 27:72] + node _T_4637 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4638 = cat(_T_4637, buf_dual[1]) @[Cat.scala 29:58] + node _T_4639 = cat(_T_4638, buf_dual[0]) @[Cat.scala 29:58] + node _T_4640 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4641 = bits(_T_4639, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4642 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4643 = bits(_T_4639, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4644 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4645 = bits(_T_4639, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4646 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4647 = bits(_T_4639, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4648 = mux(_T_4640, _T_4641, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4649 = mux(_T_4642, _T_4643, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4650 = mux(_T_4644, _T_4645, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4651 = mux(_T_4646, _T_4647, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4652 = or(_T_4648, _T_4649) @[Mux.scala 27:72] + node _T_4653 = or(_T_4652, _T_4650) @[Mux.scala 27:72] + node _T_4654 = or(_T_4653, _T_4651) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4651 @[Mux.scala 27:72] - node _T_4652 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4653 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 563:121] - node lsu_nonblock_data_unalgn = dshr(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4654 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:69] - node _T_4655 = and(lsu_nonblock_load_data_ready, _T_4654) @[el2_lsu_bus_buffer.scala 564:67] - io.lsu_nonblock_load_data_valid <= _T_4655 @[el2_lsu_bus_buffer.scala 564:35] - node _T_4656 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:81] - node _T_4657 = and(lsu_nonblock_unsign, _T_4656) @[el2_lsu_bus_buffer.scala 565:63] - node _T_4658 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 565:131] - node _T_4659 = cat(UInt<24>("h00"), _T_4658) @[Cat.scala 29:58] - node _T_4660 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 566:45] - node _T_4661 = and(lsu_nonblock_unsign, _T_4660) @[el2_lsu_bus_buffer.scala 566:26] - node _T_4662 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 566:95] - node _T_4663 = cat(UInt<16>("h00"), _T_4662) @[Cat.scala 29:58] - node _T_4664 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:6] - node _T_4665 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:45] - node _T_4666 = and(_T_4664, _T_4665) @[el2_lsu_bus_buffer.scala 567:27] - node _T_4667 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4668 = bits(_T_4667, 0, 0) @[Bitwise.scala 72:15] - node _T_4669 = mux(_T_4668, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4670 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 567:123] - node _T_4671 = cat(_T_4669, _T_4670) @[Cat.scala 29:58] - node _T_4672 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:6] - node _T_4673 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:45] - node _T_4674 = and(_T_4672, _T_4673) @[el2_lsu_bus_buffer.scala 568:27] - node _T_4675 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 568:93] - node _T_4676 = bits(_T_4675, 0, 0) @[Bitwise.scala 72:15] - node _T_4677 = mux(_T_4676, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4678 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 568:124] - node _T_4679 = cat(_T_4677, _T_4678) @[Cat.scala 29:58] - node _T_4680 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:21] - node _T_4681 = mux(_T_4657, _T_4659, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4682 = mux(_T_4661, _T_4663, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4683 = mux(_T_4666, _T_4671, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4684 = mux(_T_4674, _T_4679, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4685 = mux(_T_4680, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4686 = or(_T_4681, _T_4682) @[Mux.scala 27:72] - node _T_4687 = or(_T_4686, _T_4683) @[Mux.scala 27:72] - node _T_4688 = or(_T_4687, _T_4684) @[Mux.scala 27:72] - node _T_4689 = or(_T_4688, _T_4685) @[Mux.scala 27:72] - wire _T_4690 : UInt<64> @[Mux.scala 27:72] - _T_4690 <= _T_4689 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4690 @[el2_lsu_bus_buffer.scala 565:29] - node _T_4691 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 570:62] - node _T_4692 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4693 = and(_T_4691, _T_4692) @[el2_lsu_bus_buffer.scala 570:73] - node _T_4694 = and(_T_4693, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 570:93] - node _T_4695 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 570:62] - node _T_4696 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4697 = and(_T_4695, _T_4696) @[el2_lsu_bus_buffer.scala 570:73] - node _T_4698 = and(_T_4697, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 570:93] - node _T_4699 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 570:62] - node _T_4700 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4701 = and(_T_4699, _T_4700) @[el2_lsu_bus_buffer.scala 570:73] - node _T_4702 = and(_T_4701, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 570:93] - node _T_4703 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 570:62] - node _T_4704 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4705 = and(_T_4703, _T_4704) @[el2_lsu_bus_buffer.scala 570:73] - node _T_4706 = and(_T_4705, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 570:93] - node _T_4707 = or(_T_4694, _T_4698) @[el2_lsu_bus_buffer.scala 570:141] - node _T_4708 = or(_T_4707, _T_4702) @[el2_lsu_bus_buffer.scala 570:141] - node _T_4709 = or(_T_4708, _T_4706) @[el2_lsu_bus_buffer.scala 570:141] - bus_sideeffect_pend <= _T_4709 @[el2_lsu_bus_buffer.scala 570:23] - node _T_4710 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 571:71] - node _T_4711 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 572:25] - node _T_4712 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 572:50] - node _T_4713 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 572:70] - node _T_4714 = eq(_T_4712, _T_4713) @[el2_lsu_bus_buffer.scala 572:56] - node _T_4715 = and(_T_4711, _T_4714) @[el2_lsu_bus_buffer.scala 572:38] - node _T_4716 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:92] - node _T_4717 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4718 = and(obuf_merge, _T_4717) @[el2_lsu_bus_buffer.scala 572:114] - node _T_4719 = or(_T_4716, _T_4718) @[el2_lsu_bus_buffer.scala 572:100] - node _T_4720 = eq(_T_4719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:80] - node _T_4721 = and(_T_4715, _T_4720) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4722 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 571:71] - node _T_4723 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 572:25] - node _T_4724 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 572:50] - node _T_4725 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 572:70] - node _T_4726 = eq(_T_4724, _T_4725) @[el2_lsu_bus_buffer.scala 572:56] - node _T_4727 = and(_T_4723, _T_4726) @[el2_lsu_bus_buffer.scala 572:38] - node _T_4728 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 572:92] - node _T_4729 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4730 = and(obuf_merge, _T_4729) @[el2_lsu_bus_buffer.scala 572:114] - node _T_4731 = or(_T_4728, _T_4730) @[el2_lsu_bus_buffer.scala 572:100] - node _T_4732 = eq(_T_4731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:80] - node _T_4733 = and(_T_4727, _T_4732) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4734 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 571:71] - node _T_4735 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 572:25] - node _T_4736 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 572:50] - node _T_4737 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 572:70] - node _T_4738 = eq(_T_4736, _T_4737) @[el2_lsu_bus_buffer.scala 572:56] - node _T_4739 = and(_T_4735, _T_4738) @[el2_lsu_bus_buffer.scala 572:38] - node _T_4740 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 572:92] - node _T_4741 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4742 = and(obuf_merge, _T_4741) @[el2_lsu_bus_buffer.scala 572:114] - node _T_4743 = or(_T_4740, _T_4742) @[el2_lsu_bus_buffer.scala 572:100] - node _T_4744 = eq(_T_4743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:80] - node _T_4745 = and(_T_4739, _T_4744) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4746 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 571:71] - node _T_4747 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 572:25] - node _T_4748 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 572:50] - node _T_4749 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 572:70] - node _T_4750 = eq(_T_4748, _T_4749) @[el2_lsu_bus_buffer.scala 572:56] - node _T_4751 = and(_T_4747, _T_4750) @[el2_lsu_bus_buffer.scala 572:38] - node _T_4752 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 572:92] - node _T_4753 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4754 = and(obuf_merge, _T_4753) @[el2_lsu_bus_buffer.scala 572:114] - node _T_4755 = or(_T_4752, _T_4754) @[el2_lsu_bus_buffer.scala 572:100] - node _T_4756 = eq(_T_4755, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:80] - node _T_4757 = and(_T_4751, _T_4756) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4758 = mux(_T_4710, _T_4721, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4759 = mux(_T_4722, _T_4733, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4760 = mux(_T_4734, _T_4745, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4761 = mux(_T_4746, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4762 = or(_T_4758, _T_4759) @[Mux.scala 27:72] - node _T_4763 = or(_T_4762, _T_4760) @[Mux.scala 27:72] - node _T_4764 = or(_T_4763, _T_4761) @[Mux.scala 27:72] - wire _T_4765 : UInt<1> @[Mux.scala 27:72] - _T_4765 <= _T_4764 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4765 @[el2_lsu_bus_buffer.scala 571:26] - node _T_4766 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 574:54] - node _T_4767 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 574:75] - node _T_4768 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 574:150] - node _T_4769 = mux(_T_4766, _T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 574:39] - node _T_4770 = mux(obuf_write, _T_4769, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 574:23] - bus_cmd_ready <= _T_4770 @[el2_lsu_bus_buffer.scala 574:17] - node _T_4771 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 575:39] - bus_wcmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 575:17] - node _T_4772 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 576:39] - bus_wdata_sent <= _T_4772 @[el2_lsu_bus_buffer.scala 576:18] - node _T_4773 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 577:35] - node _T_4774 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 577:70] - node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 577:52] - node _T_4776 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 577:111] - node _T_4777 = or(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 577:89] - bus_cmd_sent <= _T_4777 @[el2_lsu_bus_buffer.scala 577:16] - node _T_4778 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 578:37] - bus_rsp_read <= _T_4778 @[el2_lsu_bus_buffer.scala 578:16] - node _T_4779 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 579:38] - bus_rsp_write <= _T_4779 @[el2_lsu_bus_buffer.scala 579:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 580:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 581:21] - node _T_4780 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4781 = and(bus_rsp_write, _T_4780) @[el2_lsu_bus_buffer.scala 582:40] - bus_rsp_write_error <= _T_4781 @[el2_lsu_bus_buffer.scala 582:23] - node _T_4782 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:58] - node _T_4783 = and(bus_rsp_read, _T_4782) @[el2_lsu_bus_buffer.scala 583:38] - bus_rsp_read_error <= _T_4783 @[el2_lsu_bus_buffer.scala 583:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 584:17] - node _T_4784 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 587:36] - node _T_4785 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:51] - node _T_4786 = and(_T_4784, _T_4785) @[el2_lsu_bus_buffer.scala 587:49] - node _T_4787 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:68] - node _T_4788 = and(_T_4786, _T_4787) @[el2_lsu_bus_buffer.scala 587:66] - io.lsu_axi_awvalid <= _T_4788 @[el2_lsu_bus_buffer.scala 587:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 588:19] - node _T_4789 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:69] - node _T_4790 = cat(_T_4789, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4791 = mux(obuf_sideeffect, obuf_addr, _T_4790) @[el2_lsu_bus_buffer.scala 589:27] - io.lsu_axi_awaddr <= _T_4791 @[el2_lsu_bus_buffer.scala 589:21] - node _T_4792 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4793 = mux(obuf_sideeffect, _T_4792, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 590:27] - io.lsu_axi_awsize <= _T_4793 @[el2_lsu_bus_buffer.scala 590:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 591:21] - node _T_4794 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 592:28] - io.lsu_axi_awcache <= _T_4794 @[el2_lsu_bus_buffer.scala 592:22] - node _T_4795 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 593:35] - io.lsu_axi_awregion <= _T_4795 @[el2_lsu_bus_buffer.scala 593:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 595:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 596:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 597:21] - node _T_4796 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 599:35] - node _T_4797 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:50] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 599:48] - node _T_4799 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:68] - node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 599:66] - io.lsu_axi_wvalid <= _T_4800 @[el2_lsu_bus_buffer.scala 599:21] - node _T_4801 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4802 = mux(_T_4801, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4803 = and(obuf_byteen, _T_4802) @[el2_lsu_bus_buffer.scala 600:35] - io.lsu_axi_wstrb <= _T_4803 @[el2_lsu_bus_buffer.scala 600:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 601:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 602:20] - node _T_4804 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:38] - node _T_4805 = and(obuf_valid, _T_4804) @[el2_lsu_bus_buffer.scala 604:36] - node _T_4806 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:52] - node _T_4807 = and(_T_4805, _T_4806) @[el2_lsu_bus_buffer.scala 604:50] - node _T_4808 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:67] - node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 604:65] - io.lsu_axi_arvalid <= _T_4809 @[el2_lsu_bus_buffer.scala 604:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 605:19] - node _T_4810 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:69] - node _T_4811 = cat(_T_4810, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4812 = mux(obuf_sideeffect, obuf_addr, _T_4811) @[el2_lsu_bus_buffer.scala 606:27] - io.lsu_axi_araddr <= _T_4812 @[el2_lsu_bus_buffer.scala 606:21] - node _T_4813 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4814 = mux(obuf_sideeffect, _T_4813, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:27] - io.lsu_axi_arsize <= _T_4814 @[el2_lsu_bus_buffer.scala 607:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:21] - node _T_4815 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 609:28] - io.lsu_axi_arcache <= _T_4815 @[el2_lsu_bus_buffer.scala 609:22] - node _T_4816 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 610:35] - io.lsu_axi_arregion <= _T_4816 @[el2_lsu_bus_buffer.scala 610:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 612:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 613:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 614:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 615:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 616:21] - node _T_4817 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 617:81] - node _T_4818 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 617:125] - node _T_4819 = and(io.lsu_bus_clk_en_q, _T_4818) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4820 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 617:140] - node _T_4821 = and(_T_4819, _T_4820) @[el2_lsu_bus_buffer.scala 617:129] - node _T_4822 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 617:81] - node _T_4823 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 617:125] - node _T_4824 = and(io.lsu_bus_clk_en_q, _T_4823) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4825 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 617:140] - node _T_4826 = and(_T_4824, _T_4825) @[el2_lsu_bus_buffer.scala 617:129] - node _T_4827 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 617:81] - node _T_4828 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 617:125] - node _T_4829 = and(io.lsu_bus_clk_en_q, _T_4828) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4830 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 617:140] - node _T_4831 = and(_T_4829, _T_4830) @[el2_lsu_bus_buffer.scala 617:129] - node _T_4832 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 617:81] - node _T_4833 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 617:125] - node _T_4834 = and(io.lsu_bus_clk_en_q, _T_4833) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4835 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 617:140] - node _T_4836 = and(_T_4834, _T_4835) @[el2_lsu_bus_buffer.scala 617:129] - node _T_4837 = mux(_T_4817, _T_4821, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4838 = mux(_T_4822, _T_4826, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4839 = mux(_T_4827, _T_4831, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4840 = mux(_T_4832, _T_4836, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4841 = or(_T_4837, _T_4838) @[Mux.scala 27:72] - node _T_4842 = or(_T_4841, _T_4839) @[Mux.scala 27:72] - node _T_4843 = or(_T_4842, _T_4840) @[Mux.scala 27:72] - wire _T_4844 : UInt<1> @[Mux.scala 27:72] - _T_4844 <= _T_4843 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4844 @[el2_lsu_bus_buffer.scala 617:36] - node _T_4845 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 618:87] - node _T_4846 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 618:109] - node _T_4847 = and(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 618:98] - node _T_4848 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 618:124] - node _T_4849 = and(_T_4847, _T_4848) @[el2_lsu_bus_buffer.scala 618:113] - node _T_4850 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 618:87] - node _T_4851 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 618:109] - node _T_4852 = and(_T_4850, _T_4851) @[el2_lsu_bus_buffer.scala 618:98] - node _T_4853 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 618:124] - node _T_4854 = and(_T_4852, _T_4853) @[el2_lsu_bus_buffer.scala 618:113] - node _T_4855 = mux(_T_4849, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4856 = mux(_T_4854, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4857 = or(_T_4855, _T_4856) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4654 @[Mux.scala 27:72] + node _T_4655 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4656 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 566:121] + node lsu_nonblock_data_unalgn = dshr(_T_4655, _T_4656) @[el2_lsu_bus_buffer.scala 566:92] + node _T_4657 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:69] + node _T_4658 = and(lsu_nonblock_load_data_ready, _T_4657) @[el2_lsu_bus_buffer.scala 567:67] + io.lsu_nonblock_load_data_valid <= _T_4658 @[el2_lsu_bus_buffer.scala 567:35] + node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:81] + node _T_4660 = and(lsu_nonblock_unsign, _T_4659) @[el2_lsu_bus_buffer.scala 568:63] + node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 568:131] + node _T_4662 = cat(UInt<24>("h00"), _T_4661) @[Cat.scala 29:58] + node _T_4663 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:45] + node _T_4664 = and(lsu_nonblock_unsign, _T_4663) @[el2_lsu_bus_buffer.scala 569:26] + node _T_4665 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 569:95] + node _T_4666 = cat(UInt<16>("h00"), _T_4665) @[Cat.scala 29:58] + node _T_4667 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:6] + node _T_4668 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:45] + node _T_4669 = and(_T_4667, _T_4668) @[el2_lsu_bus_buffer.scala 570:27] + node _T_4670 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 570:93] + node _T_4671 = bits(_T_4670, 0, 0) @[Bitwise.scala 72:15] + node _T_4672 = mux(_T_4671, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4673 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 570:123] + node _T_4674 = cat(_T_4672, _T_4673) @[Cat.scala 29:58] + node _T_4675 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:6] + node _T_4676 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 571:45] + node _T_4677 = and(_T_4675, _T_4676) @[el2_lsu_bus_buffer.scala 571:27] + node _T_4678 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 571:93] + node _T_4679 = bits(_T_4678, 0, 0) @[Bitwise.scala 72:15] + node _T_4680 = mux(_T_4679, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4681 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 571:124] + node _T_4682 = cat(_T_4680, _T_4681) @[Cat.scala 29:58] + node _T_4683 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 572:21] + node _T_4684 = mux(_T_4660, _T_4662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4685 = mux(_T_4664, _T_4666, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4686 = mux(_T_4669, _T_4674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4687 = mux(_T_4677, _T_4682, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4683, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = or(_T_4684, _T_4685) @[Mux.scala 27:72] + node _T_4690 = or(_T_4689, _T_4686) @[Mux.scala 27:72] + node _T_4691 = or(_T_4690, _T_4687) @[Mux.scala 27:72] + node _T_4692 = or(_T_4691, _T_4688) @[Mux.scala 27:72] + wire _T_4693 : UInt<64> @[Mux.scala 27:72] + _T_4693 <= _T_4692 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4693 @[el2_lsu_bus_buffer.scala 568:29] + node _T_4694 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4695 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4696 = and(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4697 = and(_T_4696, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4698 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4699 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4700 = and(_T_4698, _T_4699) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4701 = and(_T_4700, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4702 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4703 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4704 = and(_T_4702, _T_4703) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4705 = and(_T_4704, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4706 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4707 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4708 = and(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4709 = and(_T_4708, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4710 = or(_T_4697, _T_4701) @[el2_lsu_bus_buffer.scala 573:141] + node _T_4711 = or(_T_4710, _T_4705) @[el2_lsu_bus_buffer.scala 573:141] + node _T_4712 = or(_T_4711, _T_4709) @[el2_lsu_bus_buffer.scala 573:141] + bus_sideeffect_pend <= _T_4712 @[el2_lsu_bus_buffer.scala 573:23] + node _T_4713 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4714 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4715 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4716 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4717 = eq(_T_4715, _T_4716) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4718 = and(_T_4714, _T_4717) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4719 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4720 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4721 = and(obuf_merge, _T_4720) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4722 = or(_T_4719, _T_4721) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4723 = eq(_T_4722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4724 = and(_T_4718, _T_4723) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4725 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4726 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4727 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4728 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4729 = eq(_T_4727, _T_4728) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4730 = and(_T_4726, _T_4729) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4731 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4732 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4733 = and(obuf_merge, _T_4732) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4734 = or(_T_4731, _T_4733) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4735 = eq(_T_4734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4736 = and(_T_4730, _T_4735) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4737 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4738 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4739 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4740 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4741 = eq(_T_4739, _T_4740) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4742 = and(_T_4738, _T_4741) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4743 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4744 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4745 = and(obuf_merge, _T_4744) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4746 = or(_T_4743, _T_4745) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4747 = eq(_T_4746, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4748 = and(_T_4742, _T_4747) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4749 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4750 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4751 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4752 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4753 = eq(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4754 = and(_T_4750, _T_4753) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4755 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4756 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4757 = and(obuf_merge, _T_4756) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4758 = or(_T_4755, _T_4757) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4759 = eq(_T_4758, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4760 = and(_T_4754, _T_4759) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4761 = mux(_T_4713, _T_4724, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4762 = mux(_T_4725, _T_4736, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4763 = mux(_T_4737, _T_4748, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4764 = mux(_T_4749, _T_4760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4765 = or(_T_4761, _T_4762) @[Mux.scala 27:72] + node _T_4766 = or(_T_4765, _T_4763) @[Mux.scala 27:72] + node _T_4767 = or(_T_4766, _T_4764) @[Mux.scala 27:72] + wire _T_4768 : UInt<1> @[Mux.scala 27:72] + _T_4768 <= _T_4767 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4768 @[el2_lsu_bus_buffer.scala 574:26] + node _T_4769 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 577:54] + node _T_4770 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:75] + node _T_4771 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:150] + node _T_4772 = mux(_T_4769, _T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 577:39] + node _T_4773 = mux(obuf_write, _T_4772, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 577:23] + bus_cmd_ready <= _T_4773 @[el2_lsu_bus_buffer.scala 577:17] + node _T_4774 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 578:39] + bus_wcmd_sent <= _T_4774 @[el2_lsu_bus_buffer.scala 578:17] + node _T_4775 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 579:39] + bus_wdata_sent <= _T_4775 @[el2_lsu_bus_buffer.scala 579:18] + node _T_4776 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 580:35] + node _T_4777 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 580:70] + node _T_4778 = and(_T_4776, _T_4777) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4779 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 580:111] + node _T_4780 = or(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 580:89] + bus_cmd_sent <= _T_4780 @[el2_lsu_bus_buffer.scala 580:16] + node _T_4781 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 581:37] + bus_rsp_read <= _T_4781 @[el2_lsu_bus_buffer.scala 581:16] + node _T_4782 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 582:38] + bus_rsp_write <= _T_4782 @[el2_lsu_bus_buffer.scala 582:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 583:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 584:21] + node _T_4783 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:60] + node _T_4784 = and(bus_rsp_write, _T_4783) @[el2_lsu_bus_buffer.scala 585:40] + bus_rsp_write_error <= _T_4784 @[el2_lsu_bus_buffer.scala 585:23] + node _T_4785 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:58] + node _T_4786 = and(bus_rsp_read, _T_4785) @[el2_lsu_bus_buffer.scala 586:38] + bus_rsp_read_error <= _T_4786 @[el2_lsu_bus_buffer.scala 586:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 587:17] + node _T_4787 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 590:36] + node _T_4788 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:51] + node _T_4789 = and(_T_4787, _T_4788) @[el2_lsu_bus_buffer.scala 590:49] + node _T_4790 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:68] + node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 590:66] + io.lsu_axi_awvalid <= _T_4791 @[el2_lsu_bus_buffer.scala 590:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 591:19] + node _T_4792 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 592:69] + node _T_4793 = cat(_T_4792, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4794 = mux(obuf_sideeffect, obuf_addr, _T_4793) @[el2_lsu_bus_buffer.scala 592:27] + io.lsu_axi_awaddr <= _T_4794 @[el2_lsu_bus_buffer.scala 592:21] + node _T_4795 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4796 = mux(obuf_sideeffect, _T_4795, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 593:27] + io.lsu_axi_awsize <= _T_4796 @[el2_lsu_bus_buffer.scala 593:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:21] + node _T_4797 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 595:28] + io.lsu_axi_awcache <= _T_4797 @[el2_lsu_bus_buffer.scala 595:22] + node _T_4798 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 596:35] + io.lsu_axi_awregion <= _T_4798 @[el2_lsu_bus_buffer.scala 596:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 597:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 598:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 599:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 600:21] + node _T_4799 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 602:35] + node _T_4800 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:50] + node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 602:48] + node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:68] + node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 602:66] + io.lsu_axi_wvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 602:21] + node _T_4804 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4805 = mux(_T_4804, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4806 = and(obuf_byteen, _T_4805) @[el2_lsu_bus_buffer.scala 603:35] + io.lsu_axi_wstrb <= _T_4806 @[el2_lsu_bus_buffer.scala 603:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 604:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 605:20] + node _T_4807 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4808 = and(obuf_valid, _T_4807) @[el2_lsu_bus_buffer.scala 607:36] + node _T_4809 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:52] + node _T_4810 = and(_T_4808, _T_4809) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4811 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:67] + node _T_4812 = and(_T_4810, _T_4811) @[el2_lsu_bus_buffer.scala 607:65] + io.lsu_axi_arvalid <= _T_4812 @[el2_lsu_bus_buffer.scala 607:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 608:19] + node _T_4813 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 609:69] + node _T_4814 = cat(_T_4813, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4815 = mux(obuf_sideeffect, obuf_addr, _T_4814) @[el2_lsu_bus_buffer.scala 609:27] + io.lsu_axi_araddr <= _T_4815 @[el2_lsu_bus_buffer.scala 609:21] + node _T_4816 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4817 = mux(obuf_sideeffect, _T_4816, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 610:27] + io.lsu_axi_arsize <= _T_4817 @[el2_lsu_bus_buffer.scala 610:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] + node _T_4818 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 612:28] + io.lsu_axi_arcache <= _T_4818 @[el2_lsu_bus_buffer.scala 612:22] + node _T_4819 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 613:35] + io.lsu_axi_arregion <= _T_4819 @[el2_lsu_bus_buffer.scala 613:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 614:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 615:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 616:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 617:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 618:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 619:21] + node _T_4820 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4821 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4822 = and(io.lsu_bus_clk_en_q, _T_4821) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4823 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4824 = and(_T_4822, _T_4823) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4825 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4826 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4827 = and(io.lsu_bus_clk_en_q, _T_4826) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4828 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4829 = and(_T_4827, _T_4828) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4830 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4831 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4832 = and(io.lsu_bus_clk_en_q, _T_4831) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4833 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4834 = and(_T_4832, _T_4833) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4835 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4836 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4837 = and(io.lsu_bus_clk_en_q, _T_4836) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4838 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4839 = and(_T_4837, _T_4838) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4840 = mux(_T_4820, _T_4824, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4841 = mux(_T_4825, _T_4829, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4842 = mux(_T_4830, _T_4834, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4843 = mux(_T_4835, _T_4839, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4844 = or(_T_4840, _T_4841) @[Mux.scala 27:72] + node _T_4845 = or(_T_4844, _T_4842) @[Mux.scala 27:72] + node _T_4846 = or(_T_4845, _T_4843) @[Mux.scala 27:72] + wire _T_4847 : UInt<1> @[Mux.scala 27:72] + _T_4847 <= _T_4846 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4847 @[el2_lsu_bus_buffer.scala 620:36] + node _T_4848 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] + node _T_4849 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 621:109] + node _T_4850 = and(_T_4848, _T_4849) @[el2_lsu_bus_buffer.scala 621:98] + node _T_4851 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 621:124] + node _T_4852 = and(_T_4850, _T_4851) @[el2_lsu_bus_buffer.scala 621:113] + node _T_4853 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] + node _T_4854 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 621:109] + node _T_4855 = and(_T_4853, _T_4854) @[el2_lsu_bus_buffer.scala 621:98] + node _T_4856 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 621:124] + node _T_4857 = and(_T_4855, _T_4856) @[el2_lsu_bus_buffer.scala 621:113] + node _T_4858 = mux(_T_4852, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4859 = mux(_T_4857, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4860 = or(_T_4858, _T_4859) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4857 @[Mux.scala 27:72] - node _T_4858 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:72] - node _T_4859 = and(io.lsu_nonblock_load_data_error, _T_4858) @[el2_lsu_bus_buffer.scala 620:70] - io.lsu_imprecise_error_load_any <= _T_4859 @[el2_lsu_bus_buffer.scala 620:35] - node _T_4860 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4861 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4862 = mux(_T_4860, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4863 = mux(_T_4861, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4864 = or(_T_4862, _T_4863) @[Mux.scala 27:72] - wire _T_4865 : UInt<32> @[Mux.scala 27:72] - _T_4865 <= _T_4864 @[Mux.scala 27:72] - node _T_4866 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4867 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4868 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4869 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4870 = mux(_T_4866, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4871 = mux(_T_4867, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4872 = mux(_T_4868, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4873 = mux(_T_4869, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4874 = or(_T_4870, _T_4871) @[Mux.scala 27:72] - node _T_4875 = or(_T_4874, _T_4872) @[Mux.scala 27:72] - node _T_4876 = or(_T_4875, _T_4873) @[Mux.scala 27:72] - wire _T_4877 : UInt<32> @[Mux.scala 27:72] - _T_4877 <= _T_4876 @[Mux.scala 27:72] - node _T_4878 = mux(io.lsu_imprecise_error_store_any, _T_4865, _T_4877) @[el2_lsu_bus_buffer.scala 621:41] - io.lsu_imprecise_error_addr_any <= _T_4878 @[el2_lsu_bus_buffer.scala 621:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 624:23] - node _T_4879 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 627:46] - node _T_4880 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 627:89] - node _T_4881 = or(_T_4879, _T_4880) @[el2_lsu_bus_buffer.scala 627:68] - node _T_4882 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 627:132] - node _T_4883 = or(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 627:110] - io.lsu_pmu_bus_trxn <= _T_4883 @[el2_lsu_bus_buffer.scala 627:23] - node _T_4884 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 628:48] - node _T_4885 = and(_T_4884, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 628:65] - io.lsu_pmu_bus_misaligned <= _T_4885 @[el2_lsu_bus_buffer.scala 628:29] - node _T_4886 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 629:59] - io.lsu_pmu_bus_error <= _T_4886 @[el2_lsu_bus_buffer.scala 629:24] - node _T_4887 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:48] - node _T_4888 = and(io.lsu_axi_awvalid, _T_4887) @[el2_lsu_bus_buffer.scala 631:46] - node _T_4889 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:92] - node _T_4890 = and(io.lsu_axi_wvalid, _T_4889) @[el2_lsu_bus_buffer.scala 631:90] - node _T_4891 = or(_T_4888, _T_4890) @[el2_lsu_bus_buffer.scala 631:69] - node _T_4892 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:136] - node _T_4893 = and(io.lsu_axi_arvalid, _T_4892) @[el2_lsu_bus_buffer.scala 631:134] - node _T_4894 = or(_T_4891, _T_4893) @[el2_lsu_bus_buffer.scala 631:112] - io.lsu_pmu_bus_busy <= _T_4894 @[el2_lsu_bus_buffer.scala 631:23] - reg _T_4895 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 633:49] - _T_4895 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 633:49] - WrPtr0_r <= _T_4895 @[el2_lsu_bus_buffer.scala 633:12] - reg _T_4896 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 634:49] - _T_4896 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 634:49] - WrPtr1_r <= _T_4896 @[el2_lsu_bus_buffer.scala 634:12] - node _T_4897 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:75] - node _T_4898 = and(io.lsu_busreq_m, _T_4897) @[el2_lsu_bus_buffer.scala 635:73] - node _T_4899 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:89] - node _T_4900 = and(_T_4898, _T_4899) @[el2_lsu_bus_buffer.scala 635:87] - reg _T_4901 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 635:56] - _T_4901 <= _T_4900 @[el2_lsu_bus_buffer.scala 635:56] - io.lsu_busreq_r <= _T_4901 @[el2_lsu_bus_buffer.scala 635:19] - reg _T_4902 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 636:66] - _T_4902 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 636:66] - lsu_nonblock_load_valid_r <= _T_4902 @[el2_lsu_bus_buffer.scala 636:29] + lsu_imprecise_error_store_tag <= _T_4860 @[Mux.scala 27:72] + node _T_4861 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 623:72] + node _T_4862 = and(io.lsu_nonblock_load_data_error, _T_4861) @[el2_lsu_bus_buffer.scala 623:70] + io.lsu_imprecise_error_load_any <= _T_4862 @[el2_lsu_bus_buffer.scala 623:35] + node _T_4863 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4864 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4865 = mux(_T_4863, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4866 = mux(_T_4864, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4867 = or(_T_4865, _T_4866) @[Mux.scala 27:72] + wire _T_4868 : UInt<32> @[Mux.scala 27:72] + _T_4868 <= _T_4867 @[Mux.scala 27:72] + node _T_4869 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4870 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4871 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4872 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4873 = mux(_T_4869, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4874 = mux(_T_4870, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4875 = mux(_T_4871, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4876 = mux(_T_4872, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4877 = or(_T_4873, _T_4874) @[Mux.scala 27:72] + node _T_4878 = or(_T_4877, _T_4875) @[Mux.scala 27:72] + node _T_4879 = or(_T_4878, _T_4876) @[Mux.scala 27:72] + wire _T_4880 : UInt<32> @[Mux.scala 27:72] + _T_4880 <= _T_4879 @[Mux.scala 27:72] + node _T_4881 = mux(io.lsu_imprecise_error_store_any, _T_4868, _T_4880) @[el2_lsu_bus_buffer.scala 624:41] + io.lsu_imprecise_error_addr_any <= _T_4881 @[el2_lsu_bus_buffer.scala 624:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 627:23] + node _T_4882 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 630:46] + node _T_4883 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 630:89] + node _T_4884 = or(_T_4882, _T_4883) @[el2_lsu_bus_buffer.scala 630:68] + node _T_4885 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 630:132] + node _T_4886 = or(_T_4884, _T_4885) @[el2_lsu_bus_buffer.scala 630:110] + io.lsu_pmu_bus_trxn <= _T_4886 @[el2_lsu_bus_buffer.scala 630:23] + node _T_4887 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 631:48] + node _T_4888 = and(_T_4887, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 631:65] + io.lsu_pmu_bus_misaligned <= _T_4888 @[el2_lsu_bus_buffer.scala 631:29] + node _T_4889 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 632:59] + io.lsu_pmu_bus_error <= _T_4889 @[el2_lsu_bus_buffer.scala 632:24] + node _T_4890 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:48] + node _T_4891 = and(io.lsu_axi_awvalid, _T_4890) @[el2_lsu_bus_buffer.scala 634:46] + node _T_4892 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:92] + node _T_4893 = and(io.lsu_axi_wvalid, _T_4892) @[el2_lsu_bus_buffer.scala 634:90] + node _T_4894 = or(_T_4891, _T_4893) @[el2_lsu_bus_buffer.scala 634:69] + node _T_4895 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:136] + node _T_4896 = and(io.lsu_axi_arvalid, _T_4895) @[el2_lsu_bus_buffer.scala 634:134] + node _T_4897 = or(_T_4894, _T_4896) @[el2_lsu_bus_buffer.scala 634:112] + io.lsu_pmu_bus_busy <= _T_4897 @[el2_lsu_bus_buffer.scala 634:23] + reg _T_4898 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 636:49] + _T_4898 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 636:49] + WrPtr0_r <= _T_4898 @[el2_lsu_bus_buffer.scala 636:12] + reg _T_4899 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 637:49] + _T_4899 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 637:49] + WrPtr1_r <= _T_4899 @[el2_lsu_bus_buffer.scala 637:12] + node _T_4900 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:75] + node _T_4901 = and(io.lsu_busreq_m, _T_4900) @[el2_lsu_bus_buffer.scala 638:73] + node _T_4902 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:89] + node _T_4903 = and(_T_4901, _T_4902) @[el2_lsu_bus_buffer.scala 638:87] + reg _T_4904 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 638:56] + _T_4904 <= _T_4903 @[el2_lsu_bus_buffer.scala 638:56] + io.lsu_busreq_r <= _T_4904 @[el2_lsu_bus_buffer.scala 638:19] + reg _T_4905 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 639:66] + _T_4905 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 639:66] + lsu_nonblock_load_valid_r <= _T_4905 @[el2_lsu_bus_buffer.scala 639:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 31840f8a..c500ba89 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -141,7 +141,7 @@ module el2_lsu_bus_buffer( output [2:0] io_lsu_axi_arprot, output [3:0] io_lsu_axi_arqos, output io_lsu_axi_rready, - output [2:0] io_test + output [1:0] io_test ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -304,11 +304,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 126:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] + reg _T_4269; // @[Reg.scala 27:20] reg _T_4266; // @[Reg.scala 27:20] reg _T_4263; // @[Reg.scala 27:20] reg _T_4260; // @[Reg.scala 27:20] - reg _T_4257; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4266,_T_4263,_T_4260,_T_4257}; // @[Cat.scala 29:58] + wire [3:0] buf_write = {_T_4269,_T_4266,_T_4263,_T_4260}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 128:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] @@ -351,99 +351,99 @@ module el2_lsu_bus_buffer( wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 129:98] wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] - reg [2:0] _T_4293; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4293}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 536:16] + reg [2:0] _T_4296; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4291; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4291}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 536:16] + reg [2:0] _T_4294; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4289; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4289}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 536:16] + reg [2:0] _T_4292; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4287; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4287}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 536:16] + reg [2:0] _T_4290; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_2528 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_4013 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4036 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4040 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 523:60] + wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_4016 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4039 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4043 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 381:13] - wire _T_4047 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 475:48] + wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 478:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_4048 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_4049 = obuf_merge & _T_4048; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_4050 = _T_4047 | _T_4049; // @[el2_lsu_bus_buffer.scala 475:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 478:91] + wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 478:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 375:54] - wire _T_4051 = _T_4050 & obuf_valid; // @[el2_lsu_bus_buffer.scala 475:135] + wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 374:55] - wire _T_4052 = _T_4051 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 475:148] - wire _GEN_280 = _T_4040 & _T_4052; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4036 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4013 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2529 = _T_2528 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 433:104] - wire _T_2530 = buf_ageQ_3[3] & _T_2529; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2524 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_3820 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3843 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3847 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3854 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 475:48] - wire _T_3855 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_3856 = obuf_merge & _T_3855; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_3857 = _T_3854 | _T_3856; // @[el2_lsu_bus_buffer.scala 475:77] - wire _T_3858 = _T_3857 & obuf_valid; // @[el2_lsu_bus_buffer.scala 475:135] - wire _T_3859 = _T_3858 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 475:148] - wire _GEN_204 = _T_3847 & _T_3859; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3843 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3820 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2525 = _T_2524 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 433:104] - wire _T_2526 = buf_ageQ_3[2] & _T_2525; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2520 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_3627 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3650 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3654 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3661 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 475:48] - wire _T_3662 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_3663 = obuf_merge & _T_3662; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_3664 = _T_3661 | _T_3663; // @[el2_lsu_bus_buffer.scala 475:77] - wire _T_3665 = _T_3664 & obuf_valid; // @[el2_lsu_bus_buffer.scala 475:135] - wire _T_3666 = _T_3665 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 475:148] - wire _GEN_128 = _T_3654 & _T_3666; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3650 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3627 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2521 = _T_2520 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 433:104] - wire _T_2522 = buf_ageQ_3[1] & _T_2521; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2516 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_3434 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3457 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3461 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3468 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 475:48] - wire _T_3469 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_3470 = obuf_merge & _T_3469; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_3471 = _T_3468 | _T_3470; // @[el2_lsu_bus_buffer.scala 475:77] - wire _T_3472 = _T_3471 & obuf_valid; // @[el2_lsu_bus_buffer.scala 475:135] - wire _T_3473 = _T_3472 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 475:148] - wire _GEN_52 = _T_3461 & _T_3473; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3457 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3434 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2517 = _T_2516 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 433:104] - wire _T_2518 = buf_ageQ_3[0] & _T_2517; // @[el2_lsu_bus_buffer.scala 433:78] - wire [3:0] buf_age_3 = {_T_2530,_T_2526,_T_2522,_T_2518}; // @[Cat.scala 29:58] - wire _T_2629 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2631 = _T_2629 & _T_19; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2623 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2625 = _T_2623 & _T_12; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2617 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2619 = _T_2617 & _T_5; // @[el2_lsu_bus_buffer.scala 434:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2631,_T_2625,_T_2619}; // @[Cat.scala 29:58] + wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _GEN_280 = _T_4043 & _T_4055; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4039 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 436:104] + wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_3823 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3846 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3850 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 478:91] + wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 478:77] + wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] + wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _GEN_204 = _T_3850 & _T_3862; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3846 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 436:104] + wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_3630 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3653 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3657 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 478:91] + wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 478:77] + wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] + wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _GEN_128 = _T_3657 & _T_3669; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3653 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 436:104] + wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_3437 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3460 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3464 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 478:91] + wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 478:77] + wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] + wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _GEN_52 = _T_3464 & _T_3476; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3460 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 436:104] + wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] buf_age_3 = {_T_2533,_T_2529,_T_2525,_T_2521}; // @[Cat.scala 29:58] + wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 437:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2634,_T_2628,_T_2622}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 196:99] @@ -461,55 +461,55 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_2511 = buf_ageQ_2[3] & _T_2529; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2507 = buf_ageQ_2[2] & _T_2525; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2503 = buf_ageQ_2[1] & _T_2521; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2499 = buf_ageQ_2[0] & _T_2517; // @[el2_lsu_bus_buffer.scala 433:78] - wire [3:0] buf_age_2 = {_T_2511,_T_2507,_T_2503,_T_2499}; // @[Cat.scala 29:58] - wire _T_2608 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2610 = _T_2608 & _T_26; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2596 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2598 = _T_2596 & _T_12; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2590 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2592 = _T_2590 & _T_5; // @[el2_lsu_bus_buffer.scala 434:106] - wire [3:0] buf_age_younger_2 = {_T_2610,1'h0,_T_2598,_T_2592}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 523:60] + wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] buf_age_2 = {_T_2514,_T_2510,_T_2506,_T_2502}; // @[Cat.scala 29:58] + wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 437:106] + wire [3:0] buf_age_younger_2 = {_T_2613,1'h0,_T_2601,_T_2595}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_2492 = buf_ageQ_1[3] & _T_2529; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2488 = buf_ageQ_1[2] & _T_2525; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2484 = buf_ageQ_1[1] & _T_2521; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2480 = buf_ageQ_1[0] & _T_2517; // @[el2_lsu_bus_buffer.scala 433:78] - wire [3:0] buf_age_1 = {_T_2492,_T_2488,_T_2484,_T_2480}; // @[Cat.scala 29:58] - wire _T_2581 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2583 = _T_2581 & _T_26; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2575 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2577 = _T_2575 & _T_19; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2563 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2565 = _T_2563 & _T_5; // @[el2_lsu_bus_buffer.scala 434:106] - wire [3:0] buf_age_younger_1 = {_T_2583,_T_2577,1'h0,_T_2565}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 523:60] + wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] buf_age_1 = {_T_2495,_T_2491,_T_2487,_T_2483}; // @[Cat.scala 29:58] + wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 437:106] + wire [3:0] buf_age_younger_1 = {_T_2586,_T_2580,1'h0,_T_2568}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_2473 = buf_ageQ_0[3] & _T_2529; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2469 = buf_ageQ_0[2] & _T_2525; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2465 = buf_ageQ_0[1] & _T_2521; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2461 = buf_ageQ_0[0] & _T_2517; // @[el2_lsu_bus_buffer.scala 433:78] - wire [3:0] buf_age_0 = {_T_2473,_T_2469,_T_2465,_T_2461}; // @[Cat.scala 29:58] - wire _T_2554 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2556 = _T_2554 & _T_26; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2548 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2550 = _T_2548 & _T_19; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2542 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2544 = _T_2542 & _T_12; // @[el2_lsu_bus_buffer.scala 434:106] - wire [3:0] buf_age_younger_0 = {_T_2556,_T_2550,_T_2544,1'h0}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 523:60] + wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] buf_age_0 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] + wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 437:106] + wire [3:0] buf_age_younger_0 = {_T_2559,_T_2553,_T_2547,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 196:99] @@ -759,23 +759,23 @@ module el2_lsu_bus_buffer( wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 189:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4294; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 537:14] + reg [3:0] _T_4297; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_0 = {{28'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4295; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4295}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 537:14] + reg [3:0] _T_4298; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_1 = {{28'd0}, _T_4298}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4296; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 537:14] + reg [3:0] _T_4299; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_2 = {{28'd0}, _T_4299}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4297; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 537:14] + reg [3:0] _T_4300; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_3 = {{28'd0}, _T_4300}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 214:123] @@ -928,8 +928,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 247:32] wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 241:34] wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 241:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 634:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 633:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 637:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 636:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 257:77] @@ -970,41 +970,41 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4347 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4348 = buf_write[3] & _T_4347; // @[el2_lsu_bus_buffer.scala 541:64] - wire _T_4349 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 541:91] - wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 541:89] - wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4341 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4342 = buf_write[2] & _T_4341; // @[el2_lsu_bus_buffer.scala 541:64] - wire _T_4343 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 541:91] - wire _T_4344 = _T_4342 & _T_4343; // @[el2_lsu_bus_buffer.scala 541:89] - wire [1:0] _T_4351 = _T_4350 + _T_4344; // @[el2_lsu_bus_buffer.scala 541:142] - wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4335 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4336 = buf_write[1] & _T_4335; // @[el2_lsu_bus_buffer.scala 541:64] - wire _T_4337 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 541:91] - wire _T_4338 = _T_4336 & _T_4337; // @[el2_lsu_bus_buffer.scala 541:89] - wire [1:0] _GEN_368 = {{1'd0}, _T_4338}; // @[el2_lsu_bus_buffer.scala 541:142] - wire [2:0] _T_4352 = _T_4351 + _GEN_368; // @[el2_lsu_bus_buffer.scala 541:142] - wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4329 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4330 = buf_write[0] & _T_4329; // @[el2_lsu_bus_buffer.scala 541:64] - wire _T_4331 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 541:91] - wire _T_4332 = _T_4330 & _T_4331; // @[el2_lsu_bus_buffer.scala 541:89] - wire [2:0] _GEN_370 = {{2'd0}, _T_4332}; // @[el2_lsu_bus_buffer.scala 541:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4352 + _GEN_370; // @[el2_lsu_bus_buffer.scala 541:142] + wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4350 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4351 = buf_write[3] & _T_4350; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4352 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4353 = _T_4351 & _T_4352; // @[el2_lsu_bus_buffer.scala 544:89] + wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4344 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4345 = buf_write[2] & _T_4344; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4346 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4347 = _T_4345 & _T_4346; // @[el2_lsu_bus_buffer.scala 544:89] + wire [1:0] _T_4354 = _T_4353 + _T_4347; // @[el2_lsu_bus_buffer.scala 544:142] + wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4338 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4339 = buf_write[1] & _T_4338; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4340 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4341 = _T_4339 & _T_4340; // @[el2_lsu_bus_buffer.scala 544:89] + wire [1:0] _GEN_368 = {{1'd0}, _T_4341}; // @[el2_lsu_bus_buffer.scala 544:142] + wire [2:0] _T_4355 = _T_4354 + _GEN_368; // @[el2_lsu_bus_buffer.scala 544:142] + wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4332 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4333 = buf_write[0] & _T_4332; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4334 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4335 = _T_4333 & _T_4334; // @[el2_lsu_bus_buffer.scala 544:89] + wire [2:0] _GEN_370 = {{2'd0}, _T_4335}; // @[el2_lsu_bus_buffer.scala 544:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4355 + _GEN_370; // @[el2_lsu_bus_buffer.scala 544:142] wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4369 = _T_4347 & _T_4349; // @[el2_lsu_bus_buffer.scala 542:73] - wire _T_4365 = _T_4341 & _T_4343; // @[el2_lsu_bus_buffer.scala 542:73] - wire [1:0] _T_4370 = _T_4369 + _T_4365; // @[el2_lsu_bus_buffer.scala 542:126] - wire _T_4361 = _T_4335 & _T_4337; // @[el2_lsu_bus_buffer.scala 542:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 542:126] - wire [2:0] _T_4371 = _T_4370 + _GEN_374; // @[el2_lsu_bus_buffer.scala 542:126] - wire _T_4357 = _T_4329 & _T_4331; // @[el2_lsu_bus_buffer.scala 542:73] - wire [2:0] _GEN_376 = {{2'd0}, _T_4357}; // @[el2_lsu_bus_buffer.scala 542:126] - wire [3:0] buf_numvld_cmd_any = _T_4371 + _GEN_376; // @[el2_lsu_bus_buffer.scala 542:126] + wire _T_4372 = _T_4350 & _T_4352; // @[el2_lsu_bus_buffer.scala 545:73] + wire _T_4368 = _T_4344 & _T_4346; // @[el2_lsu_bus_buffer.scala 545:73] + wire [1:0] _T_4373 = _T_4372 + _T_4368; // @[el2_lsu_bus_buffer.scala 545:126] + wire _T_4364 = _T_4338 & _T_4340; // @[el2_lsu_bus_buffer.scala 545:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 545:126] + wire [2:0] _T_4374 = _T_4373 + _GEN_374; // @[el2_lsu_bus_buffer.scala 545:126] + wire _T_4360 = _T_4332 & _T_4334; // @[el2_lsu_bus_buffer.scala 545:73] + wire [2:0] _GEN_376 = {{2'd0}, _T_4360}; // @[el2_lsu_bus_buffer.scala 545:126] + wire [3:0] buf_numvld_cmd_any = _T_4374 + _GEN_376; // @[el2_lsu_bus_buffer.scala 545:126] wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 293:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] @@ -1017,11 +1017,11 @@ module el2_lsu_bus_buffer( reg buf_nomerge_3; // @[Reg.scala 27:20] wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 294:31] wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 294:29] + reg _T_4239; // @[Reg.scala 27:20] reg _T_4236; // @[Reg.scala 27:20] reg _T_4233; // @[Reg.scala 27:20] reg _T_4230; // @[Reg.scala 27:20] - reg _T_4227; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4236,_T_4233,_T_4230,_T_4227}; // @[Cat.scala 29:58] + wire [3:0] buf_sideeffect = {_T_4239,_T_4236,_T_4233,_T_4230}; // @[Cat.scala 29:58] wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 295:5] wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 294:140] wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 297:58] @@ -1034,66 +1034,66 @@ module el2_lsu_bus_buffer( wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 296:79] wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4395 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 543:64] - wire _T_4398 = _T_4395 | _T_4347; // @[el2_lsu_bus_buffer.scala 543:74] - wire _T_4400 = _T_4398 & _T_4349; // @[el2_lsu_bus_buffer.scala 543:100] - wire _T_4388 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 543:64] - wire _T_4391 = _T_4388 | _T_4341; // @[el2_lsu_bus_buffer.scala 543:74] - wire _T_4393 = _T_4391 & _T_4343; // @[el2_lsu_bus_buffer.scala 543:100] - wire [1:0] _T_4401 = _T_4400 + _T_4393; // @[el2_lsu_bus_buffer.scala 543:153] - wire _T_4381 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 543:64] - wire _T_4384 = _T_4381 | _T_4335; // @[el2_lsu_bus_buffer.scala 543:74] - wire _T_4386 = _T_4384 & _T_4337; // @[el2_lsu_bus_buffer.scala 543:100] - wire [1:0] _GEN_383 = {{1'd0}, _T_4386}; // @[el2_lsu_bus_buffer.scala 543:153] - wire [2:0] _T_4402 = _T_4401 + _GEN_383; // @[el2_lsu_bus_buffer.scala 543:153] - wire _T_4374 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 543:64] - wire _T_4377 = _T_4374 | _T_4329; // @[el2_lsu_bus_buffer.scala 543:74] - wire _T_4379 = _T_4377 & _T_4331; // @[el2_lsu_bus_buffer.scala 543:100] - wire [2:0] _GEN_386 = {{2'd0}, _T_4379}; // @[el2_lsu_bus_buffer.scala 543:153] - wire [3:0] buf_numvld_pend_any = _T_4402 + _GEN_386; // @[el2_lsu_bus_buffer.scala 543:153] + wire _T_4398 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4401 = _T_4398 | _T_4350; // @[el2_lsu_bus_buffer.scala 546:74] + wire _T_4403 = _T_4401 & _T_4352; // @[el2_lsu_bus_buffer.scala 546:100] + wire _T_4391 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4394 = _T_4391 | _T_4344; // @[el2_lsu_bus_buffer.scala 546:74] + wire _T_4396 = _T_4394 & _T_4346; // @[el2_lsu_bus_buffer.scala 546:100] + wire [1:0] _T_4404 = _T_4403 + _T_4396; // @[el2_lsu_bus_buffer.scala 546:153] + wire _T_4384 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4387 = _T_4384 | _T_4338; // @[el2_lsu_bus_buffer.scala 546:74] + wire _T_4389 = _T_4387 & _T_4340; // @[el2_lsu_bus_buffer.scala 546:100] + wire [1:0] _GEN_383 = {{1'd0}, _T_4389}; // @[el2_lsu_bus_buffer.scala 546:153] + wire [2:0] _T_4405 = _T_4404 + _GEN_383; // @[el2_lsu_bus_buffer.scala 546:153] + wire _T_4377 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4380 = _T_4377 | _T_4332; // @[el2_lsu_bus_buffer.scala 546:74] + wire _T_4382 = _T_4380 & _T_4334; // @[el2_lsu_bus_buffer.scala 546:100] + wire [2:0] _GEN_386 = {{2'd0}, _T_4382}; // @[el2_lsu_bus_buffer.scala 546:153] + wire [3:0] buf_numvld_pend_any = _T_4405 + _GEN_386; // @[el2_lsu_bus_buffer.scala 546:153] wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 299:31] wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 299:61] wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4691 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 570:62] - wire _T_4693 = _T_4691 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 570:73] - wire _T_4694 = _T_4693 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 570:93] - wire _T_4695 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 570:62] - wire _T_4697 = _T_4695 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 570:73] - wire _T_4698 = _T_4697 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 570:93] - wire _T_4707 = _T_4694 | _T_4698; // @[el2_lsu_bus_buffer.scala 570:141] - wire _T_4699 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 570:62] - wire _T_4701 = _T_4699 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 570:73] - wire _T_4702 = _T_4701 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 570:93] - wire _T_4708 = _T_4707 | _T_4702; // @[el2_lsu_bus_buffer.scala 570:141] - wire _T_4703 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 570:62] - wire _T_4705 = _T_4703 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 570:73] - wire _T_4706 = _T_4705 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 570:93] - wire bus_sideeffect_pend = _T_4708 | _T_4706; // @[el2_lsu_bus_buffer.scala 570:141] + wire _T_4694 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4696 = _T_4694 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4697 = _T_4696 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire _T_4698 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4700 = _T_4698 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4701 = _T_4700 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire _T_4710 = _T_4697 | _T_4701; // @[el2_lsu_bus_buffer.scala 573:141] + wire _T_4702 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4704 = _T_4702 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4705 = _T_4704 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire _T_4711 = _T_4710 | _T_4705; // @[el2_lsu_bus_buffer.scala 573:141] + wire _T_4706 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4708 = _T_4706 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4709 = _T_4708 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire bus_sideeffect_pend = _T_4711 | _T_4709; // @[el2_lsu_bus_buffer.scala 573:141] wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 314:52] wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 314:50] wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1907 = _T_1905 & _T_2528; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1909 = _T_1907 & _T_4349; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 402:63] + wire _T_1909 = _T_1907 & _T_4352; // @[el2_lsu_bus_buffer.scala 402:88] wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1901 = _T_1899 & _T_2524; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1903 = _T_1901 & _T_4343; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 402:63] + wire _T_1903 = _T_1901 & _T_4346; // @[el2_lsu_bus_buffer.scala 402:88] wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1895 = _T_1893 & _T_2520; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1897 = _T_1895 & _T_4337; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 402:63] + wire _T_1897 = _T_1895 & _T_4340; // @[el2_lsu_bus_buffer.scala 402:88] wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1889 = _T_1887 & _T_2516; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1891 = _T_1889 & _T_4331; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 402:63] + wire _T_1891 = _T_1889 & _T_4334; // @[el2_lsu_bus_buffer.scala 402:88] wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 407:31] - wire _T_1034 = _T_2516 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] + wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 316:23] wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 316:21] @@ -1120,29 +1120,29 @@ module el2_lsu_bus_buffer( wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1953 = _T_1951 & _T_2528; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1955 = _T_1953 & _T_4349; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 403:98] + wire _T_1955 = _T_1953 & _T_4352; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 403:76] wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1942 = _T_1940 & _T_2524; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1944 = _T_1942 & _T_4343; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 403:98] + wire _T_1944 = _T_1942 & _T_4346; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 403:76] wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1931 = _T_1929 & _T_2520; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1933 = _T_1931 & _T_4337; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 403:98] + wire _T_1933 = _T_1931 & _T_4340; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 403:76] wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1920 = _T_1918 & _T_2516; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1922 = _T_1920 & _T_4331; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 403:98] + wire _T_1922 = _T_1920 & _T_4334; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 408:31] wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 317:181] @@ -1154,10 +1154,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4766 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 574:54] - wire _T_4767 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 574:75] - wire _T_4769 = _T_4766 ? _T_4767 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 574:39] - wire bus_cmd_ready = obuf_write ? _T_4769 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 574:23] + wire _T_4769 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 577:54] + wire _T_4770 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:75] + wire _T_4772 = _T_4769 ? _T_4770 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:39] + wire bus_cmd_ready = obuf_write ? _T_4772 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 577:23] wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 318:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1166,52 +1166,52 @@ module el2_lsu_bus_buffer( wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 318:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4714 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 572:56] - wire _T_4715 = obuf_valid & _T_4714; // @[el2_lsu_bus_buffer.scala 572:38] - wire _T_4717 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4718 = obuf_merge & _T_4717; // @[el2_lsu_bus_buffer.scala 572:114] - wire _T_4719 = _T_3468 | _T_4718; // @[el2_lsu_bus_buffer.scala 572:100] - wire _T_4720 = ~_T_4719; // @[el2_lsu_bus_buffer.scala 572:80] - wire _T_4721 = _T_4715 & _T_4720; // @[el2_lsu_bus_buffer.scala 572:78] - wire _T_4758 = _T_4691 & _T_4721; // @[Mux.scala 27:72] - wire _T_4726 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 572:56] - wire _T_4727 = obuf_valid & _T_4726; // @[el2_lsu_bus_buffer.scala 572:38] - wire _T_4729 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4730 = obuf_merge & _T_4729; // @[el2_lsu_bus_buffer.scala 572:114] - wire _T_4731 = _T_3661 | _T_4730; // @[el2_lsu_bus_buffer.scala 572:100] - wire _T_4732 = ~_T_4731; // @[el2_lsu_bus_buffer.scala 572:80] - wire _T_4733 = _T_4727 & _T_4732; // @[el2_lsu_bus_buffer.scala 572:78] - wire _T_4759 = _T_4695 & _T_4733; // @[Mux.scala 27:72] - wire _T_4762 = _T_4758 | _T_4759; // @[Mux.scala 27:72] - wire _T_4738 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 572:56] - wire _T_4739 = obuf_valid & _T_4738; // @[el2_lsu_bus_buffer.scala 572:38] - wire _T_4741 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4742 = obuf_merge & _T_4741; // @[el2_lsu_bus_buffer.scala 572:114] - wire _T_4743 = _T_3854 | _T_4742; // @[el2_lsu_bus_buffer.scala 572:100] - wire _T_4744 = ~_T_4743; // @[el2_lsu_bus_buffer.scala 572:80] - wire _T_4745 = _T_4739 & _T_4744; // @[el2_lsu_bus_buffer.scala 572:78] - wire _T_4760 = _T_4699 & _T_4745; // @[Mux.scala 27:72] - wire _T_4763 = _T_4762 | _T_4760; // @[Mux.scala 27:72] - wire _T_4750 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 572:56] - wire _T_4751 = obuf_valid & _T_4750; // @[el2_lsu_bus_buffer.scala 572:38] - wire _T_4753 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4754 = obuf_merge & _T_4753; // @[el2_lsu_bus_buffer.scala 572:114] - wire _T_4755 = _T_4047 | _T_4754; // @[el2_lsu_bus_buffer.scala 572:100] - wire _T_4756 = ~_T_4755; // @[el2_lsu_bus_buffer.scala 572:80] - wire _T_4757 = _T_4751 & _T_4756; // @[el2_lsu_bus_buffer.scala 572:78] - wire _T_4761 = _T_4703 & _T_4757; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4763 | _T_4761; // @[Mux.scala 27:72] + wire _T_4717 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4718 = obuf_valid & _T_4717; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4720 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4721 = obuf_merge & _T_4720; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4722 = _T_3471 | _T_4721; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4723 = ~_T_4722; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4724 = _T_4718 & _T_4723; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4761 = _T_4694 & _T_4724; // @[Mux.scala 27:72] + wire _T_4729 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4730 = obuf_valid & _T_4729; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4732 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4733 = obuf_merge & _T_4732; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4734 = _T_3664 | _T_4733; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4735 = ~_T_4734; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4736 = _T_4730 & _T_4735; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4762 = _T_4698 & _T_4736; // @[Mux.scala 27:72] + wire _T_4765 = _T_4761 | _T_4762; // @[Mux.scala 27:72] + wire _T_4741 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4742 = obuf_valid & _T_4741; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4744 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4745 = obuf_merge & _T_4744; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4746 = _T_3857 | _T_4745; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4747 = ~_T_4746; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4748 = _T_4742 & _T_4747; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4763 = _T_4702 & _T_4748; // @[Mux.scala 27:72] + wire _T_4766 = _T_4765 | _T_4763; // @[Mux.scala 27:72] + wire _T_4753 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4754 = obuf_valid & _T_4753; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4756 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4757 = obuf_merge & _T_4756; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4758 = _T_4050 | _T_4757; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4759 = ~_T_4758; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4760 = _T_4754 & _T_4759; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4764 = _T_4706 & _T_4760; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4766 | _T_4764; // @[Mux.scala 27:72] wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 318:116] wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 575:39] - wire _T_4773 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 577:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 576:39] - wire _T_4774 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 577:70] - wire _T_4775 = _T_4773 & _T_4774; // @[el2_lsu_bus_buffer.scala 577:52] - wire _T_4776 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 577:111] - wire bus_cmd_sent = _T_4775 | _T_4776; // @[el2_lsu_bus_buffer.scala 577:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 578:39] + wire _T_4776 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 580:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 579:39] + wire _T_4777 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 580:70] + wire _T_4778 = _T_4776 & _T_4777; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4779 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 580:111] + wire bus_cmd_sent = _T_4778 | _T_4779; // @[el2_lsu_bus_buffer.scala 580:89] wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 320:33] wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 320:63] @@ -1249,7 +1249,7 @@ module el2_lsu_bus_buffer( wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 353:20] wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 353:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 379:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 578:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 581:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:55] wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 353:90] wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 353:70] @@ -1397,46 +1397,46 @@ module el2_lsu_bus_buffer( wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:83] wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 395:72] wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 395:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 521:63] - wire _T_2652 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 435:104] - wire _T_2653 = buf_rspageQ_0[3] & _T_2652; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2649 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 435:104] - wire _T_2650 = buf_rspageQ_0[2] & _T_2649; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2646 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 435:104] - wire _T_2647 = buf_rspageQ_0[1] & _T_2646; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2643 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 435:104] - wire _T_2644 = buf_rspageQ_0[0] & _T_2643; // @[el2_lsu_bus_buffer.scala 435:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2653,_T_2650,_T_2647,_T_2644}; // @[Cat.scala 29:58] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 524:63] + wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2656,_T_2653,_T_2650,_T_2647}; // @[Cat.scala 29:58] wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 406:65] wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1961 = _T_1959 & _T_2643; // @[el2_lsu_bus_buffer.scala 406:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 521:63] - wire _T_2668 = buf_rspageQ_1[3] & _T_2652; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2665 = buf_rspageQ_1[2] & _T_2649; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2662 = buf_rspageQ_1[1] & _T_2646; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2659 = buf_rspageQ_1[0] & _T_2643; // @[el2_lsu_bus_buffer.scala 435:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2668,_T_2665,_T_2662,_T_2659}; // @[Cat.scala 29:58] + wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 406:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 524:63] + wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2671,_T_2668,_T_2665,_T_2662}; // @[Cat.scala 29:58] wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 406:65] wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1965 = _T_1963 & _T_2646; // @[el2_lsu_bus_buffer.scala 406:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 521:63] - wire _T_2683 = buf_rspageQ_2[3] & _T_2652; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2680 = buf_rspageQ_2[2] & _T_2649; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2677 = buf_rspageQ_2[1] & _T_2646; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2674 = buf_rspageQ_2[0] & _T_2643; // @[el2_lsu_bus_buffer.scala 435:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2683,_T_2680,_T_2677,_T_2674}; // @[Cat.scala 29:58] + wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 406:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 524:63] + wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2686,_T_2683,_T_2680,_T_2677}; // @[Cat.scala 29:58] wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 406:65] wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1969 = _T_1967 & _T_2649; // @[el2_lsu_bus_buffer.scala 406:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 521:63] - wire _T_2698 = buf_rspageQ_3[3] & _T_2652; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2695 = buf_rspageQ_3[2] & _T_2649; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2692 = buf_rspageQ_3[1] & _T_2646; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2689 = buf_rspageQ_3[0] & _T_2643; // @[el2_lsu_bus_buffer.scala 435:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2698,_T_2695,_T_2692,_T_2689}; // @[Cat.scala 29:58] + wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 406:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 524:63] + wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2701,_T_2698,_T_2695,_T_2692}; // @[Cat.scala 29:58] wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 406:65] wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1973 = _T_1971 & _T_2652; // @[el2_lsu_bus_buffer.scala 406:70] + wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 406:70] wire [7:0] _T_1979 = {4'h0,_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] wire _T_1982 = _T_1979[4] | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 410:42] wire _T_1984 = _T_1982 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 410:48] @@ -1447,1083 +1447,1084 @@ module el2_lsu_bus_buffer( wire _T_1996 = _T_1979[1] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 410:92] wire _T_1998 = _T_1996 | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 410:98] wire _T_2000 = _T_1998 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 410:104] - wire [1:0] _T_2001 = {_T_1986,_T_1993}; // @[Cat.scala 29:58] - wire [7:0] _T_2027 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire _T_2030 = _T_2027[4] | _T_2027[5]; // @[el2_lsu_bus_buffer.scala 410:42] - wire _T_2032 = _T_2030 | _T_2027[6]; // @[el2_lsu_bus_buffer.scala 410:48] - wire _T_2034 = _T_2032 | _T_2027[7]; // @[el2_lsu_bus_buffer.scala 410:54] - wire _T_2037 = _T_2027[2] | _T_2027[3]; // @[el2_lsu_bus_buffer.scala 410:67] - wire _T_2039 = _T_2037 | _T_2027[6]; // @[el2_lsu_bus_buffer.scala 410:73] - wire _T_2041 = _T_2039 | _T_2027[7]; // @[el2_lsu_bus_buffer.scala 410:79] - wire _T_2044 = _T_2027[1] | _T_2027[3]; // @[el2_lsu_bus_buffer.scala 410:92] - wire _T_2046 = _T_2044 | _T_2027[5]; // @[el2_lsu_bus_buffer.scala 410:98] - wire _T_2048 = _T_2046 | _T_2027[7]; // @[el2_lsu_bus_buffer.scala 410:104] - wire [2:0] RspPtr = {_T_2034,_T_2041,_T_2048}; // @[Cat.scala 29:58] - wire _T_3438 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:77] - wire _T_3439 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 464:97] - wire _T_3440 = _T_3438 & _T_3439; // @[el2_lsu_bus_buffer.scala 464:95] - wire _T_3441 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 464:117] - wire _T_3442 = _T_3440 & _T_3441; // @[el2_lsu_bus_buffer.scala 464:112] - wire _T_3443 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:144] - wire _T_3444 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 464:166] - wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 464:161] - wire _T_3446 = _T_3442 | _T_3445; // @[el2_lsu_bus_buffer.scala 464:132] - wire _T_3447 = _T_766 & _T_3446; // @[el2_lsu_bus_buffer.scala 464:63] - wire _T_3448 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 464:206] - wire _T_3449 = ibuf_drain_vld & _T_3448; // @[el2_lsu_bus_buffer.scala 464:201] - wire _T_3450 = _T_3447 | _T_3449; // @[el2_lsu_bus_buffer.scala 464:183] - wire _T_3460 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 471:46] - wire _T_3495 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 579:38] - wire _T_3540 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3541 = bus_rsp_write & _T_3540; // @[el2_lsu_bus_buffer.scala 489:52] - wire _T_3542 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 490:46] - reg _T_4213; // @[Reg.scala 27:20] - reg _T_4211; // @[Reg.scala 27:20] - reg _T_4209; // @[Reg.scala 27:20] - reg _T_4207; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4213,_T_4211,_T_4209,_T_4207}; // @[Cat.scala 29:58] + wire [2:0] _T_2002 = {_T_1986,_T_1993,_T_2000}; // @[Cat.scala 29:58] + wire [7:0] _T_2029 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] + wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 410:42] + wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 410:48] + wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 410:54] + wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 410:67] + wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 410:73] + wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 410:79] + wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 410:92] + wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 410:98] + wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 410:104] + wire [2:0] _T_2052 = {_T_2036,_T_2043,_T_2050}; // @[Cat.scala 29:58] + wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 467:77] + wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 467:97] + wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 467:95] + wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] + wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 467:144] + wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] + wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 467:161] + wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 467:132] + wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 467:63] + wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] + wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 467:201] + wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:46] + wire _T_3498 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 582:38] + wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 492:73] + wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 492:52] + wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 493:46] + reg _T_4216; // @[Reg.scala 27:20] + reg _T_4214; // @[Reg.scala 27:20] + reg _T_4212; // @[Reg.scala 27:20] + reg _T_4210; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4216,_T_4214,_T_4212,_T_4210}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3544 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3545 = buf_ldfwd[0] & _T_3544; // @[el2_lsu_bus_buffer.scala 491:27] - wire _T_3546 = _T_3542 | _T_3545; // @[el2_lsu_bus_buffer.scala 490:77] - wire _T_3547 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 492:26] - wire _T_3550 = _T_3547 & _T_1130; // @[el2_lsu_bus_buffer.scala 492:42] - wire _T_3551 = _T_3550 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3547 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 494:27] + wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 493:77] + wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 495:26] + wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 495:42] + wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3552 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3553 = _T_3551 & _T_3552; // @[el2_lsu_bus_buffer.scala 492:74] - wire _T_3554 = _T_3546 | _T_3553; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_3555 = bus_rsp_read & _T_3554; // @[el2_lsu_bus_buffer.scala 490:25] - wire _T_3556 = _T_3541 | _T_3555; // @[el2_lsu_bus_buffer.scala 489:105] - wire _GEN_42 = _T_3495 & _T_3556; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3461 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3457 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3434 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3582 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3592 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 504:21] + wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3555 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 495:74] + wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 494:71] + wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 493:25] + wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 492:105] + wire _GEN_42 = _T_3498 & _T_3559; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3464 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3460 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3585 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 507:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 504:58] - wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3594 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3595 = _T_3592[0] & _T_3594; // @[el2_lsu_bus_buffer.scala 504:38] - wire _T_3596 = _T_3552 | _T_3595; // @[el2_lsu_bus_buffer.scala 503:95] - wire _T_3597 = bus_rsp_read & _T_3596; // @[el2_lsu_bus_buffer.scala 503:45] - wire _GEN_36 = _T_3582 & _T_3597; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3495 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3461 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3457 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3434 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3474 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_3475 = _T_3474 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:70] - wire _T_3600 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3603 = RspPtr == 3'h0; // @[el2_lsu_bus_buffer.scala 509:37] - wire _T_3604 = _GEN_388 == RspPtr; // @[el2_lsu_bus_buffer.scala 509:98] - wire _T_3605 = buf_dual_0 & _T_3604; // @[el2_lsu_bus_buffer.scala 509:80] - wire _T_3606 = _T_3603 | _T_3605; // @[el2_lsu_bus_buffer.scala 509:65] - wire _T_3607 = _T_3606 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:112] - wire _T_3608 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3600 ? _T_3607 : _T_3608; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3582 ? _T_3475 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3495 ? _T_3475 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3461 ? _T_3475 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3457 ? _T_3460 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3434 ? _T_3450 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2051 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 427:94] - wire _T_2052 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 428:20] - wire _T_2055 = _T_2516 & _T_4331; // @[el2_lsu_bus_buffer.scala 428:57] - wire _T_2056 = _T_2052 | _T_2055; // @[el2_lsu_bus_buffer.scala 428:31] - wire _T_2057 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 429:23] - wire _T_2059 = _T_2057 & _T_3438; // @[el2_lsu_bus_buffer.scala 429:41] - wire _T_2061 = _T_2059 & _T_1782; // @[el2_lsu_bus_buffer.scala 429:71] - wire _T_2063 = _T_2061 & _T_1780; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2064 = _T_2056 | _T_2063; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2065 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 430:17] - wire _T_2066 = _T_2065 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 430:35] - wire _T_2068 = _T_2066 & _T_1785; // @[el2_lsu_bus_buffer.scala 430:52] - wire _T_2070 = _T_2068 & _T_1782; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2071 = _T_2064 | _T_2070; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2072 = _T_2051 & _T_2071; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2074 = _T_2072 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2077 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 428:20] - wire _T_2080 = _T_2520 & _T_4337; // @[el2_lsu_bus_buffer.scala 428:57] - wire _T_2081 = _T_2077 | _T_2080; // @[el2_lsu_bus_buffer.scala 428:31] - wire _T_2088 = _T_2061 & _T_1791; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2089 = _T_2081 | _T_2088; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2095 = _T_2068 & _T_1793; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2096 = _T_2089 | _T_2095; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2097 = _T_2051 & _T_2096; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2099 = _T_2097 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2102 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 428:20] - wire _T_2105 = _T_2524 & _T_4343; // @[el2_lsu_bus_buffer.scala 428:57] - wire _T_2106 = _T_2102 | _T_2105; // @[el2_lsu_bus_buffer.scala 428:31] - wire _T_2113 = _T_2061 & _T_1802; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2114 = _T_2106 | _T_2113; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2120 = _T_2068 & _T_1804; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2121 = _T_2114 | _T_2120; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2122 = _T_2051 & _T_2121; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2124 = _T_2122 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2127 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 428:20] - wire _T_2130 = _T_2528 & _T_4349; // @[el2_lsu_bus_buffer.scala 428:57] - wire _T_2131 = _T_2127 | _T_2130; // @[el2_lsu_bus_buffer.scala 428:31] - wire _T_2138 = _T_2061 & _T_1813; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2139 = _T_2131 | _T_2138; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2145 = _T_2068 & _T_1815; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2146 = _T_2139 | _T_2145; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2147 = _T_2051 & _T_2146; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2149 = _T_2147 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 430:97] - wire [2:0] _T_2151 = {_T_2149,_T_2124,_T_2099}; // @[Cat.scala 29:58] - wire _T_3634 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 464:117] - wire _T_3635 = _T_3440 & _T_3634; // @[el2_lsu_bus_buffer.scala 464:112] - wire _T_3637 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 464:166] - wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 464:161] - wire _T_3639 = _T_3635 | _T_3638; // @[el2_lsu_bus_buffer.scala 464:132] - wire _T_3640 = _T_766 & _T_3639; // @[el2_lsu_bus_buffer.scala 464:63] - wire _T_3641 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 464:206] - wire _T_3642 = ibuf_drain_vld & _T_3641; // @[el2_lsu_bus_buffer.scala 464:201] - wire _T_3643 = _T_3640 | _T_3642; // @[el2_lsu_bus_buffer.scala 464:183] - wire _T_3688 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3733 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3734 = bus_rsp_write & _T_3733; // @[el2_lsu_bus_buffer.scala 489:52] - wire _T_3735 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 490:46] - wire [2:0] _GEN_392 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3737 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3738 = buf_ldfwd[1] & _T_3737; // @[el2_lsu_bus_buffer.scala 491:27] - wire _T_3739 = _T_3735 | _T_3738; // @[el2_lsu_bus_buffer.scala 490:77] - wire _T_3740 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 492:26] - wire _T_3742 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 492:44] - wire _T_3743 = _T_3740 & _T_3742; // @[el2_lsu_bus_buffer.scala 492:42] - wire _T_3744 = _T_3743 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3597 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 507:38] + wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 506:95] + wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 506:45] + wire _GEN_36 = _T_3585 & _T_3600; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3498 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3464 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3460 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_3603 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 418:10] + wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 512:37] + wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] + wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 512:80] + wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 512:65] + wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_3611 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3603 ? _T_3610 : _T_3611; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3585 ? _T_3478 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3498 ? _T_3478 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3464 ? _T_3478 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3460 ? _T_3463 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3437 ? _T_3453 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 430:94] + wire _T_2055 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] + wire _T_2058 = _T_2519 & _T_4334; // @[el2_lsu_bus_buffer.scala 431:57] + wire _T_2059 = _T_2055 | _T_2058; // @[el2_lsu_bus_buffer.scala 431:31] + wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 432:23] + wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 432:41] + wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:71] + wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2067 = _T_2059 | _T_2066; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 433:17] + wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 433:35] + wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 433:52] + wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2080 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] + wire _T_2083 = _T_2523 & _T_4340; // @[el2_lsu_bus_buffer.scala 431:57] + wire _T_2084 = _T_2080 | _T_2083; // @[el2_lsu_bus_buffer.scala 431:31] + wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2092 = _T_2084 | _T_2091; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2105 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] + wire _T_2108 = _T_2527 & _T_4346; // @[el2_lsu_bus_buffer.scala 431:57] + wire _T_2109 = _T_2105 | _T_2108; // @[el2_lsu_bus_buffer.scala 431:31] + wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2117 = _T_2109 | _T_2116; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2130 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] + wire _T_2133 = _T_2531 & _T_4352; // @[el2_lsu_bus_buffer.scala 431:57] + wire _T_2134 = _T_2130 | _T_2133; // @[el2_lsu_bus_buffer.scala 431:31] + wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2142 = _T_2134 | _T_2141; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire [2:0] _T_2154 = {_T_2152,_T_2127,_T_2102}; // @[Cat.scala 29:58] + wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] + wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] + wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 467:161] + wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 467:132] + wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 467:63] + wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] + wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 467:201] + wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_3691 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 492:73] + wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 492:52] + wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 493:46] + wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3740 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 494:27] + wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 493:77] + wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 495:26] + wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 495:44] + wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 495:42] + wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_393 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3745 = io_lsu_axi_rid == _GEN_393; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3746 = _T_3744 & _T_3745; // @[el2_lsu_bus_buffer.scala 492:74] - wire _T_3747 = _T_3739 | _T_3746; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_3748 = bus_rsp_read & _T_3747; // @[el2_lsu_bus_buffer.scala 490:25] - wire _T_3749 = _T_3734 | _T_3748; // @[el2_lsu_bus_buffer.scala 489:105] - wire _GEN_118 = _T_3688 & _T_3749; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3654 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3650 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3627 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3775 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3785 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 504:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 504:58] - wire [2:0] _GEN_395 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3787 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3788 = _T_3785[0] & _T_3787; // @[el2_lsu_bus_buffer.scala 504:38] - wire _T_3789 = _T_3745 | _T_3788; // @[el2_lsu_bus_buffer.scala 503:95] - wire _T_3790 = bus_rsp_read & _T_3789; // @[el2_lsu_bus_buffer.scala 503:45] - wire _GEN_112 = _T_3775 & _T_3790; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3688 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3654 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3650 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3627 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3667 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_3668 = _T_3667 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:70] - wire _T_3793 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3796 = RspPtr == 3'h1; // @[el2_lsu_bus_buffer.scala 509:37] - wire _T_3797 = _GEN_393 == RspPtr; // @[el2_lsu_bus_buffer.scala 509:98] - wire _T_3798 = buf_dual_1 & _T_3797; // @[el2_lsu_bus_buffer.scala 509:80] - wire _T_3799 = _T_3796 | _T_3798; // @[el2_lsu_bus_buffer.scala 509:65] - wire _T_3800 = _T_3799 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:112] - wire _T_3801 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3793 ? _T_3800 : _T_3801; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3775 ? _T_3668 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3688 ? _T_3668 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3654 ? _T_3668 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3650 ? _T_3460 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3627 ? _T_3643 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2153 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 427:94] - wire _T_2163 = _T_2059 & _T_1793; // @[el2_lsu_bus_buffer.scala 429:71] - wire _T_2165 = _T_2163 & _T_1780; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2166 = _T_2056 | _T_2165; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2170 = _T_2066 & _T_1796; // @[el2_lsu_bus_buffer.scala 430:52] - wire _T_2172 = _T_2170 & _T_1782; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2173 = _T_2166 | _T_2172; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2174 = _T_2153 & _T_2173; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2176 = _T_2174 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2190 = _T_2163 & _T_1791; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2191 = _T_2081 | _T_2190; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2197 = _T_2170 & _T_1793; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2198 = _T_2191 | _T_2197; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2199 = _T_2153 & _T_2198; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2201 = _T_2199 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2215 = _T_2163 & _T_1802; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2216 = _T_2106 | _T_2215; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2222 = _T_2170 & _T_1804; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2223 = _T_2216 | _T_2222; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2224 = _T_2153 & _T_2223; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2226 = _T_2224 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2240 = _T_2163 & _T_1813; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2241 = _T_2131 | _T_2240; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2247 = _T_2170 & _T_1815; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2248 = _T_2241 | _T_2247; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2249 = _T_2153 & _T_2248; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2251 = _T_2249 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 430:97] - wire [2:0] _T_2253 = {_T_2251,_T_2226,_T_2201}; // @[Cat.scala 29:58] - wire _T_3827 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 464:117] - wire _T_3828 = _T_3440 & _T_3827; // @[el2_lsu_bus_buffer.scala 464:112] - wire _T_3830 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 464:166] - wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 464:161] - wire _T_3832 = _T_3828 | _T_3831; // @[el2_lsu_bus_buffer.scala 464:132] - wire _T_3833 = _T_766 & _T_3832; // @[el2_lsu_bus_buffer.scala 464:63] - wire _T_3834 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 464:206] - wire _T_3835 = ibuf_drain_vld & _T_3834; // @[el2_lsu_bus_buffer.scala 464:201] - wire _T_3836 = _T_3833 | _T_3835; // @[el2_lsu_bus_buffer.scala 464:183] - wire _T_3881 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3926 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3927 = bus_rsp_write & _T_3926; // @[el2_lsu_bus_buffer.scala 489:52] - wire _T_3928 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 490:46] - wire [2:0] _GEN_397 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3930 = io_lsu_axi_rid == _GEN_397; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3931 = buf_ldfwd[2] & _T_3930; // @[el2_lsu_bus_buffer.scala 491:27] - wire _T_3932 = _T_3928 | _T_3931; // @[el2_lsu_bus_buffer.scala 490:77] - wire _T_3933 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 492:26] - wire _T_3935 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 492:44] - wire _T_3936 = _T_3933 & _T_3935; // @[el2_lsu_bus_buffer.scala 492:42] - wire _T_3937 = _T_3936 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3748 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 495:74] + wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 494:71] + wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 493:25] + wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 492:105] + wire _GEN_118 = _T_3691 & _T_3752; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3657 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3653 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3778 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 507:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3790 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 507:38] + wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 506:95] + wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 506:45] + wire _GEN_112 = _T_3778 & _T_3793; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3691 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3657 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3653 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_3796 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 512:37] + wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] + wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 512:80] + wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 512:65] + wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_3804 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3796 ? _T_3803 : _T_3804; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3778 ? _T_3671 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3691 ? _T_3671 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3657 ? _T_3671 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3653 ? _T_3463 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3630 ? _T_3646 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 430:94] + wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:71] + wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2169 = _T_2059 | _T_2168; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 433:52] + wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2194 = _T_2084 | _T_2193; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2219 = _T_2109 | _T_2218; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2244 = _T_2134 | _T_2243; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire [2:0] _T_2256 = {_T_2254,_T_2229,_T_2204}; // @[Cat.scala 29:58] + wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] + wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] + wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 467:161] + wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 467:132] + wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 467:63] + wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] + wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 467:201] + wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_3884 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 492:73] + wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 492:52] + wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 493:46] + wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3933 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 494:27] + wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 493:77] + wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 495:26] + wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 495:44] + wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 495:42] + wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_398 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3938 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3939 = _T_3937 & _T_3938; // @[el2_lsu_bus_buffer.scala 492:74] - wire _T_3940 = _T_3932 | _T_3939; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_3941 = bus_rsp_read & _T_3940; // @[el2_lsu_bus_buffer.scala 490:25] - wire _T_3942 = _T_3927 | _T_3941; // @[el2_lsu_bus_buffer.scala 489:105] - wire _GEN_194 = _T_3881 & _T_3942; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3847 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3843 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3820 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_3968 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3978 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 504:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 504:58] - wire [2:0] _GEN_400 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3980 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3981 = _T_3978[0] & _T_3980; // @[el2_lsu_bus_buffer.scala 504:38] - wire _T_3982 = _T_3938 | _T_3981; // @[el2_lsu_bus_buffer.scala 503:95] - wire _T_3983 = bus_rsp_read & _T_3982; // @[el2_lsu_bus_buffer.scala 503:45] - wire _GEN_188 = _T_3968 & _T_3983; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3881 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3847 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3843 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3820 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3860 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_3861 = _T_3860 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:70] - wire _T_3986 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3989 = RspPtr == 3'h2; // @[el2_lsu_bus_buffer.scala 509:37] - wire _T_3990 = _GEN_398 == RspPtr; // @[el2_lsu_bus_buffer.scala 509:98] - wire _T_3991 = buf_dual_2 & _T_3990; // @[el2_lsu_bus_buffer.scala 509:80] - wire _T_3992 = _T_3989 | _T_3991; // @[el2_lsu_bus_buffer.scala 509:65] - wire _T_3993 = _T_3992 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:112] - wire _T_3994 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_3986 ? _T_3993 : _T_3994; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_3968 ? _T_3861 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3881 ? _T_3861 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3847 ? _T_3861 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3843 ? _T_3460 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3820 ? _T_3836 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2255 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 427:94] - wire _T_2265 = _T_2059 & _T_1804; // @[el2_lsu_bus_buffer.scala 429:71] - wire _T_2267 = _T_2265 & _T_1780; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2268 = _T_2056 | _T_2267; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2272 = _T_2066 & _T_1807; // @[el2_lsu_bus_buffer.scala 430:52] - wire _T_2274 = _T_2272 & _T_1782; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2275 = _T_2268 | _T_2274; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2276 = _T_2255 & _T_2275; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2278 = _T_2276 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2292 = _T_2265 & _T_1791; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2293 = _T_2081 | _T_2292; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2299 = _T_2272 & _T_1793; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2300 = _T_2293 | _T_2299; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2301 = _T_2255 & _T_2300; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2303 = _T_2301 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2317 = _T_2265 & _T_1802; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2318 = _T_2106 | _T_2317; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2324 = _T_2272 & _T_1804; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2325 = _T_2318 | _T_2324; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2326 = _T_2255 & _T_2325; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2328 = _T_2326 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2342 = _T_2265 & _T_1813; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2343 = _T_2131 | _T_2342; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2349 = _T_2272 & _T_1815; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2350 = _T_2343 | _T_2349; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2351 = _T_2255 & _T_2350; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2353 = _T_2351 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 430:97] - wire [2:0] _T_2355 = {_T_2353,_T_2328,_T_2303}; // @[Cat.scala 29:58] - wire _T_4020 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 464:117] - wire _T_4021 = _T_3440 & _T_4020; // @[el2_lsu_bus_buffer.scala 464:112] - wire _T_4023 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 464:166] - wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 464:161] - wire _T_4025 = _T_4021 | _T_4024; // @[el2_lsu_bus_buffer.scala 464:132] - wire _T_4026 = _T_766 & _T_4025; // @[el2_lsu_bus_buffer.scala 464:63] - wire _T_4027 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 464:206] - wire _T_4028 = ibuf_drain_vld & _T_4027; // @[el2_lsu_bus_buffer.scala 464:201] - wire _T_4029 = _T_4026 | _T_4028; // @[el2_lsu_bus_buffer.scala 464:183] - wire _T_4074 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4119 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_4120 = bus_rsp_write & _T_4119; // @[el2_lsu_bus_buffer.scala 489:52] - wire _T_4121 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 490:46] - wire [2:0] _GEN_402 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_4123 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_4124 = buf_ldfwd[3] & _T_4123; // @[el2_lsu_bus_buffer.scala 491:27] - wire _T_4125 = _T_4121 | _T_4124; // @[el2_lsu_bus_buffer.scala 490:77] - wire _T_4126 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 492:26] - wire _T_4128 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 492:44] - wire _T_4129 = _T_4126 & _T_4128; // @[el2_lsu_bus_buffer.scala 492:42] - wire _T_4130 = _T_4129 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3941 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 495:74] + wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 494:71] + wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 493:25] + wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 492:105] + wire _GEN_194 = _T_3884 & _T_3945; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3850 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3846 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_3971 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 507:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3983 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 507:38] + wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 506:95] + wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 506:45] + wire _GEN_188 = _T_3971 & _T_3986; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3884 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3850 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3846 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_3989 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 512:37] + wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] + wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 512:80] + wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 512:65] + wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_3997 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_3989 ? _T_3996 : _T_3997; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_3971 ? _T_3864 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3884 ? _T_3864 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3850 ? _T_3864 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3846 ? _T_3463 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3823 ? _T_3839 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 430:94] + wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:71] + wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2271 = _T_2059 | _T_2270; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 433:52] + wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2296 = _T_2084 | _T_2295; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2321 = _T_2109 | _T_2320; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2346 = _T_2134 | _T_2345; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire [2:0] _T_2358 = {_T_2356,_T_2331,_T_2306}; // @[Cat.scala 29:58] + wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] + wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] + wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 467:161] + wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 467:132] + wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 467:63] + wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] + wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 467:201] + wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_4077 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 492:73] + wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 492:52] + wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 493:46] + wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_4126 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 494:27] + wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 493:77] + wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 495:26] + wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 495:44] + wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 495:42] + wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_403 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_4131 = io_lsu_axi_rid == _GEN_403; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_4132 = _T_4130 & _T_4131; // @[el2_lsu_bus_buffer.scala 492:74] - wire _T_4133 = _T_4125 | _T_4132; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_4134 = bus_rsp_read & _T_4133; // @[el2_lsu_bus_buffer.scala 490:25] - wire _T_4135 = _T_4120 | _T_4134; // @[el2_lsu_bus_buffer.scala 489:105] - wire _GEN_270 = _T_4074 & _T_4135; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4040 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4036 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4013 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4161 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4171 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 504:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 504:58] - wire [2:0] _GEN_405 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_4173 = io_lsu_axi_rid == _GEN_405; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_4174 = _T_4171[0] & _T_4173; // @[el2_lsu_bus_buffer.scala 504:38] - wire _T_4175 = _T_4131 | _T_4174; // @[el2_lsu_bus_buffer.scala 503:95] - wire _T_4176 = bus_rsp_read & _T_4175; // @[el2_lsu_bus_buffer.scala 503:45] - wire _GEN_264 = _T_4161 & _T_4176; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4074 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4040 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4036 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4013 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4053 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_4054 = _T_4053 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:70] - wire _T_4179 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4182 = RspPtr == 3'h3; // @[el2_lsu_bus_buffer.scala 509:37] - wire _T_4183 = _GEN_403 == RspPtr; // @[el2_lsu_bus_buffer.scala 509:98] - wire _T_4184 = buf_dual_3 & _T_4183; // @[el2_lsu_bus_buffer.scala 509:80] - wire _T_4185 = _T_4182 | _T_4184; // @[el2_lsu_bus_buffer.scala 509:65] - wire _T_4186 = _T_4185 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:112] - wire _T_4187 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4179 ? _T_4186 : _T_4187; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4161 ? _T_4054 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4074 ? _T_4054 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4040 ? _T_4054 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4036 ? _T_3460 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4013 ? _T_4029 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2357 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 427:94] - wire _T_2367 = _T_2059 & _T_1815; // @[el2_lsu_bus_buffer.scala 429:71] - wire _T_2369 = _T_2367 & _T_1780; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2370 = _T_2056 | _T_2369; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2374 = _T_2066 & _T_1818; // @[el2_lsu_bus_buffer.scala 430:52] - wire _T_2376 = _T_2374 & _T_1782; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2377 = _T_2370 | _T_2376; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2378 = _T_2357 & _T_2377; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2380 = _T_2378 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2394 = _T_2367 & _T_1791; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2395 = _T_2081 | _T_2394; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2401 = _T_2374 & _T_1793; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2402 = _T_2395 | _T_2401; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2403 = _T_2357 & _T_2402; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2405 = _T_2403 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2419 = _T_2367 & _T_1802; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2420 = _T_2106 | _T_2419; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2426 = _T_2374 & _T_1804; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2427 = _T_2420 | _T_2426; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2428 = _T_2357 & _T_2427; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2430 = _T_2428 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2444 = _T_2367 & _T_1813; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2445 = _T_2131 | _T_2444; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2451 = _T_2374 & _T_1815; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2452 = _T_2445 | _T_2451; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2453 = _T_2357 & _T_2452; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2455 = _T_2453 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 430:97] - wire [2:0] _T_2457 = {_T_2455,_T_2430,_T_2405}; // @[Cat.scala 29:58] - wire _T_2705 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 438:49] - wire _T_2706 = _T_1779 | _T_2705; // @[el2_lsu_bus_buffer.scala 438:34] - wire _T_2707 = ~_T_2706; // @[el2_lsu_bus_buffer.scala 438:8] - wire _T_2715 = _T_2707 | _T_2063; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2722 = _T_2715 | _T_2070; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2723 = _T_2051 & _T_2722; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2727 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 438:49] - wire _T_2728 = _T_1790 | _T_2727; // @[el2_lsu_bus_buffer.scala 438:34] - wire _T_2729 = ~_T_2728; // @[el2_lsu_bus_buffer.scala 438:8] - wire _T_2737 = _T_2729 | _T_2088; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2744 = _T_2737 | _T_2095; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2745 = _T_2051 & _T_2744; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2749 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 438:49] - wire _T_2750 = _T_1801 | _T_2749; // @[el2_lsu_bus_buffer.scala 438:34] - wire _T_2751 = ~_T_2750; // @[el2_lsu_bus_buffer.scala 438:8] - wire _T_2759 = _T_2751 | _T_2113; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2766 = _T_2759 | _T_2120; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2767 = _T_2051 & _T_2766; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2771 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 438:49] - wire _T_2772 = _T_1812 | _T_2771; // @[el2_lsu_bus_buffer.scala 438:34] - wire _T_2773 = ~_T_2772; // @[el2_lsu_bus_buffer.scala 438:8] - wire _T_2781 = _T_2773 | _T_2138; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2788 = _T_2781 | _T_2145; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2789 = _T_2051 & _T_2788; // @[el2_lsu_bus_buffer.scala 437:114] - wire [3:0] buf_rspage_set_0 = {_T_2789,_T_2767,_T_2745,_T_2723}; // @[Cat.scala 29:58] - wire _T_2806 = _T_2707 | _T_2165; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2813 = _T_2806 | _T_2172; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2814 = _T_2153 & _T_2813; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2828 = _T_2729 | _T_2190; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2835 = _T_2828 | _T_2197; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2836 = _T_2153 & _T_2835; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2850 = _T_2751 | _T_2215; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2857 = _T_2850 | _T_2222; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2858 = _T_2153 & _T_2857; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2872 = _T_2773 | _T_2240; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2879 = _T_2872 | _T_2247; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2880 = _T_2153 & _T_2879; // @[el2_lsu_bus_buffer.scala 437:114] - wire [3:0] buf_rspage_set_1 = {_T_2880,_T_2858,_T_2836,_T_2814}; // @[Cat.scala 29:58] - wire _T_2897 = _T_2707 | _T_2267; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2904 = _T_2897 | _T_2274; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2905 = _T_2255 & _T_2904; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2919 = _T_2729 | _T_2292; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2926 = _T_2919 | _T_2299; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2927 = _T_2255 & _T_2926; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2941 = _T_2751 | _T_2317; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2948 = _T_2941 | _T_2324; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2949 = _T_2255 & _T_2948; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2963 = _T_2773 | _T_2342; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2970 = _T_2963 | _T_2349; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2971 = _T_2255 & _T_2970; // @[el2_lsu_bus_buffer.scala 437:114] - wire [3:0] buf_rspage_set_2 = {_T_2971,_T_2949,_T_2927,_T_2905}; // @[Cat.scala 29:58] - wire _T_2988 = _T_2707 | _T_2369; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2995 = _T_2988 | _T_2376; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2996 = _T_2357 & _T_2995; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_3010 = _T_2729 | _T_2394; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_3017 = _T_3010 | _T_2401; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3018 = _T_2357 & _T_3017; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_3032 = _T_2751 | _T_2419; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_3039 = _T_3032 | _T_2426; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3040 = _T_2357 & _T_3039; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_3054 = _T_2773 | _T_2444; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_3061 = _T_3054 | _T_2451; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3062 = _T_2357 & _T_3061; // @[el2_lsu_bus_buffer.scala 437:114] - wire [3:0] buf_rspage_set_3 = {_T_3062,_T_3040,_T_3018,_T_2996}; // @[Cat.scala 29:58] - wire _T_3147 = _T_2771 | _T_1812; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3148 = ~_T_3147; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_3149 = buf_rspageQ_0[3] & _T_3148; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3141 = _T_2749 | _T_1801; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3142 = ~_T_3141; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_3143 = buf_rspageQ_0[2] & _T_3142; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3135 = _T_2727 | _T_1790; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3136 = ~_T_3135; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_3137 = buf_rspageQ_0[1] & _T_3136; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3129 = _T_2705 | _T_1779; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3130 = ~_T_3129; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_3131 = buf_rspageQ_0[0] & _T_3130; // @[el2_lsu_bus_buffer.scala 442:84] - wire [3:0] buf_rspage_0 = {_T_3149,_T_3143,_T_3137,_T_3131}; // @[Cat.scala 29:58] - wire _T_3068 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3071 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3074 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3077 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 441:90] - wire [2:0] _T_3079 = {_T_3077,_T_3074,_T_3071}; // @[Cat.scala 29:58] - wire _T_3176 = buf_rspageQ_1[3] & _T_3148; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3170 = buf_rspageQ_1[2] & _T_3142; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3164 = buf_rspageQ_1[1] & _T_3136; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3158 = buf_rspageQ_1[0] & _T_3130; // @[el2_lsu_bus_buffer.scala 442:84] - wire [3:0] buf_rspage_1 = {_T_3176,_T_3170,_T_3164,_T_3158}; // @[Cat.scala 29:58] - wire _T_3083 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3086 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3089 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3092 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 441:90] - wire [2:0] _T_3094 = {_T_3092,_T_3089,_T_3086}; // @[Cat.scala 29:58] - wire _T_3203 = buf_rspageQ_2[3] & _T_3148; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3197 = buf_rspageQ_2[2] & _T_3142; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3191 = buf_rspageQ_2[1] & _T_3136; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3185 = buf_rspageQ_2[0] & _T_3130; // @[el2_lsu_bus_buffer.scala 442:84] - wire [3:0] buf_rspage_2 = {_T_3203,_T_3197,_T_3191,_T_3185}; // @[Cat.scala 29:58] - wire _T_3098 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3101 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3104 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3107 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 441:90] - wire [2:0] _T_3109 = {_T_3107,_T_3104,_T_3101}; // @[Cat.scala 29:58] - wire _T_3230 = buf_rspageQ_3[3] & _T_3148; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3224 = buf_rspageQ_3[2] & _T_3142; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3218 = buf_rspageQ_3[1] & _T_3136; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3212 = buf_rspageQ_3[0] & _T_3130; // @[el2_lsu_bus_buffer.scala 442:84] - wire [3:0] buf_rspage_3 = {_T_3230,_T_3224,_T_3218,_T_3212}; // @[Cat.scala 29:58] - wire _T_3113 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3116 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3119 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3122 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 441:90] - wire [2:0] _T_3124 = {_T_3122,_T_3119,_T_3116}; // @[Cat.scala 29:58] - wire _T_3235 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 447:65] - wire _T_3237 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 447:65] - wire _T_3239 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 447:65] - wire _T_3241 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 447:65] - wire [3:0] ibuf_drainvec_vld = {_T_3241,_T_3239,_T_3237,_T_3235}; // @[Cat.scala 29:58] - wire _T_3249 = _T_3443 & _T_1785; // @[el2_lsu_bus_buffer.scala 448:123] - wire [3:0] _T_3252 = _T_3249 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 448:96] - wire [3:0] _T_3253 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3252; // @[el2_lsu_bus_buffer.scala 448:48] - wire _T_3258 = _T_3443 & _T_1796; // @[el2_lsu_bus_buffer.scala 448:123] - wire [3:0] _T_3261 = _T_3258 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 448:96] - wire [3:0] _T_3262 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3261; // @[el2_lsu_bus_buffer.scala 448:48] - wire _T_3267 = _T_3443 & _T_1807; // @[el2_lsu_bus_buffer.scala 448:123] - wire [3:0] _T_3270 = _T_3267 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 448:96] - wire [3:0] _T_3271 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3270; // @[el2_lsu_bus_buffer.scala 448:48] - wire _T_3276 = _T_3443 & _T_1818; // @[el2_lsu_bus_buffer.scala 448:123] - wire [3:0] _T_3279 = _T_3276 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 448:96] - wire [3:0] _T_3280 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3279; // @[el2_lsu_bus_buffer.scala 448:48] - wire _T_3306 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 450:47] - wire _T_3308 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 450:47] - wire _T_3310 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 450:47] - wire _T_3312 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 450:47] - wire [3:0] buf_dual_in = {_T_3312,_T_3310,_T_3308,_T_3306}; // @[Cat.scala 29:58] - wire _T_3317 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 451:49] - wire _T_3319 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 451:49] - wire _T_3321 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 451:49] - wire _T_3323 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 451:49] - wire [3:0] buf_samedw_in = {_T_3323,_T_3321,_T_3319,_T_3317}; // @[Cat.scala 29:58] - wire _T_3328 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 452:86] - wire _T_3329 = ibuf_drainvec_vld[0] ? _T_3328 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 452:50] - wire _T_3332 = ibuf_drainvec_vld[1] ? _T_3328 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 452:50] - wire _T_3335 = ibuf_drainvec_vld[2] ? _T_3328 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 452:50] - wire _T_3338 = ibuf_drainvec_vld[3] ? _T_3328 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 452:50] - wire [3:0] buf_nomerge_in = {_T_3338,_T_3335,_T_3332,_T_3329}; // @[Cat.scala 29:58] - wire _T_3346 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3249; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3351 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3258; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3356 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3267; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3361 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3276; // @[el2_lsu_bus_buffer.scala 453:49] - wire [3:0] buf_dualhi_in = {_T_3361,_T_3356,_T_3351,_T_3346}; // @[Cat.scala 29:58] - wire _T_3390 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 455:53] - wire _T_3392 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 455:53] - wire _T_3394 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 455:53] - wire _T_3396 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 455:53] - wire [3:0] buf_sideeffect_in = {_T_3396,_T_3394,_T_3392,_T_3390}; // @[Cat.scala 29:58] - wire _T_3401 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3403 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3405 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3407 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 456:49] - wire [3:0] buf_unsign_in = {_T_3407,_T_3405,_T_3403,_T_3401}; // @[Cat.scala 29:58] - wire _T_3424 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 458:48] - wire _T_3426 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 458:48] - wire _T_3428 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 458:48] - wire _T_3430 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 458:48] - wire [3:0] buf_write_in = {_T_3430,_T_3428,_T_3426,_T_3424}; // @[Cat.scala 29:58] - wire [31:0] _T_3456 = _T_3449 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 467:30] - wire _T_3463 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_3465 = _T_3463 & _T_1277; // @[el2_lsu_bus_buffer.scala 474:104] - wire _T_3478 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 479:44] - wire _T_3479 = _T_3478 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 479:60] - wire _T_3481 = _T_3479 & _T_1259; // @[el2_lsu_bus_buffer.scala 479:74] - wire _T_3484 = _T_3474 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:67] - wire _T_3485 = _T_3484 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 481:81] - wire _T_4782 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 583:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4782; // @[el2_lsu_bus_buffer.scala 583:38] - wire _T_3488 = _T_3484 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 482:82] - wire [31:0] _T_3493 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_3563 = bus_rsp_read_error & _T_3542; // @[el2_lsu_bus_buffer.scala 496:91] - wire _T_3565 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 497:31] - wire _T_3567 = _T_3565 & _T_3544; // @[el2_lsu_bus_buffer.scala 497:46] - wire _T_3568 = _T_3563 | _T_3567; // @[el2_lsu_bus_buffer.scala 496:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4782; // @[el2_lsu_bus_buffer.scala 582:40] - wire _T_3571 = bus_rsp_write_error & _T_3540; // @[el2_lsu_bus_buffer.scala 498:53] - wire _T_3572 = _T_3568 | _T_3571; // @[el2_lsu_bus_buffer.scala 497:88] - wire _T_3573 = _T_3474 & _T_3572; // @[el2_lsu_bus_buffer.scala 496:68] - wire _GEN_46 = _T_3495 & _T_3573; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3461 ? _T_3488 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3457 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3434 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3494 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3493; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3498 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3499 = buf_write[0] & _T_3498; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_3500 = io_dec_tlu_force_halt | _T_3499; // @[el2_lsu_bus_buffer.scala 486:55] - wire _T_3502 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 487:30] - wire _T_3503 = buf_dual_0 & _T_3502; // @[el2_lsu_bus_buffer.scala 487:28] - wire _T_3506 = _T_3503 & _T_1130; // @[el2_lsu_bus_buffer.scala 487:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3507 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3508 = _T_3506 & _T_3507; // @[el2_lsu_bus_buffer.scala 487:61] - wire _T_4408 = _T_2652 | _T_2649; // @[el2_lsu_bus_buffer.scala 544:93] - wire _T_4409 = _T_4408 | _T_2646; // @[el2_lsu_bus_buffer.scala 544:93] - wire any_done_wait_state = _T_4409 | _T_2643; // @[el2_lsu_bus_buffer.scala 544:93] - wire _T_3510 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:31] - wire _T_3516 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3518 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3520 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3522 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3524 = _T_3516 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3525 = _T_3518 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3526 = _T_3520 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3527 = _T_3522 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3528 = _T_3524 | _T_3525; // @[Mux.scala 27:72] - wire _T_3529 = _T_3528 | _T_3526; // @[Mux.scala 27:72] - wire _T_3530 = _T_3529 | _T_3527; // @[Mux.scala 27:72] - wire _T_3532 = _T_3506 & _T_3530; // @[el2_lsu_bus_buffer.scala 488:101] - wire _T_3533 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 488:167] - wire _T_3534 = _T_3532 & _T_3533; // @[el2_lsu_bus_buffer.scala 488:138] - wire _T_3535 = _T_3534 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:187] - wire _T_3536 = _T_3510 | _T_3535; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_3559 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:47] - wire _T_3560 = _T_3559 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 495:62] - wire _T_3574 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 499:50] - wire _T_3575 = buf_state_en_0 & _T_3574; // @[el2_lsu_bus_buffer.scala 499:48] - wire [31:0] _T_3581 = _T_3575 ? _T_3493 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 499:30] - wire _T_3587 = buf_ldfwd[0] | _T_3592[0]; // @[el2_lsu_bus_buffer.scala 502:90] - wire _T_3588 = _T_3587 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:118] - wire _GEN_29 = _T_3608 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3600 ? 1'h0 : _T_3608; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3600 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3582 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3582 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3495 & _T_3560; // @[Conditional.scala 39:67] - wire [31:0] _GEN_47 = _T_3495 ? _T_3581 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3495 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3495 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3461 ? _T_3481 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3461 ? _T_3485 : _GEN_45; // @[Conditional.scala 39:67] - wire [31:0] _GEN_60 = _T_3461 ? _T_3494 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3461 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3457 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3457 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire [31:0] _GEN_72 = _T_3457 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3457 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3434 & buf_state_en_0; // @[Conditional.scala 40:58] - wire [31:0] _GEN_79 = _T_3434 ? _T_3456 : _GEN_72; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3434 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3434 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3649 = _T_3642 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 467:30] - wire _T_3671 = buf_state_en_1 & _T_3742; // @[el2_lsu_bus_buffer.scala 479:44] - wire _T_3672 = _T_3671 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 479:60] - wire _T_3674 = _T_3672 & _T_1259; // @[el2_lsu_bus_buffer.scala 479:74] - wire _T_3677 = _T_3667 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:67] - wire _T_3678 = _T_3677 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 481:81] - wire _T_3681 = _T_3677 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 482:82] - wire [31:0] _T_3686 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_3756 = bus_rsp_read_error & _T_3735; // @[el2_lsu_bus_buffer.scala 496:91] - wire _T_3758 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 497:31] - wire _T_3760 = _T_3758 & _T_3737; // @[el2_lsu_bus_buffer.scala 497:46] - wire _T_3761 = _T_3756 | _T_3760; // @[el2_lsu_bus_buffer.scala 496:143] - wire _T_3764 = bus_rsp_write_error & _T_3733; // @[el2_lsu_bus_buffer.scala 498:53] - wire _T_3765 = _T_3761 | _T_3764; // @[el2_lsu_bus_buffer.scala 497:88] - wire _T_3766 = _T_3667 & _T_3765; // @[el2_lsu_bus_buffer.scala 496:68] - wire _GEN_122 = _T_3688 & _T_3766; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3654 ? _T_3681 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3650 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3627 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3687 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3686; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3692 = buf_write[1] & _T_3498; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_3693 = io_dec_tlu_force_halt | _T_3692; // @[el2_lsu_bus_buffer.scala 486:55] - wire _T_3695 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 487:30] - wire _T_3696 = buf_dual_1 & _T_3695; // @[el2_lsu_bus_buffer.scala 487:28] - wire _T_3699 = _T_3696 & _T_3742; // @[el2_lsu_bus_buffer.scala 487:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3700 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3701 = _T_3699 & _T_3700; // @[el2_lsu_bus_buffer.scala 487:61] - wire _T_3703 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:31] - wire _T_3709 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3711 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3713 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3715 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3717 = _T_3709 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3718 = _T_3711 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3719 = _T_3713 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3720 = _T_3715 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3721 = _T_3717 | _T_3718; // @[Mux.scala 27:72] - wire _T_3722 = _T_3721 | _T_3719; // @[Mux.scala 27:72] - wire _T_3723 = _T_3722 | _T_3720; // @[Mux.scala 27:72] - wire _T_3725 = _T_3699 & _T_3723; // @[el2_lsu_bus_buffer.scala 488:101] - wire _T_3726 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 488:167] - wire _T_3727 = _T_3725 & _T_3726; // @[el2_lsu_bus_buffer.scala 488:138] - wire _T_3728 = _T_3727 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:187] - wire _T_3729 = _T_3703 | _T_3728; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_3752 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:47] - wire _T_3753 = _T_3752 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 495:62] - wire _T_3767 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 499:50] - wire _T_3768 = buf_state_en_1 & _T_3767; // @[el2_lsu_bus_buffer.scala 499:48] - wire [31:0] _T_3774 = _T_3768 ? _T_3686 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 499:30] - wire _T_3780 = buf_ldfwd[1] | _T_3785[0]; // @[el2_lsu_bus_buffer.scala 502:90] - wire _T_3781 = _T_3780 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:118] - wire _GEN_105 = _T_3801 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3793 ? 1'h0 : _T_3801; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3793 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3775 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3775 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3688 & _T_3753; // @[Conditional.scala 39:67] - wire [31:0] _GEN_123 = _T_3688 ? _T_3774 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3688 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3688 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3654 ? _T_3674 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3654 ? _T_3678 : _GEN_121; // @[Conditional.scala 39:67] - wire [31:0] _GEN_136 = _T_3654 ? _T_3687 : _GEN_123; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3654 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3650 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3650 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire [31:0] _GEN_148 = _T_3650 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3650 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3627 & buf_state_en_1; // @[Conditional.scala 40:58] - wire [31:0] _GEN_155 = _T_3627 ? _T_3649 : _GEN_148; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3627 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3627 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3842 = _T_3835 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 467:30] - wire _T_3864 = buf_state_en_2 & _T_3935; // @[el2_lsu_bus_buffer.scala 479:44] - wire _T_3865 = _T_3864 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 479:60] - wire _T_3867 = _T_3865 & _T_1259; // @[el2_lsu_bus_buffer.scala 479:74] - wire _T_3870 = _T_3860 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:67] - wire _T_3871 = _T_3870 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 481:81] - wire _T_3874 = _T_3870 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 482:82] - wire [31:0] _T_3879 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_3949 = bus_rsp_read_error & _T_3928; // @[el2_lsu_bus_buffer.scala 496:91] - wire _T_3951 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 497:31] - wire _T_3953 = _T_3951 & _T_3930; // @[el2_lsu_bus_buffer.scala 497:46] - wire _T_3954 = _T_3949 | _T_3953; // @[el2_lsu_bus_buffer.scala 496:143] - wire _T_3957 = bus_rsp_write_error & _T_3926; // @[el2_lsu_bus_buffer.scala 498:53] - wire _T_3958 = _T_3954 | _T_3957; // @[el2_lsu_bus_buffer.scala 497:88] - wire _T_3959 = _T_3860 & _T_3958; // @[el2_lsu_bus_buffer.scala 496:68] - wire _GEN_198 = _T_3881 & _T_3959; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3847 ? _T_3874 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3843 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3820 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3880 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3879; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3885 = buf_write[2] & _T_3498; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_3886 = io_dec_tlu_force_halt | _T_3885; // @[el2_lsu_bus_buffer.scala 486:55] - wire _T_3888 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 487:30] - wire _T_3889 = buf_dual_2 & _T_3888; // @[el2_lsu_bus_buffer.scala 487:28] - wire _T_3892 = _T_3889 & _T_3935; // @[el2_lsu_bus_buffer.scala 487:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3893 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3894 = _T_3892 & _T_3893; // @[el2_lsu_bus_buffer.scala 487:61] - wire _T_3896 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:31] - wire _T_3902 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3904 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3906 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3908 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3910 = _T_3902 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3911 = _T_3904 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3912 = _T_3906 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3913 = _T_3908 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3914 = _T_3910 | _T_3911; // @[Mux.scala 27:72] - wire _T_3915 = _T_3914 | _T_3912; // @[Mux.scala 27:72] - wire _T_3916 = _T_3915 | _T_3913; // @[Mux.scala 27:72] - wire _T_3918 = _T_3892 & _T_3916; // @[el2_lsu_bus_buffer.scala 488:101] - wire _T_3919 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 488:167] - wire _T_3920 = _T_3918 & _T_3919; // @[el2_lsu_bus_buffer.scala 488:138] - wire _T_3921 = _T_3920 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:187] - wire _T_3922 = _T_3896 | _T_3921; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_3945 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:47] - wire _T_3946 = _T_3945 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 495:62] - wire _T_3960 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 499:50] - wire _T_3961 = buf_state_en_2 & _T_3960; // @[el2_lsu_bus_buffer.scala 499:48] - wire [31:0] _T_3967 = _T_3961 ? _T_3879 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 499:30] - wire _T_3973 = buf_ldfwd[2] | _T_3978[0]; // @[el2_lsu_bus_buffer.scala 502:90] - wire _T_3974 = _T_3973 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:118] - wire _GEN_181 = _T_3994 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_3986 ? 1'h0 : _T_3994; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_3986 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_3968 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_3968 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3881 & _T_3946; // @[Conditional.scala 39:67] - wire [31:0] _GEN_199 = _T_3881 ? _T_3967 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3881 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3881 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3847 ? _T_3867 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3847 ? _T_3871 : _GEN_197; // @[Conditional.scala 39:67] - wire [31:0] _GEN_212 = _T_3847 ? _T_3880 : _GEN_199; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3847 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3843 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3843 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire [31:0] _GEN_224 = _T_3843 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3843 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3820 & buf_state_en_2; // @[Conditional.scala 40:58] - wire [31:0] _GEN_231 = _T_3820 ? _T_3842 : _GEN_224; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3820 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3820 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_4035 = _T_4028 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 467:30] - wire _T_4057 = buf_state_en_3 & _T_4128; // @[el2_lsu_bus_buffer.scala 479:44] - wire _T_4058 = _T_4057 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 479:60] - wire _T_4060 = _T_4058 & _T_1259; // @[el2_lsu_bus_buffer.scala 479:74] - wire _T_4063 = _T_4053 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:67] - wire _T_4064 = _T_4063 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 481:81] - wire _T_4067 = _T_4063 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 482:82] - wire [31:0] _T_4072 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_4142 = bus_rsp_read_error & _T_4121; // @[el2_lsu_bus_buffer.scala 496:91] - wire _T_4144 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 497:31] - wire _T_4146 = _T_4144 & _T_4123; // @[el2_lsu_bus_buffer.scala 497:46] - wire _T_4147 = _T_4142 | _T_4146; // @[el2_lsu_bus_buffer.scala 496:143] - wire _T_4150 = bus_rsp_write_error & _T_4119; // @[el2_lsu_bus_buffer.scala 498:53] - wire _T_4151 = _T_4147 | _T_4150; // @[el2_lsu_bus_buffer.scala 497:88] - wire _T_4152 = _T_4053 & _T_4151; // @[el2_lsu_bus_buffer.scala 496:68] - wire _GEN_274 = _T_4074 & _T_4152; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4040 ? _T_4067 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4036 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4013 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4073 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4072; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_4078 = buf_write[3] & _T_3498; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_4079 = io_dec_tlu_force_halt | _T_4078; // @[el2_lsu_bus_buffer.scala 486:55] - wire _T_4081 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 487:30] - wire _T_4082 = buf_dual_3 & _T_4081; // @[el2_lsu_bus_buffer.scala 487:28] - wire _T_4085 = _T_4082 & _T_4128; // @[el2_lsu_bus_buffer.scala 487:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_4086 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_4087 = _T_4085 & _T_4086; // @[el2_lsu_bus_buffer.scala 487:61] - wire _T_4089 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:31] - wire _T_4095 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4097 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4099 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4101 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4103 = _T_4095 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4104 = _T_4097 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4105 = _T_4099 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4106 = _T_4101 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4107 = _T_4103 | _T_4104; // @[Mux.scala 27:72] - wire _T_4108 = _T_4107 | _T_4105; // @[Mux.scala 27:72] - wire _T_4109 = _T_4108 | _T_4106; // @[Mux.scala 27:72] - wire _T_4111 = _T_4085 & _T_4109; // @[el2_lsu_bus_buffer.scala 488:101] - wire _T_4112 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 488:167] - wire _T_4113 = _T_4111 & _T_4112; // @[el2_lsu_bus_buffer.scala 488:138] - wire _T_4114 = _T_4113 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:187] - wire _T_4115 = _T_4089 | _T_4114; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_4138 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:47] - wire _T_4139 = _T_4138 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 495:62] - wire _T_4153 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 499:50] - wire _T_4154 = buf_state_en_3 & _T_4153; // @[el2_lsu_bus_buffer.scala 499:48] - wire [31:0] _T_4160 = _T_4154 ? _T_4072 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 499:30] - wire _T_4166 = buf_ldfwd[3] | _T_4171[0]; // @[el2_lsu_bus_buffer.scala 502:90] - wire _T_4167 = _T_4166 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:118] - wire _GEN_257 = _T_4187 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4179 ? 1'h0 : _T_4187; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4179 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4161 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4161 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4074 & _T_4139; // @[Conditional.scala 39:67] - wire [31:0] _GEN_275 = _T_4074 ? _T_4160 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4074 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4074 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4040 ? _T_4060 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4040 ? _T_4064 : _GEN_273; // @[Conditional.scala 39:67] - wire [31:0] _GEN_288 = _T_4040 ? _T_4073 : _GEN_275; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4040 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4036 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4036 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire [31:0] _GEN_300 = _T_4036 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4036 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4013 & buf_state_en_3; // @[Conditional.scala 40:58] - wire [31:0] _GEN_307 = _T_4013 ? _T_4035 : _GEN_300; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4013 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4013 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4242; // @[Reg.scala 27:20] + wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_4134 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 495:74] + wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 494:71] + wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 493:25] + wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 492:105] + wire _GEN_270 = _T_4077 & _T_4138; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4043 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4039 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4164 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 507:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_4176 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 507:38] + wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 506:95] + wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 506:45] + wire _GEN_264 = _T_4164 & _T_4179; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4077 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4043 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4039 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_4182 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 512:37] + wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] + wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 512:80] + wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 512:65] + wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_4190 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4182 ? _T_4189 : _T_4190; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4164 ? _T_4057 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4077 ? _T_4057 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4043 ? _T_4057 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4039 ? _T_3463 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4016 ? _T_4032 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 430:94] + wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:71] + wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2373 = _T_2059 | _T_2372; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 433:52] + wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2398 = _T_2084 | _T_2397; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2423 = _T_2109 | _T_2422; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2448 = _T_2134 | _T_2447; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire [2:0] _T_2460 = {_T_2458,_T_2433,_T_2408}; // @[Cat.scala 29:58] + wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] + wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 441:34] + wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 441:8] + wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] + wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 441:34] + wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 441:8] + wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] + wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 441:34] + wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 441:8] + wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] + wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 441:34] + wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 441:8] + wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 440:114] + wire [3:0] buf_rspage_set_0 = {_T_2792,_T_2770,_T_2748,_T_2726}; // @[Cat.scala 29:58] + wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 440:114] + wire [3:0] buf_rspage_set_1 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] + wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 440:114] + wire [3:0] buf_rspage_set_2 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] + wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 440:114] + wire [3:0] buf_rspage_set_3 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] + wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 445:86] + wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 445:86] + wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 445:86] + wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 445:86] + wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire [3:0] buf_rspage_0 = {_T_3152,_T_3146,_T_3140,_T_3134}; // @[Cat.scala 29:58] + wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire [2:0] _T_3082 = {_T_3080,_T_3077,_T_3074}; // @[Cat.scala 29:58] + wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire [3:0] buf_rspage_1 = {_T_3179,_T_3173,_T_3167,_T_3161}; // @[Cat.scala 29:58] + wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire [2:0] _T_3097 = {_T_3095,_T_3092,_T_3089}; // @[Cat.scala 29:58] + wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire [3:0] buf_rspage_2 = {_T_3206,_T_3200,_T_3194,_T_3188}; // @[Cat.scala 29:58] + wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire [2:0] _T_3112 = {_T_3110,_T_3107,_T_3104}; // @[Cat.scala 29:58] + wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire [3:0] buf_rspage_3 = {_T_3233,_T_3227,_T_3221,_T_3215}; // @[Cat.scala 29:58] + wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire [2:0] _T_3127 = {_T_3125,_T_3122,_T_3119}; // @[Cat.scala 29:58] + wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 450:65] + wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 450:65] + wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 450:65] + wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 450:65] + wire [3:0] ibuf_drainvec_vld = {_T_3244,_T_3242,_T_3240,_T_3238}; // @[Cat.scala 29:58] + wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 451:123] + wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] + wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 451:48] + wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 451:123] + wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] + wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 451:48] + wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 451:123] + wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] + wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 451:48] + wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 451:123] + wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] + wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 451:48] + wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] + wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] + wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] + wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] + wire [3:0] buf_dual_in = {_T_3315,_T_3313,_T_3311,_T_3309}; // @[Cat.scala 29:58] + wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] + wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] + wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] + wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] + wire [3:0] buf_samedw_in = {_T_3326,_T_3324,_T_3322,_T_3320}; // @[Cat.scala 29:58] + wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 455:86] + wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] + wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] + wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] + wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] + wire [3:0] buf_nomerge_in = {_T_3341,_T_3338,_T_3335,_T_3332}; // @[Cat.scala 29:58] + wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 456:49] + wire [3:0] buf_dualhi_in = {_T_3364,_T_3359,_T_3354,_T_3349}; // @[Cat.scala 29:58] + wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] + wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] + wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] + wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] + wire [3:0] buf_sideeffect_in = {_T_3399,_T_3397,_T_3395,_T_3393}; // @[Cat.scala 29:58] + wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] + wire [3:0] buf_unsign_in = {_T_3410,_T_3408,_T_3406,_T_3404}; // @[Cat.scala 29:58] + wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] + wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] + wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] + wire [3:0] buf_write_in = {_T_3433,_T_3431,_T_3429,_T_3427}; // @[Cat.scala 29:58] + wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] + wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:89] + wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 482:44] + wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] + wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] + wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] + wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] + wire _T_4785 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 586:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4785; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] + wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 499:91] + wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 500:31] + wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 499:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4785; // @[el2_lsu_bus_buffer.scala 585:40] + wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 501:53] + wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 500:88] + wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 499:68] + wire _GEN_46 = _T_3498 & _T_3576; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3464 ? _T_3491 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3460 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3437 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 486:30] + wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 489:73] + wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] + wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 489:55] + wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 490:30] + wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 490:28] + wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 490:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 490:61] + wire _T_4411 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 547:93] + wire _T_4412 = _T_4411 | _T_2649; // @[el2_lsu_bus_buffer.scala 547:93] + wire any_done_wait_state = _T_4412 | _T_2646; // @[el2_lsu_bus_buffer.scala 547:93] + wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] + wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3527 = _T_3519 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3528 = _T_3521 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3529 = _T_3523 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3530 = _T_3525 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3531 = _T_3527 | _T_3528; // @[Mux.scala 27:72] + wire _T_3532 = _T_3531 | _T_3529; // @[Mux.scala 27:72] + wire _T_3533 = _T_3532 | _T_3530; // @[Mux.scala 27:72] + wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 491:101] + wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] + wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 491:138] + wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] + wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 491:53] + wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] + wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] + wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 502:50] + wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 502:48] + wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] + wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 505:90] + wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _GEN_29 = _T_3611 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3603 ? 1'h0 : _T_3611; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3603 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3585 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3585 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3498 & _T_3563; // @[Conditional.scala 39:67] + wire [31:0] _GEN_47 = _T_3498 ? _T_3584 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3498 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3498 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3464 ? _T_3484 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3464 ? _T_3488 : _GEN_45; // @[Conditional.scala 39:67] + wire [31:0] _GEN_60 = _T_3464 ? _T_3497 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3464 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3460 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3460 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire [31:0] _GEN_72 = _T_3460 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3460 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3437 & buf_state_en_0; // @[Conditional.scala 40:58] + wire [31:0] _GEN_79 = _T_3437 ? _T_3459 : _GEN_72; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3437 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3437 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] + wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 482:44] + wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] + wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] + wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] + wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] + wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] + wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 499:91] + wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 500:31] + wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 499:143] + wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 501:53] + wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 500:88] + wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 499:68] + wire _GEN_122 = _T_3691 & _T_3769; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3657 ? _T_3684 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3653 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3630 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 486:30] + wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] + wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 489:55] + wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 490:30] + wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 490:28] + wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 490:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 490:61] + wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] + wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3720 = _T_3712 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3721 = _T_3714 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3722 = _T_3716 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3723 = _T_3718 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3724 = _T_3720 | _T_3721; // @[Mux.scala 27:72] + wire _T_3725 = _T_3724 | _T_3722; // @[Mux.scala 27:72] + wire _T_3726 = _T_3725 | _T_3723; // @[Mux.scala 27:72] + wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 491:101] + wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] + wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 491:138] + wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] + wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 491:53] + wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] + wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] + wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 502:50] + wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 502:48] + wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] + wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 505:90] + wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _GEN_105 = _T_3804 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3796 ? 1'h0 : _T_3804; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3796 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3778 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3778 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3691 & _T_3756; // @[Conditional.scala 39:67] + wire [31:0] _GEN_123 = _T_3691 ? _T_3777 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3691 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3691 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3657 ? _T_3677 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3657 ? _T_3681 : _GEN_121; // @[Conditional.scala 39:67] + wire [31:0] _GEN_136 = _T_3657 ? _T_3690 : _GEN_123; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3657 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3653 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3653 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire [31:0] _GEN_148 = _T_3653 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3653 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3630 & buf_state_en_1; // @[Conditional.scala 40:58] + wire [31:0] _GEN_155 = _T_3630 ? _T_3652 : _GEN_148; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3630 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3630 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] + wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 482:44] + wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] + wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] + wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] + wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] + wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] + wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 499:91] + wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 500:31] + wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 499:143] + wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 501:53] + wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 500:88] + wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 499:68] + wire _GEN_198 = _T_3884 & _T_3962; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3850 ? _T_3877 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3846 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3823 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 486:30] + wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] + wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 489:55] + wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 490:30] + wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 490:28] + wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 490:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 490:61] + wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] + wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3913 = _T_3905 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3914 = _T_3907 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3915 = _T_3909 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3916 = _T_3911 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3917 = _T_3913 | _T_3914; // @[Mux.scala 27:72] + wire _T_3918 = _T_3917 | _T_3915; // @[Mux.scala 27:72] + wire _T_3919 = _T_3918 | _T_3916; // @[Mux.scala 27:72] + wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 491:101] + wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] + wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 491:138] + wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] + wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 491:53] + wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] + wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] + wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 502:50] + wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 502:48] + wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] + wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 505:90] + wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _GEN_181 = _T_3997 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_3989 ? 1'h0 : _T_3997; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_3989 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_3971 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_3971 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3884 & _T_3949; // @[Conditional.scala 39:67] + wire [31:0] _GEN_199 = _T_3884 ? _T_3970 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3884 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3884 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3850 ? _T_3870 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3850 ? _T_3874 : _GEN_197; // @[Conditional.scala 39:67] + wire [31:0] _GEN_212 = _T_3850 ? _T_3883 : _GEN_199; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3850 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3846 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3846 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire [31:0] _GEN_224 = _T_3846 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3846 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3823 & buf_state_en_2; // @[Conditional.scala 40:58] + wire [31:0] _GEN_231 = _T_3823 ? _T_3845 : _GEN_224; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3823 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3823 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] + wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 482:44] + wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] + wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] + wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] + wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] + wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] + wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 499:91] + wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 500:31] + wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 499:143] + wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 501:53] + wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 500:88] + wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 499:68] + wire _GEN_274 = _T_4077 & _T_4155; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4043 ? _T_4070 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4039 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4016 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 486:30] + wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] + wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 489:55] + wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 490:30] + wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 490:28] + wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 490:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 490:61] + wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] + wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4106 = _T_4098 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4107 = _T_4100 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4108 = _T_4102 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4109 = _T_4104 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4110 = _T_4106 | _T_4107; // @[Mux.scala 27:72] + wire _T_4111 = _T_4110 | _T_4108; // @[Mux.scala 27:72] + wire _T_4112 = _T_4111 | _T_4109; // @[Mux.scala 27:72] + wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 491:101] + wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] + wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 491:138] + wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] + wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 491:53] + wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] + wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] + wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 502:50] + wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 502:48] + wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] + wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 505:90] + wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _GEN_257 = _T_4190 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4182 ? 1'h0 : _T_4190; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4182 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4164 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4164 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4077 & _T_4142; // @[Conditional.scala 39:67] + wire [31:0] _GEN_275 = _T_4077 ? _T_4163 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4077 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4077 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4043 ? _T_4063 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4043 ? _T_4067 : _GEN_273; // @[Conditional.scala 39:67] + wire [31:0] _GEN_288 = _T_4043 ? _T_4076 : _GEN_275; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4043 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4039 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4039 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire [31:0] _GEN_300 = _T_4039 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4039 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4016 & buf_state_en_3; // @[Conditional.scala 40:58] + wire [31:0] _GEN_307 = _T_4016 ? _T_4038 : _GEN_300; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4016 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4016 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] reg _T_4245; // @[Reg.scala 27:20] reg _T_4248; // @[Reg.scala 27:20] reg _T_4251; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4251,_T_4248,_T_4245,_T_4242}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3253[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 448:19] - wire [2:0] buf_byteen_in_1 = _T_3262[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 448:19] - wire [2:0] buf_byteen_in_2 = _T_3271[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 448:19] - wire [2:0] buf_byteen_in_3 = _T_3280[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 448:19] - reg _T_4317; // @[el2_lsu_bus_buffer.scala 538:82] - reg _T_4312; // @[el2_lsu_bus_buffer.scala 538:82] - reg _T_4307; // @[el2_lsu_bus_buffer.scala 538:82] - reg _T_4302; // @[el2_lsu_bus_buffer.scala 538:82] - wire [3:0] buf_error = {_T_4317,_T_4312,_T_4307,_T_4302}; // @[Cat.scala 29:58] - wire _T_4299 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 538:86] - wire _T_4300 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 538:128] - wire _T_4304 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 538:86] - wire _T_4305 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 538:128] - wire _T_4309 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 538:86] - wire _T_4310 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 538:128] - wire _T_4314 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 538:86] - wire _T_4315 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 538:128] - wire [1:0] _T_4325 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 540:96] - wire [1:0] _GEN_411 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 540:96] - wire [2:0] _T_4326 = _T_4325 + _GEN_411; // @[el2_lsu_bus_buffer.scala 540:96] - wire [2:0] _GEN_412 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 540:96] - wire [3:0] buf_numvld_any = _T_4326 + _GEN_412; // @[el2_lsu_bus_buffer.scala 540:96] - wire _T_4412 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 546:52] - wire _T_4413 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 546:92] - wire _T_4414 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 546:119] - wire _T_4416 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 547:52] - wire _T_4417 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 547:52] - wire _T_4418 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 547:52] - wire _T_4419 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 547:52] - wire _T_4420 = _T_4416 | _T_4417; // @[el2_lsu_bus_buffer.scala 547:65] - wire _T_4421 = _T_4420 | _T_4418; // @[el2_lsu_bus_buffer.scala 547:65] - wire _T_4422 = _T_4421 | _T_4419; // @[el2_lsu_bus_buffer.scala 547:65] - wire _T_4423 = ~_T_4422; // @[el2_lsu_bus_buffer.scala 547:34] - wire _T_4425 = _T_4423 & _T_765; // @[el2_lsu_bus_buffer.scala 547:70] - wire _T_4428 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 549:51] - wire _T_4429 = _T_4428 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 549:72] - wire _T_4430 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 549:94] - wire _T_4431 = _T_4429 & _T_4430; // @[el2_lsu_bus_buffer.scala 549:92] - wire _T_4432 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 549:111] - wire _T_4434 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 552:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 636:66] - wire _T_4452 = _T_2705 & _T_1130; // @[Mux.scala 27:72] - wire _T_4453 = _T_2727 & _T_3742; // @[Mux.scala 27:72] - wire _T_4454 = _T_2749 & _T_3935; // @[Mux.scala 27:72] - wire _T_4455 = _T_2771 & _T_4128; // @[Mux.scala 27:72] - wire _T_4456 = _T_4452 | _T_4453; // @[Mux.scala 27:72] - wire _T_4457 = _T_4456 | _T_4454; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4457 | _T_4455; // @[Mux.scala 27:72] - wire _T_4463 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 555:108] - wire _T_4468 = buf_error[1] & _T_3742; // @[el2_lsu_bus_buffer.scala 555:108] - wire _T_4473 = buf_error[2] & _T_3935; // @[el2_lsu_bus_buffer.scala 555:108] - wire _T_4478 = buf_error[3] & _T_4128; // @[el2_lsu_bus_buffer.scala 555:108] - wire _T_4479 = _T_2705 & _T_4463; // @[Mux.scala 27:72] - wire _T_4480 = _T_2727 & _T_4468; // @[Mux.scala 27:72] - wire _T_4481 = _T_2749 & _T_4473; // @[Mux.scala 27:72] - wire _T_4482 = _T_2771 & _T_4478; // @[Mux.scala 27:72] - wire _T_4483 = _T_4479 | _T_4480; // @[Mux.scala 27:72] - wire _T_4484 = _T_4483 | _T_4481; // @[Mux.scala 27:72] - wire _T_4491 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 556:109] - wire _T_4492 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 556:124] - wire _T_4493 = _T_4491 | _T_4492; // @[el2_lsu_bus_buffer.scala 556:122] - wire _T_4494 = _T_4452 & _T_4493; // @[el2_lsu_bus_buffer.scala 556:106] - wire _T_4499 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 556:109] - wire _T_4500 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 556:124] - wire _T_4501 = _T_4499 | _T_4500; // @[el2_lsu_bus_buffer.scala 556:122] - wire _T_4502 = _T_4453 & _T_4501; // @[el2_lsu_bus_buffer.scala 556:106] - wire _T_4507 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 556:109] - wire _T_4508 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 556:124] - wire _T_4509 = _T_4507 | _T_4508; // @[el2_lsu_bus_buffer.scala 556:122] - wire _T_4510 = _T_4454 & _T_4509; // @[el2_lsu_bus_buffer.scala 556:106] - wire _T_4515 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 556:109] - wire _T_4516 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 556:124] - wire _T_4517 = _T_4515 | _T_4516; // @[el2_lsu_bus_buffer.scala 556:122] - wire _T_4518 = _T_4455 & _T_4517; // @[el2_lsu_bus_buffer.scala 556:106] - wire [1:0] _T_4521 = _T_4510 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4522 = _T_4518 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_413 = {{1'd0}, _T_4502}; // @[Mux.scala 27:72] - wire [1:0] _T_4524 = _GEN_413 | _T_4521; // @[Mux.scala 27:72] - wire [31:0] _T_4559 = _T_4494 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4560 = _T_4502 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4561 = _T_4510 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4562 = _T_4518 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4563 = _T_4559 | _T_4560; // @[Mux.scala 27:72] - wire [31:0] _T_4564 = _T_4563 | _T_4561; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4564 | _T_4562; // @[Mux.scala 27:72] - wire _T_4570 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 558:120] - wire _T_4571 = _T_4452 & _T_4570; // @[el2_lsu_bus_buffer.scala 558:105] - wire _T_4576 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 558:120] - wire _T_4577 = _T_4453 & _T_4576; // @[el2_lsu_bus_buffer.scala 558:105] - wire _T_4582 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 558:120] - wire _T_4583 = _T_4454 & _T_4582; // @[el2_lsu_bus_buffer.scala 558:105] - wire _T_4588 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 558:120] - wire _T_4589 = _T_4455 & _T_4588; // @[el2_lsu_bus_buffer.scala 558:105] - wire [31:0] _T_4590 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4591 = _T_4577 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4592 = _T_4583 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4593 = _T_4589 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4594 = _T_4590 | _T_4591; // @[Mux.scala 27:72] - wire [31:0] _T_4595 = _T_4594 | _T_4592; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4595 | _T_4593; // @[Mux.scala 27:72] - wire _T_4597 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4598 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4599 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4600 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4601 = _T_4597 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4602 = _T_4598 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4603 = _T_4599 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4604 = _T_4600 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4605 = _T_4601 | _T_4602; // @[Mux.scala 27:72] - wire [31:0] _T_4606 = _T_4605 | _T_4603; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_addr_offset = _T_4606 | _T_4604; // @[Mux.scala 27:72] - wire [1:0] _T_4612 = _T_4597 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4613 = _T_4598 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4614 = _T_4599 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4615 = _T_4600 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4616 = _T_4612 | _T_4613; // @[Mux.scala 27:72] - wire [1:0] _T_4617 = _T_4616 | _T_4614; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4617 | _T_4615; // @[Mux.scala 27:72] - wire _T_4627 = _T_4597 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4628 = _T_4598 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4629 = _T_4599 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4630 = _T_4600 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4631 = _T_4627 | _T_4628; // @[Mux.scala 27:72] - wire _T_4632 = _T_4631 | _T_4629; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4632 | _T_4630; // @[Mux.scala 27:72] - wire [63:0] _T_4652 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [35:0] _T_4653 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 563:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4652 >> _T_4653; // @[el2_lsu_bus_buffer.scala 563:92] - wire _T_4654 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 564:69] - wire _T_4656 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 565:81] - wire _T_4657 = lsu_nonblock_unsign & _T_4656; // @[el2_lsu_bus_buffer.scala 565:63] - wire [31:0] _T_4659 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4660 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 566:45] - wire _T_4661 = lsu_nonblock_unsign & _T_4660; // @[el2_lsu_bus_buffer.scala 566:26] - wire [31:0] _T_4663 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4664 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 567:6] - wire _T_4666 = _T_4664 & _T_4656; // @[el2_lsu_bus_buffer.scala 567:27] - wire [23:0] _T_4669 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4671 = {_T_4669,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4674 = _T_4664 & _T_4660; // @[el2_lsu_bus_buffer.scala 568:27] - wire [15:0] _T_4677 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4679 = {_T_4677,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4680 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 569:21] - wire [31:0] _T_4681 = _T_4657 ? _T_4659 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4682 = _T_4661 ? _T_4663 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4683 = _T_4666 ? _T_4671 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4684 = _T_4674 ? _T_4679 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4685 = _T_4680 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4686 = _T_4681 | _T_4682; // @[Mux.scala 27:72] - wire [31:0] _T_4687 = _T_4686 | _T_4683; // @[Mux.scala 27:72] - wire [31:0] _T_4688 = _T_4687 | _T_4684; // @[Mux.scala 27:72] - wire [63:0] _GEN_414 = {{32'd0}, _T_4688}; // @[Mux.scala 27:72] - wire [63:0] _T_4689 = _GEN_414 | _T_4685; // @[Mux.scala 27:72] - wire _T_4784 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 587:36] - wire _T_4785 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 587:51] - wire _T_4786 = _T_4784 & _T_4785; // @[el2_lsu_bus_buffer.scala 587:49] - wire [31:0] _T_4790 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4792 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4797 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 599:50] - wire _T_4798 = _T_4784 & _T_4797; // @[el2_lsu_bus_buffer.scala 599:48] - wire [7:0] _T_4802 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4805 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 604:36] - wire _T_4807 = _T_4805 & _T_1275; // @[el2_lsu_bus_buffer.scala 604:50] - wire _T_4819 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4821 = _T_4819 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 617:129] - wire _T_4824 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4826 = _T_4824 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 617:129] - wire _T_4829 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4831 = _T_4829 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 617:129] - wire _T_4834 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4836 = _T_4834 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 617:129] - wire _T_4837 = _T_2705 & _T_4821; // @[Mux.scala 27:72] - wire _T_4838 = _T_2727 & _T_4826; // @[Mux.scala 27:72] - wire _T_4839 = _T_2749 & _T_4831; // @[Mux.scala 27:72] - wire _T_4840 = _T_2771 & _T_4836; // @[Mux.scala 27:72] - wire _T_4841 = _T_4837 | _T_4838; // @[Mux.scala 27:72] - wire _T_4842 = _T_4841 | _T_4839; // @[Mux.scala 27:72] - wire _T_4852 = _T_2727 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 618:98] - wire lsu_imprecise_error_store_tag = _T_4852 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 618:113] - wire _T_4858 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 620:72] - wire _T_4860 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4862 = _T_4860 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4863 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4864 = _T_4862 | _T_4863; // @[Mux.scala 27:72] - wire _T_4881 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 627:68] - wire _T_4884 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 628:48] - wire _T_4887 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 631:48] - wire _T_4888 = io_lsu_axi_awvalid & _T_4887; // @[el2_lsu_bus_buffer.scala 631:46] - wire _T_4889 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 631:92] - wire _T_4890 = io_lsu_axi_wvalid & _T_4889; // @[el2_lsu_bus_buffer.scala 631:90] - wire _T_4891 = _T_4888 | _T_4890; // @[el2_lsu_bus_buffer.scala 631:69] - wire _T_4892 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 631:136] - wire _T_4893 = io_lsu_axi_arvalid & _T_4892; // @[el2_lsu_bus_buffer.scala 631:134] - wire _T_4897 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 635:75] - wire _T_4898 = io_lsu_busreq_m & _T_4897; // @[el2_lsu_bus_buffer.scala 635:73] - reg _T_4901; // @[el2_lsu_bus_buffer.scala 635:56] + reg _T_4254; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4254,_T_4251,_T_4248,_T_4245}; // @[Cat.scala 29:58] + wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] + wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] + wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] + wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] + reg _T_4320; // @[el2_lsu_bus_buffer.scala 541:82] + reg _T_4315; // @[el2_lsu_bus_buffer.scala 541:82] + reg _T_4310; // @[el2_lsu_bus_buffer.scala 541:82] + reg _T_4305; // @[el2_lsu_bus_buffer.scala 541:82] + wire [3:0] buf_error = {_T_4320,_T_4315,_T_4310,_T_4305}; // @[Cat.scala 29:58] + wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 541:86] + wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 541:128] + wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 541:86] + wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 541:128] + wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 541:86] + wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 541:128] + wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 541:86] + wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 541:128] + wire [1:0] _T_4328 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 543:96] + wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 543:96] + wire [2:0] _T_4329 = _T_4328 + _GEN_407; // @[el2_lsu_bus_buffer.scala 543:96] + wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 543:96] + wire [3:0] buf_numvld_any = _T_4329 + _GEN_408; // @[el2_lsu_bus_buffer.scala 543:96] + wire _T_4415 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4416 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 549:92] + wire _T_4417 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 549:119] + wire _T_4419 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4420 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4421 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4422 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4423 = _T_4419 | _T_4420; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4424 = _T_4423 | _T_4421; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4425 = _T_4424 | _T_4422; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4426 = ~_T_4425; // @[el2_lsu_bus_buffer.scala 550:34] + wire _T_4428 = _T_4426 & _T_765; // @[el2_lsu_bus_buffer.scala 550:70] + wire _T_4431 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 552:51] + wire _T_4432 = _T_4431 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 552:72] + wire _T_4433 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 552:94] + wire _T_4434 = _T_4432 & _T_4433; // @[el2_lsu_bus_buffer.scala 552:92] + wire _T_4435 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 552:111] + wire _T_4437 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 555:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 639:66] + wire _T_4455 = _T_2708 & _T_1130; // @[Mux.scala 27:72] + wire _T_4456 = _T_2730 & _T_3745; // @[Mux.scala 27:72] + wire _T_4457 = _T_2752 & _T_3938; // @[Mux.scala 27:72] + wire _T_4458 = _T_2774 & _T_4131; // @[Mux.scala 27:72] + wire _T_4459 = _T_4455 | _T_4456; // @[Mux.scala 27:72] + wire _T_4460 = _T_4459 | _T_4457; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4460 | _T_4458; // @[Mux.scala 27:72] + wire _T_4466 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4471 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4476 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4481 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4482 = _T_2708 & _T_4466; // @[Mux.scala 27:72] + wire _T_4483 = _T_2730 & _T_4471; // @[Mux.scala 27:72] + wire _T_4484 = _T_2752 & _T_4476; // @[Mux.scala 27:72] + wire _T_4485 = _T_2774 & _T_4481; // @[Mux.scala 27:72] + wire _T_4486 = _T_4482 | _T_4483; // @[Mux.scala 27:72] + wire _T_4487 = _T_4486 | _T_4484; // @[Mux.scala 27:72] + wire _T_4494 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4495 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4496 = _T_4494 | _T_4495; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4497 = _T_4455 & _T_4496; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4502 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4503 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4504 = _T_4502 | _T_4503; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4505 = _T_4456 & _T_4504; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4510 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4511 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4512 = _T_4510 | _T_4511; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4513 = _T_4457 & _T_4512; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4518 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4519 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4520 = _T_4518 | _T_4519; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4521 = _T_4458 & _T_4520; // @[el2_lsu_bus_buffer.scala 559:106] + wire [1:0] _T_4524 = _T_4513 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4525 = _T_4521 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_409 = {{1'd0}, _T_4505}; // @[Mux.scala 27:72] + wire [1:0] _T_4527 = _GEN_409 | _T_4524; // @[Mux.scala 27:72] + wire [31:0] _T_4562 = _T_4497 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4563 = _T_4505 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4564 = _T_4513 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4565 = _T_4521 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4566 = _T_4562 | _T_4563; // @[Mux.scala 27:72] + wire [31:0] _T_4567 = _T_4566 | _T_4564; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4567 | _T_4565; // @[Mux.scala 27:72] + wire _T_4573 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4574 = _T_4455 & _T_4573; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4579 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4580 = _T_4456 & _T_4579; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4585 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4586 = _T_4457 & _T_4585; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4591 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4592 = _T_4458 & _T_4591; // @[el2_lsu_bus_buffer.scala 561:105] + wire [31:0] _T_4593 = _T_4574 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4594 = _T_4580 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4595 = _T_4586 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4596 = _T_4592 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4597 = _T_4593 | _T_4594; // @[Mux.scala 27:72] + wire [31:0] _T_4598 = _T_4597 | _T_4595; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4598 | _T_4596; // @[Mux.scala 27:72] + wire _T_4600 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4601 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4602 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4603 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4604 = _T_4600 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4605 = _T_4601 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4606 = _T_4602 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4607 = _T_4603 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4608 = _T_4604 | _T_4605; // @[Mux.scala 27:72] + wire [31:0] _T_4609 = _T_4608 | _T_4606; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_addr_offset = _T_4609 | _T_4607; // @[Mux.scala 27:72] + wire [1:0] _T_4615 = _T_4600 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4616 = _T_4601 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4617 = _T_4602 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4618 = _T_4603 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4619 = _T_4615 | _T_4616; // @[Mux.scala 27:72] + wire [1:0] _T_4620 = _T_4619 | _T_4617; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4620 | _T_4618; // @[Mux.scala 27:72] + wire _T_4630 = _T_4600 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4631 = _T_4601 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4632 = _T_4602 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4633 = _T_4603 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4634 = _T_4630 | _T_4631; // @[Mux.scala 27:72] + wire _T_4635 = _T_4634 | _T_4632; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4635 | _T_4633; // @[Mux.scala 27:72] + wire [63:0] _T_4655 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [35:0] _T_4656 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 566:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4655 >> _T_4656; // @[el2_lsu_bus_buffer.scala 566:92] + wire _T_4657 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 567:69] + wire _T_4659 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 568:81] + wire _T_4660 = lsu_nonblock_unsign & _T_4659; // @[el2_lsu_bus_buffer.scala 568:63] + wire [31:0] _T_4662 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4663 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 569:45] + wire _T_4664 = lsu_nonblock_unsign & _T_4663; // @[el2_lsu_bus_buffer.scala 569:26] + wire [31:0] _T_4666 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4667 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 570:6] + wire _T_4669 = _T_4667 & _T_4659; // @[el2_lsu_bus_buffer.scala 570:27] + wire [23:0] _T_4672 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4674 = {_T_4672,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4677 = _T_4667 & _T_4663; // @[el2_lsu_bus_buffer.scala 571:27] + wire [15:0] _T_4680 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4682 = {_T_4680,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4683 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 572:21] + wire [31:0] _T_4684 = _T_4660 ? _T_4662 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4685 = _T_4664 ? _T_4666 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4686 = _T_4669 ? _T_4674 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4687 = _T_4677 ? _T_4682 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4688 = _T_4683 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4689 = _T_4684 | _T_4685; // @[Mux.scala 27:72] + wire [31:0] _T_4690 = _T_4689 | _T_4686; // @[Mux.scala 27:72] + wire [31:0] _T_4691 = _T_4690 | _T_4687; // @[Mux.scala 27:72] + wire [63:0] _GEN_410 = {{32'd0}, _T_4691}; // @[Mux.scala 27:72] + wire [63:0] _T_4692 = _GEN_410 | _T_4688; // @[Mux.scala 27:72] + wire _T_4787 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 590:36] + wire _T_4788 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 590:51] + wire _T_4789 = _T_4787 & _T_4788; // @[el2_lsu_bus_buffer.scala 590:49] + wire [31:0] _T_4793 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4795 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4800 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 602:50] + wire _T_4801 = _T_4787 & _T_4800; // @[el2_lsu_bus_buffer.scala 602:48] + wire [7:0] _T_4805 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4808 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 607:36] + wire _T_4810 = _T_4808 & _T_1275; // @[el2_lsu_bus_buffer.scala 607:50] + wire _T_4822 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4824 = _T_4822 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4827 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4829 = _T_4827 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4832 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4834 = _T_4832 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4837 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4839 = _T_4837 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4840 = _T_2708 & _T_4824; // @[Mux.scala 27:72] + wire _T_4841 = _T_2730 & _T_4829; // @[Mux.scala 27:72] + wire _T_4842 = _T_2752 & _T_4834; // @[Mux.scala 27:72] + wire _T_4843 = _T_2774 & _T_4839; // @[Mux.scala 27:72] + wire _T_4844 = _T_4840 | _T_4841; // @[Mux.scala 27:72] + wire _T_4845 = _T_4844 | _T_4842; // @[Mux.scala 27:72] + wire _T_4855 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 621:98] + wire lsu_imprecise_error_store_tag = _T_4855 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 621:113] + wire _T_4861 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 623:72] + wire _T_4863 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4865 = _T_4863 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4866 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4867 = _T_4865 | _T_4866; // @[Mux.scala 27:72] + wire _T_4884 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 630:68] + wire _T_4887 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 631:48] + wire _T_4890 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 634:48] + wire _T_4891 = io_lsu_axi_awvalid & _T_4890; // @[el2_lsu_bus_buffer.scala 634:46] + wire _T_4892 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 634:92] + wire _T_4893 = io_lsu_axi_wvalid & _T_4892; // @[el2_lsu_bus_buffer.scala 634:90] + wire _T_4894 = _T_4891 | _T_4893; // @[el2_lsu_bus_buffer.scala 634:69] + wire _T_4895 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 634:136] + wire _T_4896 = io_lsu_axi_arvalid & _T_4895; // @[el2_lsu_bus_buffer.scala 634:134] + wire _T_4900 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 638:75] + wire _T_4901 = io_lsu_busreq_m & _T_4900; // @[el2_lsu_bus_buffer.scala 638:73] + reg _T_4904; // @[el2_lsu_bus_buffer.scala 638:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2596,59 +2597,59 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4901; // @[el2_lsu_bus_buffer.scala 635:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 545:30] - assign io_lsu_bus_buffer_full_any = _T_4412 ? _T_4413 : _T_4414; // @[el2_lsu_bus_buffer.scala 546:30] - assign io_lsu_bus_buffer_empty_any = _T_4425 & _T_1157; // @[el2_lsu_bus_buffer.scala 547:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 624:23] + assign io_lsu_busreq_r = _T_4904; // @[el2_lsu_bus_buffer.scala 638:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 548:30] + assign io_lsu_bus_buffer_full_any = _T_4415 ? _T_4416 : _T_4417; // @[el2_lsu_bus_buffer.scala 549:30] + assign io_lsu_bus_buffer_empty_any = _T_4428 & _T_1157; // @[el2_lsu_bus_buffer.scala 550:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 627:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4858; // @[el2_lsu_bus_buffer.scala 620:35] - assign io_lsu_imprecise_error_store_any = _T_4842 | _T_4840; // @[el2_lsu_bus_buffer.scala 617:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4864 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 621:35] - assign io_lsu_nonblock_load_valid_m = _T_4431 & _T_4432; // @[el2_lsu_bus_buffer.scala 549:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 550:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4434; // @[el2_lsu_bus_buffer.scala 552:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 553:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4654; // @[el2_lsu_bus_buffer.scala 564:35] - assign io_lsu_nonblock_load_data_error = _T_4484 | _T_4482; // @[el2_lsu_bus_buffer.scala 555:35] - assign io_lsu_nonblock_load_data_tag = _T_4524 | _T_4522; // @[el2_lsu_bus_buffer.scala 556:33] - assign io_lsu_nonblock_load_data = _T_4689[31:0]; // @[el2_lsu_bus_buffer.scala 565:29] - assign io_lsu_pmu_bus_trxn = _T_4881 | _T_4776; // @[el2_lsu_bus_buffer.scala 627:23] - assign io_lsu_pmu_bus_misaligned = _T_4884 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 628:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 629:24] - assign io_lsu_pmu_bus_busy = _T_4891 | _T_4893; // @[el2_lsu_bus_buffer.scala 631:23] - assign io_lsu_axi_awvalid = _T_4786 & _T_1165; // @[el2_lsu_bus_buffer.scala 587:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 588:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4790; // @[el2_lsu_bus_buffer.scala 589:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 593:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 594:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4792 : 3'h2; // @[el2_lsu_bus_buffer.scala 590:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 595:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 597:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 592:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 591:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 596:20] - assign io_lsu_axi_wvalid = _T_4798 & _T_1165; // @[el2_lsu_bus_buffer.scala 599:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 601:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4802; // @[el2_lsu_bus_buffer.scala 600:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 602:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 615:21] - assign io_lsu_axi_arvalid = _T_4807 & _T_1165; // @[el2_lsu_bus_buffer.scala 604:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 605:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4790; // @[el2_lsu_bus_buffer.scala 606:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 610:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 611:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4792 : 3'h3; // @[el2_lsu_bus_buffer.scala 607:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 612:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 614:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 609:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 608:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 613:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 616:21] - assign io_test = {_T_2001,_T_2000}; // @[el2_lsu_bus_buffer.scala 413:11] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4861; // @[el2_lsu_bus_buffer.scala 623:35] + assign io_lsu_imprecise_error_store_any = _T_4845 | _T_4843; // @[el2_lsu_bus_buffer.scala 620:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4867 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 624:35] + assign io_lsu_nonblock_load_valid_m = _T_4434 & _T_4435; // @[el2_lsu_bus_buffer.scala 552:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 553:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4437; // @[el2_lsu_bus_buffer.scala 555:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 556:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4657; // @[el2_lsu_bus_buffer.scala 567:35] + assign io_lsu_nonblock_load_data_error = _T_4487 | _T_4485; // @[el2_lsu_bus_buffer.scala 558:35] + assign io_lsu_nonblock_load_data_tag = _T_4527 | _T_4525; // @[el2_lsu_bus_buffer.scala 559:33] + assign io_lsu_nonblock_load_data = _T_4692[31:0]; // @[el2_lsu_bus_buffer.scala 568:29] + assign io_lsu_pmu_bus_trxn = _T_4884 | _T_4779; // @[el2_lsu_bus_buffer.scala 630:23] + assign io_lsu_pmu_bus_misaligned = _T_4887 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 631:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 632:24] + assign io_lsu_pmu_bus_busy = _T_4894 | _T_4896; // @[el2_lsu_bus_buffer.scala 634:23] + assign io_lsu_axi_awvalid = _T_4789 & _T_1165; // @[el2_lsu_bus_buffer.scala 590:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 591:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4793; // @[el2_lsu_bus_buffer.scala 592:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 596:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 597:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4795 : 3'h2; // @[el2_lsu_bus_buffer.scala 593:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 598:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 600:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 595:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 594:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 599:20] + assign io_lsu_axi_wvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 602:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 604:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4805; // @[el2_lsu_bus_buffer.scala 603:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 605:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 618:21] + assign io_lsu_axi_arvalid = _T_4810 & _T_1165; // @[el2_lsu_bus_buffer.scala 607:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 608:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4793; // @[el2_lsu_bus_buffer.scala 609:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 613:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 614:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4795 : 3'h3; // @[el2_lsu_bus_buffer.scala 610:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 615:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 617:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 612:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 611:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 616:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 619:21] + assign io_test = _T_2002[1:0]; // @[el2_lsu_bus_buffer.scala 416:11] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] @@ -2662,28 +2663,28 @@ module el2_lsu_bus_buffer( assign rvclkhdr_3_io_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3434 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3437 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3627 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3630 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3820 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3823 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4013 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4016 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3434 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3437 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3627 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3630 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3820 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3823 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4013 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4016 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2723,13 +2724,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4266 = _RAND_1[0:0]; + _T_4269 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4263 = _RAND_2[0:0]; + _T_4266 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4260 = _RAND_3[0:0]; + _T_4263 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4257 = _RAND_4[0:0]; + _T_4260 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2745,13 +2746,13 @@ initial begin _RAND_11 = {1{`RANDOM}}; buf_state_3 = _RAND_11[2:0]; _RAND_12 = {1{`RANDOM}}; - _T_4293 = _RAND_12[2:0]; + _T_4296 = _RAND_12[2:0]; _RAND_13 = {1{`RANDOM}}; - _T_4291 = _RAND_13[2:0]; + _T_4294 = _RAND_13[2:0]; _RAND_14 = {1{`RANDOM}}; - _T_4289 = _RAND_14[2:0]; + _T_4292 = _RAND_14[2:0]; _RAND_15 = {1{`RANDOM}}; - _T_4287 = _RAND_15[2:0]; + _T_4290 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; @@ -2779,13 +2780,13 @@ initial begin _RAND_28 = {1{`RANDOM}}; buf_ageQ_0 = _RAND_28[3:0]; _RAND_29 = {1{`RANDOM}}; - _T_4294 = _RAND_29[3:0]; + _T_4297 = _RAND_29[3:0]; _RAND_30 = {1{`RANDOM}}; - _T_4295 = _RAND_30[3:0]; + _T_4298 = _RAND_30[3:0]; _RAND_31 = {1{`RANDOM}}; - _T_4296 = _RAND_31[3:0]; + _T_4299 = _RAND_31[3:0]; _RAND_32 = {1{`RANDOM}}; - _T_4297 = _RAND_32[3:0]; + _T_4300 = _RAND_32[3:0]; _RAND_33 = {1{`RANDOM}}; ibuf_timer = _RAND_33[2:0]; _RAND_34 = {1{`RANDOM}}; @@ -2821,13 +2822,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4236 = _RAND_50[0:0]; + _T_4239 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4233 = _RAND_51[0:0]; + _T_4236 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4230 = _RAND_52[0:0]; + _T_4233 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4227 = _RAND_53[0:0]; + _T_4230 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -2891,13 +2892,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4213 = _RAND_85[0:0]; + _T_4216 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4211 = _RAND_86[0:0]; + _T_4214 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4209 = _RAND_87[0:0]; + _T_4212 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4207 = _RAND_88[0:0]; + _T_4210 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -2915,29 +2916,32 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4242 = _RAND_97[0:0]; + _T_4245 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4245 = _RAND_98[0:0]; + _T_4248 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4248 = _RAND_99[0:0]; + _T_4251 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4251 = _RAND_100[0:0]; + _T_4254 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4317 = _RAND_101[0:0]; + _T_4320 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4312 = _RAND_102[0:0]; + _T_4315 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4307 = _RAND_103[0:0]; + _T_4310 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4302 = _RAND_104[0:0]; + _T_4305 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4901 = _RAND_106[0:0]; + _T_4904 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end + if (reset) begin + _T_4269 = 1'h0; + end if (reset) begin _T_4266 = 1'h0; end @@ -2947,9 +2951,6 @@ initial begin if (reset) begin _T_4260 = 1'h0; end - if (reset) begin - _T_4257 = 1'h0; - end if (reset) begin buf_state_0 = 3'h0; end @@ -2972,16 +2973,16 @@ initial begin buf_state_3 = 3'h0; end if (reset) begin - _T_4293 = 3'h0; + _T_4296 = 3'h0; end if (reset) begin - _T_4291 = 3'h0; + _T_4294 = 3'h0; end if (reset) begin - _T_4289 = 3'h0; + _T_4292 = 3'h0; end if (reset) begin - _T_4287 = 3'h0; + _T_4290 = 3'h0; end if (reset) begin buf_ageQ_3 = 4'h0; @@ -3022,18 +3023,18 @@ initial begin if (reset) begin buf_ageQ_0 = 4'h0; end - if (reset) begin - _T_4294 = 4'h0; - end - if (reset) begin - _T_4295 = 4'h0; - end - if (reset) begin - _T_4296 = 4'h0; - end if (reset) begin _T_4297 = 4'h0; end + if (reset) begin + _T_4298 = 4'h0; + end + if (reset) begin + _T_4299 = 4'h0; + end + if (reset) begin + _T_4300 = 4'h0; + end if (reset) begin ibuf_timer = 3'h0; end @@ -3085,6 +3086,9 @@ initial begin if (reset) begin buf_nomerge_3 = 1'h0; end + if (reset) begin + _T_4239 = 1'h0; + end if (reset) begin _T_4236 = 1'h0; end @@ -3094,9 +3098,6 @@ initial begin if (reset) begin _T_4230 = 1'h0; end - if (reset) begin - _T_4227 = 1'h0; - end if (reset) begin buf_dual_3 = 1'h0; end @@ -3191,16 +3192,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4213 = 1'h0; + _T_4216 = 1'h0; end if (reset) begin - _T_4211 = 1'h0; + _T_4214 = 1'h0; end if (reset) begin - _T_4209 = 1'h0; + _T_4212 = 1'h0; end if (reset) begin - _T_4207 = 1'h0; + _T_4210 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3226,9 +3227,6 @@ initial begin if (reset) begin buf_dualtag_3 = 2'h0; end - if (reset) begin - _T_4242 = 1'h0; - end if (reset) begin _T_4245 = 1'h0; end @@ -3239,22 +3237,25 @@ initial begin _T_4251 = 1'h0; end if (reset) begin - _T_4317 = 1'h0; + _T_4254 = 1'h0; end if (reset) begin - _T_4312 = 1'h0; + _T_4320 = 1'h0; end if (reset) begin - _T_4307 = 1'h0; + _T_4315 = 1'h0; end if (reset) begin - _T_4302 = 1'h0; + _T_4310 = 1'h0; + end + if (reset) begin + _T_4305 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4901 = 1'h0; + _T_4904 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3267,7 +3268,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3249) begin + end else if (_T_3252) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3275,75 +3276,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4266 <= 1'h0; + _T_4269 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4266 <= buf_write_in[3]; + _T_4269 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4266 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4266 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4263 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4263 <= buf_write_in[2]; + end else if (buf_wr_en_1) begin + _T_4263 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4260 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4260 <= buf_write_in[1]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4257 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4257 <= buf_write_in[0]; + _T_4260 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3434) begin + if (_T_3437) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3457) begin + end else if (_T_3460) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3461) begin + end else if (_T_3464) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3465) begin + end else if (_T_3468) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3495) begin - if (_T_3500) begin + end else if (_T_3498) begin + if (_T_3503) begin buf_state_0 <= 3'h0; - end else if (_T_3508) begin + end else if (_T_3511) begin buf_state_0 <= 3'h4; - end else if (_T_3536) begin + end else if (_T_3539) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3582) begin + end else if (_T_3585) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3588) begin + end else if (_T_3591) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3600) begin + end else if (_T_3603) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3359,7 +3360,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3258) begin + end else if (_T_3261) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3369,45 +3370,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3627) begin + if (_T_3630) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3650) begin + end else if (_T_3653) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3654) begin + end else if (_T_3657) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3465) begin + end else if (_T_3468) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3688) begin - if (_T_3693) begin + end else if (_T_3691) begin + if (_T_3696) begin buf_state_1 <= 3'h0; - end else if (_T_3701) begin + end else if (_T_3704) begin buf_state_1 <= 3'h4; - end else if (_T_3729) begin + end else if (_T_3732) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3775) begin + end else if (_T_3778) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3781) begin + end else if (_T_3784) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3793) begin + end else if (_T_3796) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3423,7 +3424,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3267) begin + end else if (_T_3270) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3433,45 +3434,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3820) begin + if (_T_3823) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3843) begin + end else if (_T_3846) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3847) begin + end else if (_T_3850) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3465) begin + end else if (_T_3468) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3881) begin - if (_T_3886) begin + end else if (_T_3884) begin + if (_T_3889) begin buf_state_2 <= 3'h0; - end else if (_T_3894) begin + end else if (_T_3897) begin buf_state_2 <= 3'h4; - end else if (_T_3922) begin + end else if (_T_3925) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3968) begin + end else if (_T_3971) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3974) begin + end else if (_T_3977) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3986) begin + end else if (_T_3989) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3487,7 +3488,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3276) begin + end else if (_T_3279) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3497,45 +3498,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4013) begin + if (_T_4016) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4036) begin + end else if (_T_4039) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4040) begin + end else if (_T_4043) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3465) begin + end else if (_T_3468) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4074) begin - if (_T_4079) begin + end else if (_T_4077) begin + if (_T_4082) begin buf_state_3 <= 3'h0; - end else if (_T_4087) begin + end else if (_T_4090) begin buf_state_3 <= 3'h4; - end else if (_T_4115) begin + end else if (_T_4118) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4161) begin + end else if (_T_4164) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4167) begin + end else if (_T_4170) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4179) begin + end else if (_T_4182) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3548,37 +3549,37 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4293 <= 3'h0; + _T_4296 <= 3'h0; end else if (buf_wr_en_3) begin - _T_4293 <= buf_byteen_in_3; + _T_4296 <= buf_byteen_in_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4291 <= 3'h0; + _T_4294 <= 3'h0; end else if (buf_wr_en_2) begin - _T_4291 <= buf_byteen_in_2; + _T_4294 <= buf_byteen_in_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4289 <= 3'h0; + _T_4292 <= 3'h0; end else if (buf_wr_en_1) begin - _T_4289 <= buf_byteen_in_1; + _T_4292 <= buf_byteen_in_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4287 <= 3'h0; + _T_4290 <= 3'h0; end else if (buf_wr_en_0) begin - _T_4287 <= buf_byteen_in_0; + _T_4290 <= buf_byteen_in_0; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2457,_T_2380}; + buf_ageQ_3 <= {_T_2460,_T_2383}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin @@ -3664,49 +3665,49 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2355,_T_2278}; + buf_ageQ_2 <= {_T_2358,_T_2281}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2253,_T_2176}; + buf_ageQ_1 <= {_T_2256,_T_2179}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2151,_T_2074}; + buf_ageQ_0 <= {_T_2154,_T_2077}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - _T_4294 <= 4'h0; + _T_4297 <= 4'h0; end else begin - _T_4294 <= _GEN_79[3:0]; + _T_4297 <= _GEN_79[3:0]; end end always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin - _T_4295 <= 4'h0; + _T_4298 <= 4'h0; end else begin - _T_4295 <= _GEN_155[3:0]; + _T_4298 <= _GEN_155[3:0]; end end always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin - _T_4296 <= 4'h0; + _T_4299 <= 4'h0; end else begin - _T_4296 <= _GEN_231[3:0]; + _T_4299 <= _GEN_231[3:0]; end end always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin - _T_4297 <= 4'h0; + _T_4300 <= 4'h0; end else begin - _T_4297 <= _GEN_307[3:0]; + _T_4300 <= _GEN_307[3:0]; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin @@ -3856,30 +3857,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4236 <= 1'h0; + _T_4239 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4236 <= buf_sideeffect_in[3]; + _T_4239 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4236 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4236 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4233 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4233 <= buf_sideeffect_in[2]; + end else if (buf_wr_en_1) begin + _T_4233 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4230 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4230 <= buf_sideeffect_in[1]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4227 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4227 <= buf_sideeffect_in[0]; + _T_4230 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -3953,14 +3954,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1231 & _T_4773; + obuf_cmd_done <= _T_1231 & _T_4776; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1231 & _T_4774; + obuf_data_done <= _T_1231 & _T_4777; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4105,79 +4106,79 @@ end // initial if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3079,_T_3068}; + buf_rspageQ_0 <= {_T_3082,_T_3071}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3094,_T_3083}; + buf_rspageQ_1 <= {_T_3097,_T_3086}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3109,_T_3098}; + buf_rspageQ_2 <= {_T_3112,_T_3101}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3124,_T_3113}; + buf_rspageQ_3 <= {_T_3127,_T_3116}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4213 <= 1'h0; + _T_4216 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4013) begin - _T_4213 <= 1'h0; - end else if (_T_4036) begin - _T_4213 <= 1'h0; + if (_T_4016) begin + _T_4216 <= 1'h0; + end else if (_T_4039) begin + _T_4216 <= 1'h0; end else begin - _T_4213 <= _T_4040; + _T_4216 <= _T_4043; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4211 <= 1'h0; + _T_4214 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3820) begin - _T_4211 <= 1'h0; - end else if (_T_3843) begin - _T_4211 <= 1'h0; + if (_T_3823) begin + _T_4214 <= 1'h0; + end else if (_T_3846) begin + _T_4214 <= 1'h0; end else begin - _T_4211 <= _T_3847; + _T_4214 <= _T_3850; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4209 <= 1'h0; + _T_4212 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3627) begin - _T_4209 <= 1'h0; - end else if (_T_3650) begin - _T_4209 <= 1'h0; + if (_T_3630) begin + _T_4212 <= 1'h0; + end else if (_T_3653) begin + _T_4212 <= 1'h0; end else begin - _T_4209 <= _T_3654; + _T_4212 <= _T_3657; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4207 <= 1'h0; + _T_4210 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3434) begin - _T_4207 <= 1'h0; - end else if (_T_3457) begin - _T_4207 <= 1'h0; + if (_T_3437) begin + _T_4210 <= 1'h0; + end else if (_T_3460) begin + _T_4210 <= 1'h0; end else begin - _T_4207 <= _T_3461; + _T_4210 <= _T_3464; end end end @@ -4185,11 +4186,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3434) begin + if (_T_3437) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3457) begin + end else if (_T_3460) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3461) begin + end else if (_T_3464) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4202,7 +4203,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3249) begin + end else if (_T_3252) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4213,11 +4214,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4013) begin + if (_T_4016) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4036) begin + end else if (_T_4039) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4040) begin + end else if (_T_4043) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4228,11 +4229,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3820) begin + if (_T_3823) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3843) begin + end else if (_T_3846) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3847) begin + end else if (_T_3850) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4243,11 +4244,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3627) begin + if (_T_3630) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3650) begin + end else if (_T_3653) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3654) begin + end else if (_T_3657) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4260,7 +4261,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3258) begin + end else if (_T_3261) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4273,7 +4274,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3267) begin + end else if (_T_3270) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4286,67 +4287,67 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3276) begin + end else if (_T_3279) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4242 <= 1'h0; - end else if (buf_wr_en_0) begin - _T_4242 <= buf_unsign_in[0]; - end - end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4245 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4245 <= buf_unsign_in[1]; + end else if (buf_wr_en_0) begin + _T_4245 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4248 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4248 <= buf_unsign_in[2]; + end else if (buf_wr_en_1) begin + _T_4248 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4251 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4251 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4254 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4251 <= buf_unsign_in[3]; + _T_4254 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4317 <= 1'h0; + _T_4320 <= 1'h0; end else begin - _T_4317 <= _T_4314 & _T_4315; + _T_4320 <= _T_4317 & _T_4318; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4312 <= 1'h0; + _T_4315 <= 1'h0; end else begin - _T_4312 <= _T_4309 & _T_4310; + _T_4315 <= _T_4312 & _T_4313; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4307 <= 1'h0; + _T_4310 <= 1'h0; end else begin - _T_4307 <= _T_4304 & _T_4305; + _T_4310 <= _T_4307 & _T_4308; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4302 <= 1'h0; + _T_4305 <= 1'h0; end else begin - _T_4302 <= _T_4299 & _T_4300; + _T_4305 <= _T_4302 & _T_4303; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4358,9 +4359,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4901 <= 1'h0; + _T_4904 <= 1'h0; end else begin - _T_4901 <= _T_4898 & _T_4432; + _T_4904 <= _T_4901 & _T_4435; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 5a5e8926..68d9d854 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -409,10 +409,13 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { def Enc8x3(in: UInt) : UInt = Cat(in(4)|in(5)|in(6)|in(7), in(2)|in(3)|in(6)|in(7), in(1)|in(3)|in(5)|in(7)) - val CmdPtr0 = Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr0Dec)) + val CmdPtr0 = WireInit(UInt(DEPTH_LOG2.W), 0.U) + val CmdPtr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) + val RspPtr = WireInit(UInt(DEPTH_LOG2.W), 0.U) + CmdPtr0 := Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr0Dec)) io.test := CmdPtr0 - val CmdPtr1 = Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr1Dec)) - val RspPtr = Enc8x3(Cat(Fill(8-DEPTH, 0.U),RspPtrDec)) + CmdPtr1 := Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr1Dec)) + RspPtr := Enc8x3(Cat(Fill(8-DEPTH, 0.U),RspPtrDec)) val buf_state_en = Wire(Vec(DEPTH, Bool())) buf_state_en := buf_state_en.map(i=> false.B) val buf_rspageQ = Wire(Vec(DEPTH, UInt(DEPTH.W))) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 3c66e68f..a18937bc 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$.class and b/target/scala-2.12/classes/lsu/BusBufmain$.class differ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index fad48a04..b6a948bb 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class and b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index eaf22441..16a00331 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class differ