From 4cb1f561c4e506f19be5fd94a5fc2107b14567b1 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sat, 7 Nov 2020 18:15:04 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.fir | 6735 +++++++++-------- el2_lsu_bus_buffer.v | 3275 ++++---- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 9 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 495219 -> 495502 bytes 6 files changed, 5016 insertions(+), 5003 deletions(-) diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 9f707835..15cfdcf3 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2808,6 +2808,12 @@ circuit el2_lsu_bus_buffer : found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 407:17] node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 408:31] found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 408:17] + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + wire CmdPtr1 : UInt<2> + CmdPtr1 <= UInt<1>("h00") + wire RspPtr : UInt<2> + RspPtr <= UInt<1>("h00") node _T_1978 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1979 = cat(_T_1978, CmdPtr0Dec) @[Cat.scala 29:58] node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] @@ -2832,3592 +2838,3595 @@ circuit el2_lsu_bus_buffer : node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 410:104] node _T_2001 = cat(_T_1986, _T_1993) @[Cat.scala 29:58] - node CmdPtr0 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] - io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 413:11] - node _T_2002 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2003 = cat(_T_2002, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2004 = bits(_T_2003, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] - node _T_2005 = bits(_T_2003, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] - node _T_2006 = or(_T_2004, _T_2005) @[el2_lsu_bus_buffer.scala 410:42] - node _T_2007 = bits(_T_2003, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] - node _T_2008 = or(_T_2006, _T_2007) @[el2_lsu_bus_buffer.scala 410:48] - node _T_2009 = bits(_T_2003, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] - node _T_2010 = or(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 410:54] - node _T_2011 = bits(_T_2003, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] - node _T_2012 = bits(_T_2003, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] - node _T_2013 = or(_T_2011, _T_2012) @[el2_lsu_bus_buffer.scala 410:67] - node _T_2014 = bits(_T_2003, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] - node _T_2015 = or(_T_2013, _T_2014) @[el2_lsu_bus_buffer.scala 410:73] - node _T_2016 = bits(_T_2003, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] - node _T_2017 = or(_T_2015, _T_2016) @[el2_lsu_bus_buffer.scala 410:79] - node _T_2018 = bits(_T_2003, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] - node _T_2019 = bits(_T_2003, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] - node _T_2020 = or(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 410:92] - node _T_2021 = bits(_T_2003, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] - node _T_2022 = or(_T_2020, _T_2021) @[el2_lsu_bus_buffer.scala 410:98] - node _T_2023 = bits(_T_2003, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] - node _T_2024 = or(_T_2022, _T_2023) @[el2_lsu_bus_buffer.scala 410:104] - node _T_2025 = cat(_T_2010, _T_2017) @[Cat.scala 29:58] - node CmdPtr1 = cat(_T_2025, _T_2024) @[Cat.scala 29:58] - node _T_2026 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2027 = cat(_T_2026, RspPtrDec) @[Cat.scala 29:58] - node _T_2028 = bits(_T_2027, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] - node _T_2029 = bits(_T_2027, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] - node _T_2030 = or(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 410:42] - node _T_2031 = bits(_T_2027, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] - node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 410:48] - node _T_2033 = bits(_T_2027, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] - node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 410:54] - node _T_2035 = bits(_T_2027, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] - node _T_2036 = bits(_T_2027, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] - node _T_2037 = or(_T_2035, _T_2036) @[el2_lsu_bus_buffer.scala 410:67] - node _T_2038 = bits(_T_2027, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] - node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 410:73] - node _T_2040 = bits(_T_2027, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] - node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 410:79] - node _T_2042 = bits(_T_2027, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] - node _T_2043 = bits(_T_2027, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] - node _T_2044 = or(_T_2042, _T_2043) @[el2_lsu_bus_buffer.scala 410:92] - node _T_2045 = bits(_T_2027, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] - node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 410:98] - node _T_2047 = bits(_T_2027, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] - node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 410:104] - node _T_2049 = cat(_T_2034, _T_2041) @[Cat.scala 29:58] - node RspPtr = cat(_T_2049, _T_2048) @[Cat.scala 29:58] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 416:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 418:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 420:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 422:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 424:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:14] - node _T_2050 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2051 = and(_T_2050, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2052 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2053 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2054 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2056 = or(_T_2052, _T_2055) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2057 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2058 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2060 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2061 = and(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2062 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2063 = and(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2064 = or(_T_2056, _T_2063) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2065 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2066 = and(_T_2065, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2067 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2068 = and(_T_2066, _T_2067) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2069 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2070 = and(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2071 = or(_T_2064, _T_2070) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2072 = and(_T_2051, _T_2071) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2073 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2074 = or(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2075 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2076 = and(_T_2075, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2077 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2078 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2079 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2081 = or(_T_2077, _T_2080) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2082 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2083 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2084 = and(_T_2082, _T_2083) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2085 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2086 = and(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2087 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2088 = and(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2089 = or(_T_2081, _T_2088) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2090 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2091 = and(_T_2090, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2092 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2093 = and(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2094 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2095 = and(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2096 = or(_T_2089, _T_2095) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2097 = and(_T_2076, _T_2096) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2098 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2099 = or(_T_2097, _T_2098) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2100 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2101 = and(_T_2100, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2102 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2103 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2104 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2105 = and(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2106 = or(_T_2102, _T_2105) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2107 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2108 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2110 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2111 = and(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2112 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2113 = and(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2114 = or(_T_2106, _T_2113) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2115 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2116 = and(_T_2115, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2117 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2118 = and(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2119 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2120 = and(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2121 = or(_T_2114, _T_2120) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2122 = and(_T_2101, _T_2121) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2123 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2124 = or(_T_2122, _T_2123) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2125 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2126 = and(_T_2125, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2127 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2128 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2129 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2130 = and(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2131 = or(_T_2127, _T_2130) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2132 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2133 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2135 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2136 = and(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2137 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2138 = and(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2139 = or(_T_2131, _T_2138) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2140 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2141 = and(_T_2140, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2142 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2144 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2146 = or(_T_2139, _T_2145) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2147 = and(_T_2126, _T_2146) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2148 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2149 = or(_T_2147, _T_2148) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2150 = cat(_T_2149, _T_2124) @[Cat.scala 29:58] - node _T_2151 = cat(_T_2150, _T_2099) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2151, _T_2074) @[Cat.scala 29:58] - node _T_2152 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2153 = and(_T_2152, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2154 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2155 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2156 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2158 = or(_T_2154, _T_2157) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2159 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2160 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2162 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2164 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2165 = and(_T_2163, _T_2164) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2166 = or(_T_2158, _T_2165) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2167 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2168 = and(_T_2167, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2169 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2171 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2172 = and(_T_2170, _T_2171) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2173 = or(_T_2166, _T_2172) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2174 = and(_T_2153, _T_2173) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2175 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2176 = or(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2177 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2178 = and(_T_2177, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2179 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2180 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2181 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2183 = or(_T_2179, _T_2182) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2184 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2185 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2187 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2189 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2190 = and(_T_2188, _T_2189) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2191 = or(_T_2183, _T_2190) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2192 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2193 = and(_T_2192, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2194 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2196 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2197 = and(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2198 = or(_T_2191, _T_2197) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2199 = and(_T_2178, _T_2198) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2200 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2201 = or(_T_2199, _T_2200) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2202 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2203 = and(_T_2202, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2204 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2205 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2206 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2207 = and(_T_2205, _T_2206) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2208 = or(_T_2204, _T_2207) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2209 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2210 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2212 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2214 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2215 = and(_T_2213, _T_2214) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2216 = or(_T_2208, _T_2215) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2217 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2218 = and(_T_2217, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2219 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2221 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2222 = and(_T_2220, _T_2221) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2223 = or(_T_2216, _T_2222) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2224 = and(_T_2203, _T_2223) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2225 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2226 = or(_T_2224, _T_2225) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2227 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2228 = and(_T_2227, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2229 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2230 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2231 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2232 = and(_T_2230, _T_2231) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2233 = or(_T_2229, _T_2232) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2234 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2235 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2237 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2239 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2240 = and(_T_2238, _T_2239) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2241 = or(_T_2233, _T_2240) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2242 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2243 = and(_T_2242, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2244 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2246 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2248 = or(_T_2241, _T_2247) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2249 = and(_T_2228, _T_2248) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2250 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2251 = or(_T_2249, _T_2250) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2252 = cat(_T_2251, _T_2226) @[Cat.scala 29:58] - node _T_2253 = cat(_T_2252, _T_2201) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2253, _T_2176) @[Cat.scala 29:58] - node _T_2254 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2255 = and(_T_2254, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2256 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2257 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2258 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2260 = or(_T_2256, _T_2259) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2261 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2262 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2264 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2266 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2267 = and(_T_2265, _T_2266) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2268 = or(_T_2260, _T_2267) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2269 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2270 = and(_T_2269, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2271 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2273 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2274 = and(_T_2272, _T_2273) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2275 = or(_T_2268, _T_2274) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2276 = and(_T_2255, _T_2275) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2277 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2278 = or(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2279 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2280 = and(_T_2279, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2281 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2282 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2283 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2285 = or(_T_2281, _T_2284) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2286 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2287 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2289 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2291 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2292 = and(_T_2290, _T_2291) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2293 = or(_T_2285, _T_2292) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2294 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2295 = and(_T_2294, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2296 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2298 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2299 = and(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2300 = or(_T_2293, _T_2299) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2301 = and(_T_2280, _T_2300) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2302 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2303 = or(_T_2301, _T_2302) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2304 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2305 = and(_T_2304, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2306 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2307 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2308 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2309 = and(_T_2307, _T_2308) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2310 = or(_T_2306, _T_2309) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2311 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2312 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2314 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2316 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2317 = and(_T_2315, _T_2316) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2318 = or(_T_2310, _T_2317) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2319 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2320 = and(_T_2319, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2321 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2323 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2324 = and(_T_2322, _T_2323) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2325 = or(_T_2318, _T_2324) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2326 = and(_T_2305, _T_2325) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2327 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2328 = or(_T_2326, _T_2327) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2329 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2330 = and(_T_2329, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2331 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2332 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2333 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2334 = and(_T_2332, _T_2333) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2335 = or(_T_2331, _T_2334) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2336 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2337 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2339 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2341 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2342 = and(_T_2340, _T_2341) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2343 = or(_T_2335, _T_2342) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2344 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2345 = and(_T_2344, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2346 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2348 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2350 = or(_T_2343, _T_2349) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2351 = and(_T_2330, _T_2350) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2352 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2353 = or(_T_2351, _T_2352) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2354 = cat(_T_2353, _T_2328) @[Cat.scala 29:58] - node _T_2355 = cat(_T_2354, _T_2303) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2355, _T_2278) @[Cat.scala 29:58] - node _T_2356 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2357 = and(_T_2356, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2358 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2362 = or(_T_2358, _T_2361) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2363 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2364 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2366 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2368 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2369 = and(_T_2367, _T_2368) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2370 = or(_T_2362, _T_2369) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2371 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2372 = and(_T_2371, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2373 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2375 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2376 = and(_T_2374, _T_2375) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2377 = or(_T_2370, _T_2376) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2378 = and(_T_2357, _T_2377) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2379 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2380 = or(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2381 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2382 = and(_T_2381, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2383 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2384 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2385 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2387 = or(_T_2383, _T_2386) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2388 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2389 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2391 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2393 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2394 = and(_T_2392, _T_2393) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2395 = or(_T_2387, _T_2394) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2396 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2397 = and(_T_2396, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2398 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2400 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2401 = and(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2402 = or(_T_2395, _T_2401) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2403 = and(_T_2382, _T_2402) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2404 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2405 = or(_T_2403, _T_2404) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2406 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2407 = and(_T_2406, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2408 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2409 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2410 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2411 = and(_T_2409, _T_2410) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2412 = or(_T_2408, _T_2411) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2413 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2414 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2416 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2418 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2419 = and(_T_2417, _T_2418) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2420 = or(_T_2412, _T_2419) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2421 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2422 = and(_T_2421, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2423 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2425 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2426 = and(_T_2424, _T_2425) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2427 = or(_T_2420, _T_2426) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2428 = and(_T_2407, _T_2427) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2429 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2430 = or(_T_2428, _T_2429) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2431 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:83] - node _T_2432 = and(_T_2431, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 427:94] - node _T_2433 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 428:20] - node _T_2434 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:47] - node _T_2435 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2436 = and(_T_2434, _T_2435) @[el2_lsu_bus_buffer.scala 428:57] - node _T_2437 = or(_T_2433, _T_2436) @[el2_lsu_bus_buffer.scala 428:31] - node _T_2438 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 429:23] - node _T_2439 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 429:53] - node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 429:41] - node _T_2441 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:83] - node _T_2442 = and(_T_2440, _T_2441) @[el2_lsu_bus_buffer.scala 429:71] - node _T_2443 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2444 = and(_T_2442, _T_2443) @[el2_lsu_bus_buffer.scala 429:92] - node _T_2445 = or(_T_2437, _T_2444) @[el2_lsu_bus_buffer.scala 428:86] - node _T_2446 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 430:17] - node _T_2447 = and(_T_2446, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 430:35] - node _T_2448 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:64] - node _T_2449 = and(_T_2447, _T_2448) @[el2_lsu_bus_buffer.scala 430:52] - node _T_2450 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 430:73] - node _T_2452 = or(_T_2445, _T_2451) @[el2_lsu_bus_buffer.scala 429:114] - node _T_2453 = and(_T_2432, _T_2452) @[el2_lsu_bus_buffer.scala 427:113] - node _T_2454 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:109] - node _T_2455 = or(_T_2453, _T_2454) @[el2_lsu_bus_buffer.scala 430:97] - node _T_2456 = cat(_T_2455, _T_2430) @[Cat.scala 29:58] - node _T_2457 = cat(_T_2456, _T_2405) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2457, _T_2380) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 431:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:12] - node _T_2458 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2460 = and(_T_2459, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2461 = and(_T_2458, _T_2460) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2462 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2463 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2464 = and(_T_2463, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2465 = and(_T_2462, _T_2464) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2466 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2467 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2468 = and(_T_2467, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2469 = and(_T_2466, _T_2468) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2470 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2471 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2472 = and(_T_2471, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2473 = and(_T_2470, _T_2472) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2474 = cat(_T_2473, _T_2469) @[Cat.scala 29:58] - node _T_2475 = cat(_T_2474, _T_2465) @[Cat.scala 29:58] - node _T_2476 = cat(_T_2475, _T_2461) @[Cat.scala 29:58] - node _T_2477 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2478 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2479 = and(_T_2478, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2480 = and(_T_2477, _T_2479) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2481 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2482 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2483 = and(_T_2482, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2484 = and(_T_2481, _T_2483) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2485 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2486 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2487 = and(_T_2486, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2488 = and(_T_2485, _T_2487) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2489 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2490 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2492 = and(_T_2489, _T_2491) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2493 = cat(_T_2492, _T_2488) @[Cat.scala 29:58] - node _T_2494 = cat(_T_2493, _T_2484) @[Cat.scala 29:58] - node _T_2495 = cat(_T_2494, _T_2480) @[Cat.scala 29:58] - node _T_2496 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2497 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2498 = and(_T_2497, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2499 = and(_T_2496, _T_2498) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2500 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2501 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2502 = and(_T_2501, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2503 = and(_T_2500, _T_2502) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2504 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2505 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2506 = and(_T_2505, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2507 = and(_T_2504, _T_2506) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2508 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2509 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2510 = and(_T_2509, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2511 = and(_T_2508, _T_2510) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2512 = cat(_T_2511, _T_2507) @[Cat.scala 29:58] - node _T_2513 = cat(_T_2512, _T_2503) @[Cat.scala 29:58] - node _T_2514 = cat(_T_2513, _T_2499) @[Cat.scala 29:58] - node _T_2515 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2516 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2517 = and(_T_2516, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2518 = and(_T_2515, _T_2517) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2519 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2520 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2521 = and(_T_2520, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2522 = and(_T_2519, _T_2521) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2523 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2524 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2525 = and(_T_2524, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2526 = and(_T_2523, _T_2525) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2527 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 433:74] - node _T_2528 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2529 = and(_T_2528, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 433:104] - node _T_2530 = and(_T_2527, _T_2529) @[el2_lsu_bus_buffer.scala 433:78] - node _T_2531 = cat(_T_2530, _T_2526) @[Cat.scala 29:58] - node _T_2532 = cat(_T_2531, _T_2522) @[Cat.scala 29:58] - node _T_2533 = cat(_T_2532, _T_2518) @[Cat.scala 29:58] - buf_age[0] <= _T_2476 @[el2_lsu_bus_buffer.scala 433:13] - buf_age[1] <= _T_2495 @[el2_lsu_bus_buffer.scala 433:13] - buf_age[2] <= _T_2514 @[el2_lsu_bus_buffer.scala 433:13] - buf_age[3] <= _T_2533 @[el2_lsu_bus_buffer.scala 433:13] - node _T_2534 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2535 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2536 = eq(_T_2535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2537 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2539 = mux(_T_2534, UInt<1>("h00"), _T_2538) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2540 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2541 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2542 = eq(_T_2541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2543 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2545 = mux(_T_2540, UInt<1>("h00"), _T_2544) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2546 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2547 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2548 = eq(_T_2547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2549 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2551 = mux(_T_2546, UInt<1>("h00"), _T_2550) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2552 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2553 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2555 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2556 = and(_T_2554, _T_2555) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2557 = mux(_T_2552, UInt<1>("h00"), _T_2556) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2558 = cat(_T_2557, _T_2551) @[Cat.scala 29:58] - node _T_2559 = cat(_T_2558, _T_2545) @[Cat.scala 29:58] - node _T_2560 = cat(_T_2559, _T_2539) @[Cat.scala 29:58] - node _T_2561 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2562 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2564 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2566 = mux(_T_2561, UInt<1>("h00"), _T_2565) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2567 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2568 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2570 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2571 = and(_T_2569, _T_2570) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2572 = mux(_T_2567, UInt<1>("h00"), _T_2571) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2573 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2574 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2576 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2577 = and(_T_2575, _T_2576) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2578 = mux(_T_2573, UInt<1>("h00"), _T_2577) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2579 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2580 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2581 = eq(_T_2580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2582 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2583 = and(_T_2581, _T_2582) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2584 = mux(_T_2579, UInt<1>("h00"), _T_2583) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2585 = cat(_T_2584, _T_2578) @[Cat.scala 29:58] - node _T_2586 = cat(_T_2585, _T_2572) @[Cat.scala 29:58] - node _T_2587 = cat(_T_2586, _T_2566) @[Cat.scala 29:58] - node _T_2588 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2589 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2591 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2592 = and(_T_2590, _T_2591) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2593 = mux(_T_2588, UInt<1>("h00"), _T_2592) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2594 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2595 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2596 = eq(_T_2595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2597 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2598 = and(_T_2596, _T_2597) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2599 = mux(_T_2594, UInt<1>("h00"), _T_2598) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2600 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2601 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2602 = eq(_T_2601, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2603 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2604 = and(_T_2602, _T_2603) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2605 = mux(_T_2600, UInt<1>("h00"), _T_2604) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2606 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2607 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2609 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2610 = and(_T_2608, _T_2609) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2611 = mux(_T_2606, UInt<1>("h00"), _T_2610) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2612 = cat(_T_2611, _T_2605) @[Cat.scala 29:58] - node _T_2613 = cat(_T_2612, _T_2599) @[Cat.scala 29:58] - node _T_2614 = cat(_T_2613, _T_2593) @[Cat.scala 29:58] - node _T_2615 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2616 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2617 = eq(_T_2616, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2618 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2619 = and(_T_2617, _T_2618) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2620 = mux(_T_2615, UInt<1>("h00"), _T_2619) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2621 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2622 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2624 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2625 = and(_T_2623, _T_2624) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2626 = mux(_T_2621, UInt<1>("h00"), _T_2625) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2627 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2628 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2629 = eq(_T_2628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2630 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2631 = and(_T_2629, _T_2630) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2632 = mux(_T_2627, UInt<1>("h00"), _T_2631) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2633 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:78] - node _T_2634 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 434:102] - node _T_2635 = eq(_T_2634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:91] - node _T_2636 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:121] - node _T_2637 = and(_T_2635, _T_2636) @[el2_lsu_bus_buffer.scala 434:106] - node _T_2638 = mux(_T_2633, UInt<1>("h00"), _T_2637) @[el2_lsu_bus_buffer.scala 434:74] - node _T_2639 = cat(_T_2638, _T_2632) @[Cat.scala 29:58] - node _T_2640 = cat(_T_2639, _T_2626) @[Cat.scala 29:58] - node _T_2641 = cat(_T_2640, _T_2620) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2560 @[el2_lsu_bus_buffer.scala 434:21] - buf_age_younger[1] <= _T_2587 @[el2_lsu_bus_buffer.scala 434:21] - buf_age_younger[2] <= _T_2614 @[el2_lsu_bus_buffer.scala 434:21] - buf_age_younger[3] <= _T_2641 @[el2_lsu_bus_buffer.scala 434:21] - node _T_2642 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2643 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2645 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2646 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2648 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2649 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2651 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2652 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2654 = cat(_T_2653, _T_2650) @[Cat.scala 29:58] - node _T_2655 = cat(_T_2654, _T_2647) @[Cat.scala 29:58] - node _T_2656 = cat(_T_2655, _T_2644) @[Cat.scala 29:58] - node _T_2657 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2658 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2660 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2661 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2663 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2664 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2666 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2667 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2669 = cat(_T_2668, _T_2665) @[Cat.scala 29:58] - node _T_2670 = cat(_T_2669, _T_2662) @[Cat.scala 29:58] - node _T_2671 = cat(_T_2670, _T_2659) @[Cat.scala 29:58] - node _T_2672 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2673 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2675 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2676 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2678 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2679 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2681 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2682 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2684 = cat(_T_2683, _T_2680) @[Cat.scala 29:58] - node _T_2685 = cat(_T_2684, _T_2677) @[Cat.scala 29:58] - node _T_2686 = cat(_T_2685, _T_2674) @[Cat.scala 29:58] - node _T_2687 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2688 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2690 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2691 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2693 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2694 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2696 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2697 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2699 = cat(_T_2698, _T_2695) @[Cat.scala 29:58] - node _T_2700 = cat(_T_2699, _T_2692) @[Cat.scala 29:58] - node _T_2701 = cat(_T_2700, _T_2689) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2656 @[el2_lsu_bus_buffer.scala 435:21] - buf_rsp_pickage[1] <= _T_2671 @[el2_lsu_bus_buffer.scala 435:21] - buf_rsp_pickage[2] <= _T_2686 @[el2_lsu_bus_buffer.scala 435:21] - buf_rsp_pickage[3] <= _T_2701 @[el2_lsu_bus_buffer.scala 435:21] - node _T_2702 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2703 = and(_T_2702, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2704 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2705 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2706 = or(_T_2704, _T_2705) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2707 = eq(_T_2706, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2708 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2709 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2710 = and(_T_2708, _T_2709) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2711 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2712 = and(_T_2710, _T_2711) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2713 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2714 = and(_T_2712, _T_2713) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2715 = or(_T_2707, _T_2714) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2716 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2717 = and(_T_2716, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2718 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2720 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2721 = and(_T_2719, _T_2720) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2722 = or(_T_2715, _T_2721) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2723 = and(_T_2703, _T_2722) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2724 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2725 = and(_T_2724, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2726 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2727 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2728 = or(_T_2726, _T_2727) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2730 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2731 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2732 = and(_T_2730, _T_2731) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2733 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2735 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2736 = and(_T_2734, _T_2735) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2737 = or(_T_2729, _T_2736) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2738 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2739 = and(_T_2738, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2740 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2741 = and(_T_2739, _T_2740) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2742 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2744 = or(_T_2737, _T_2743) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2745 = and(_T_2725, _T_2744) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2746 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2747 = and(_T_2746, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2748 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2749 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2750 = or(_T_2748, _T_2749) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2751 = eq(_T_2750, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2752 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2753 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2754 = and(_T_2752, _T_2753) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2755 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2757 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2759 = or(_T_2751, _T_2758) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2760 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2761 = and(_T_2760, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2762 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2763 = and(_T_2761, _T_2762) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2764 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2766 = or(_T_2759, _T_2765) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2767 = and(_T_2747, _T_2766) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2768 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2769 = and(_T_2768, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2770 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2771 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2772 = or(_T_2770, _T_2771) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2773 = eq(_T_2772, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2774 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2775 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2776 = and(_T_2774, _T_2775) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2777 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2779 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2781 = or(_T_2773, _T_2780) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2782 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2783 = and(_T_2782, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2784 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2785 = and(_T_2783, _T_2784) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2786 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2787 = and(_T_2785, _T_2786) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2788 = or(_T_2781, _T_2787) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2789 = and(_T_2769, _T_2788) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2790 = cat(_T_2789, _T_2767) @[Cat.scala 29:58] - node _T_2791 = cat(_T_2790, _T_2745) @[Cat.scala 29:58] - node _T_2792 = cat(_T_2791, _T_2723) @[Cat.scala 29:58] - node _T_2793 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2794 = and(_T_2793, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2795 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2796 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2797 = or(_T_2795, _T_2796) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2798 = eq(_T_2797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2799 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2800 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2802 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2803 = and(_T_2801, _T_2802) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2804 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2805 = and(_T_2803, _T_2804) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2806 = or(_T_2798, _T_2805) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2807 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2808 = and(_T_2807, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2809 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2810 = and(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2811 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2812 = and(_T_2810, _T_2811) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2813 = or(_T_2806, _T_2812) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2814 = and(_T_2794, _T_2813) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2815 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2816 = and(_T_2815, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2817 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2818 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2819 = or(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2820 = eq(_T_2819, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2821 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2822 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2824 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2826 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2828 = or(_T_2820, _T_2827) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2829 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2830 = and(_T_2829, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2831 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2832 = and(_T_2830, _T_2831) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2833 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2834 = and(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2835 = or(_T_2828, _T_2834) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2836 = and(_T_2816, _T_2835) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2837 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2838 = and(_T_2837, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2839 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2840 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2841 = or(_T_2839, _T_2840) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2842 = eq(_T_2841, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2843 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2844 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2846 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2848 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2850 = or(_T_2842, _T_2849) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2851 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2852 = and(_T_2851, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2853 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2854 = and(_T_2852, _T_2853) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2855 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2856 = and(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2857 = or(_T_2850, _T_2856) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2858 = and(_T_2838, _T_2857) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2859 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2860 = and(_T_2859, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2861 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2862 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2863 = or(_T_2861, _T_2862) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2864 = eq(_T_2863, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2865 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2866 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2868 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2872 = or(_T_2864, _T_2871) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2873 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2874 = and(_T_2873, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2875 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2876 = and(_T_2874, _T_2875) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2877 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2878 = and(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2879 = or(_T_2872, _T_2878) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2880 = and(_T_2860, _T_2879) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2881 = cat(_T_2880, _T_2858) @[Cat.scala 29:58] - node _T_2882 = cat(_T_2881, _T_2836) @[Cat.scala 29:58] - node _T_2883 = cat(_T_2882, _T_2814) @[Cat.scala 29:58] - node _T_2884 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2885 = and(_T_2884, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2886 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2887 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2888 = or(_T_2886, _T_2887) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2889 = eq(_T_2888, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2890 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2891 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2892 = and(_T_2890, _T_2891) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2893 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2895 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2896 = and(_T_2894, _T_2895) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2897 = or(_T_2889, _T_2896) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2898 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2899 = and(_T_2898, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2900 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2901 = and(_T_2899, _T_2900) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2902 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2903 = and(_T_2901, _T_2902) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2904 = or(_T_2897, _T_2903) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2905 = and(_T_2885, _T_2904) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2906 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2907 = and(_T_2906, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2908 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2909 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2910 = or(_T_2908, _T_2909) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2911 = eq(_T_2910, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2912 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2913 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2915 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2917 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2919 = or(_T_2911, _T_2918) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2920 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2921 = and(_T_2920, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2922 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2923 = and(_T_2921, _T_2922) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2924 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2925 = and(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2926 = or(_T_2919, _T_2925) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2927 = and(_T_2907, _T_2926) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2928 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2929 = and(_T_2928, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2930 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2931 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2932 = or(_T_2930, _T_2931) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2933 = eq(_T_2932, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2934 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2935 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2937 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2939 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2941 = or(_T_2933, _T_2940) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2942 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2943 = and(_T_2942, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2944 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2945 = and(_T_2943, _T_2944) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2946 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2947 = and(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2948 = or(_T_2941, _T_2947) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2949 = and(_T_2929, _T_2948) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2950 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2951 = and(_T_2950, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2952 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2953 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2954 = or(_T_2952, _T_2953) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2955 = eq(_T_2954, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2956 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2957 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2959 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2961 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2963 = or(_T_2955, _T_2962) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2964 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2965 = and(_T_2964, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2966 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2967 = and(_T_2965, _T_2966) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2968 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2969 = and(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2970 = or(_T_2963, _T_2969) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2971 = and(_T_2951, _T_2970) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2972 = cat(_T_2971, _T_2949) @[Cat.scala 29:58] - node _T_2973 = cat(_T_2972, _T_2927) @[Cat.scala 29:58] - node _T_2974 = cat(_T_2973, _T_2905) @[Cat.scala 29:58] - node _T_2975 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2976 = and(_T_2975, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2977 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_2978 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_2979 = or(_T_2977, _T_2978) @[el2_lsu_bus_buffer.scala 438:34] - node _T_2980 = eq(_T_2979, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_2981 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_2982 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_2983 = and(_T_2981, _T_2982) @[el2_lsu_bus_buffer.scala 439:43] - node _T_2984 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 439:73] - node _T_2986 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_2987 = and(_T_2985, _T_2986) @[el2_lsu_bus_buffer.scala 439:92] - node _T_2988 = or(_T_2980, _T_2987) @[el2_lsu_bus_buffer.scala 438:61] - node _T_2989 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_2990 = and(_T_2989, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_2991 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2992 = and(_T_2990, _T_2991) @[el2_lsu_bus_buffer.scala 440:54] - node _T_2993 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2994 = and(_T_2992, _T_2993) @[el2_lsu_bus_buffer.scala 440:73] - node _T_2995 = or(_T_2988, _T_2994) @[el2_lsu_bus_buffer.scala 439:112] - node _T_2996 = and(_T_2976, _T_2995) @[el2_lsu_bus_buffer.scala 437:114] - node _T_2997 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_2998 = and(_T_2997, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2999 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_3000 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_3001 = or(_T_2999, _T_3000) @[el2_lsu_bus_buffer.scala 438:34] - node _T_3002 = eq(_T_3001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_3003 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_3004 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 439:43] - node _T_3006 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 439:73] - node _T_3008 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 439:92] - node _T_3010 = or(_T_3002, _T_3009) @[el2_lsu_bus_buffer.scala 438:61] - node _T_3011 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_3012 = and(_T_3011, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_3013 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_3014 = and(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 440:54] - node _T_3015 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_3016 = and(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 440:73] - node _T_3017 = or(_T_3010, _T_3016) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3018 = and(_T_2998, _T_3017) @[el2_lsu_bus_buffer.scala 437:114] - node _T_3019 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3020 = and(_T_3019, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_3021 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_3022 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_3023 = or(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 438:34] - node _T_3024 = eq(_T_3023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_3025 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_3026 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 439:43] - node _T_3028 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 439:73] - node _T_3030 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 439:92] - node _T_3032 = or(_T_3024, _T_3031) @[el2_lsu_bus_buffer.scala 438:61] - node _T_3033 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_3034 = and(_T_3033, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_3035 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_3036 = and(_T_3034, _T_3035) @[el2_lsu_bus_buffer.scala 440:54] - node _T_3037 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_3038 = and(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 440:73] - node _T_3039 = or(_T_3032, _T_3038) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3040 = and(_T_3020, _T_3039) @[el2_lsu_bus_buffer.scala 437:114] - node _T_3041 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3042 = and(_T_3041, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 437:95] - node _T_3043 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:23] - node _T_3044 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:49] - node _T_3045 = or(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 438:34] - node _T_3046 = eq(_T_3045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:8] - node _T_3047 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 439:25] - node _T_3048 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 439:55] - node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 439:43] - node _T_3050 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:84] - node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 439:73] - node _T_3052 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:103] - node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 439:92] - node _T_3054 = or(_T_3046, _T_3053) @[el2_lsu_bus_buffer.scala 438:61] - node _T_3055 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:19] - node _T_3056 = and(_T_3055, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:37] - node _T_3057 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:65] - node _T_3058 = and(_T_3056, _T_3057) @[el2_lsu_bus_buffer.scala 440:54] - node _T_3059 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_3060 = and(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 440:73] - node _T_3061 = or(_T_3054, _T_3060) @[el2_lsu_bus_buffer.scala 439:112] - node _T_3062 = and(_T_3042, _T_3061) @[el2_lsu_bus_buffer.scala 437:114] - node _T_3063 = cat(_T_3062, _T_3040) @[Cat.scala 29:58] - node _T_3064 = cat(_T_3063, _T_3018) @[Cat.scala 29:58] - node _T_3065 = cat(_T_3064, _T_2996) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2792 @[el2_lsu_bus_buffer.scala 437:20] - buf_rspage_set[1] <= _T_2883 @[el2_lsu_bus_buffer.scala 437:20] - buf_rspage_set[2] <= _T_2974 @[el2_lsu_bus_buffer.scala 437:20] - buf_rspage_set[3] <= _T_3065 @[el2_lsu_bus_buffer.scala 437:20] - node _T_3066 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3067 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3069 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3070 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3072 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3073 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3075 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3076 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3078 = cat(_T_3077, _T_3074) @[Cat.scala 29:58] - node _T_3079 = cat(_T_3078, _T_3071) @[Cat.scala 29:58] - node _T_3080 = cat(_T_3079, _T_3068) @[Cat.scala 29:58] - node _T_3081 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3082 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3083 = or(_T_3081, _T_3082) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3084 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3085 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3087 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3088 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3090 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3091 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3093 = cat(_T_3092, _T_3089) @[Cat.scala 29:58] - node _T_3094 = cat(_T_3093, _T_3086) @[Cat.scala 29:58] - node _T_3095 = cat(_T_3094, _T_3083) @[Cat.scala 29:58] - node _T_3096 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3097 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3098 = or(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3099 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3100 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3102 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3103 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3105 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3106 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3108 = cat(_T_3107, _T_3104) @[Cat.scala 29:58] - node _T_3109 = cat(_T_3108, _T_3101) @[Cat.scala 29:58] - node _T_3110 = cat(_T_3109, _T_3098) @[Cat.scala 29:58] - node _T_3111 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3112 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3113 = or(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3114 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3115 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3117 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3118 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3120 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:86] - node _T_3121 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:105] - node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 441:90] - node _T_3123 = cat(_T_3122, _T_3119) @[Cat.scala 29:58] - node _T_3124 = cat(_T_3123, _T_3116) @[Cat.scala 29:58] - node _T_3125 = cat(_T_3124, _T_3113) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3080 @[el2_lsu_bus_buffer.scala 441:19] - buf_rspage_in[1] <= _T_3095 @[el2_lsu_bus_buffer.scala 441:19] - buf_rspage_in[2] <= _T_3110 @[el2_lsu_bus_buffer.scala 441:19] - buf_rspage_in[3] <= _T_3125 @[el2_lsu_bus_buffer.scala 441:19] - node _T_3126 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3127 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3131 = and(_T_3126, _T_3130) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3132 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3133 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3134 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3137 = and(_T_3132, _T_3136) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3138 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3139 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3140 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3141 = or(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3142 = eq(_T_3141, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3143 = and(_T_3138, _T_3142) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3144 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3145 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3146 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3147 = or(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3148 = eq(_T_3147, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3149 = and(_T_3144, _T_3148) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3150 = cat(_T_3149, _T_3143) @[Cat.scala 29:58] - node _T_3151 = cat(_T_3150, _T_3137) @[Cat.scala 29:58] - node _T_3152 = cat(_T_3151, _T_3131) @[Cat.scala 29:58] - node _T_3153 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3154 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3155 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3157 = eq(_T_3156, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3158 = and(_T_3153, _T_3157) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3159 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3160 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3161 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3164 = and(_T_3159, _T_3163) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3165 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3166 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3167 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3169 = eq(_T_3168, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3170 = and(_T_3165, _T_3169) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3171 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3172 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3173 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3175 = eq(_T_3174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3176 = and(_T_3171, _T_3175) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3177 = cat(_T_3176, _T_3170) @[Cat.scala 29:58] - node _T_3178 = cat(_T_3177, _T_3164) @[Cat.scala 29:58] - node _T_3179 = cat(_T_3178, _T_3158) @[Cat.scala 29:58] - node _T_3180 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3181 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3182 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3184 = eq(_T_3183, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3185 = and(_T_3180, _T_3184) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3186 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3187 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3188 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3190 = eq(_T_3189, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3191 = and(_T_3186, _T_3190) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3192 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3193 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3194 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3196 = eq(_T_3195, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3197 = and(_T_3192, _T_3196) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3198 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3199 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3200 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3202 = eq(_T_3201, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3203 = and(_T_3198, _T_3202) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3204 = cat(_T_3203, _T_3197) @[Cat.scala 29:58] - node _T_3205 = cat(_T_3204, _T_3191) @[Cat.scala 29:58] - node _T_3206 = cat(_T_3205, _T_3185) @[Cat.scala 29:58] - node _T_3207 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3208 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3209 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3211 = eq(_T_3210, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3212 = and(_T_3207, _T_3211) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3213 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3214 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3215 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3217 = eq(_T_3216, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3218 = and(_T_3213, _T_3217) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3219 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3220 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3221 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3223 = eq(_T_3222, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3224 = and(_T_3219, _T_3223) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3225 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 442:80] - node _T_3226 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 442:101] - node _T_3227 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:127] - node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3229 = eq(_T_3228, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:86] - node _T_3230 = and(_T_3225, _T_3229) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3231 = cat(_T_3230, _T_3224) @[Cat.scala 29:58] - node _T_3232 = cat(_T_3231, _T_3218) @[Cat.scala 29:58] - node _T_3233 = cat(_T_3232, _T_3212) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3152 @[el2_lsu_bus_buffer.scala 442:16] - buf_rspage[1] <= _T_3179 @[el2_lsu_bus_buffer.scala 442:16] - buf_rspage[2] <= _T_3206 @[el2_lsu_bus_buffer.scala 442:16] - buf_rspage[3] <= _T_3233 @[el2_lsu_bus_buffer.scala 442:16] - node _T_3234 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:77] - node _T_3235 = and(ibuf_drain_vld, _T_3234) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3236 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 447:77] - node _T_3237 = and(ibuf_drain_vld, _T_3236) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3238 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 447:77] - node _T_3239 = and(ibuf_drain_vld, _T_3238) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3240 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 447:77] - node _T_3241 = and(ibuf_drain_vld, _T_3240) @[el2_lsu_bus_buffer.scala 447:65] - node _T_3242 = cat(_T_3241, _T_3239) @[Cat.scala 29:58] - node _T_3243 = cat(_T_3242, _T_3237) @[Cat.scala 29:58] - node _T_3244 = cat(_T_3243, _T_3235) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3244 @[el2_lsu_bus_buffer.scala 447:23] - node _T_3245 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:66] - node _T_3246 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3247 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:106] - node _T_3248 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:134] - node _T_3249 = and(_T_3247, _T_3248) @[el2_lsu_bus_buffer.scala 448:123] - node _T_3250 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:159] - node _T_3251 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:182] - node _T_3252 = mux(_T_3249, _T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 448:96] - node _T_3253 = mux(_T_3245, _T_3246, _T_3252) @[el2_lsu_bus_buffer.scala 448:48] - node _T_3254 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:66] - node _T_3255 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3256 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:106] - node _T_3257 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:134] - node _T_3258 = and(_T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 448:123] - node _T_3259 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:159] - node _T_3260 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:182] - node _T_3261 = mux(_T_3258, _T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 448:96] - node _T_3262 = mux(_T_3254, _T_3255, _T_3261) @[el2_lsu_bus_buffer.scala 448:48] - node _T_3263 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:66] - node _T_3264 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3265 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:106] - node _T_3266 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:134] - node _T_3267 = and(_T_3265, _T_3266) @[el2_lsu_bus_buffer.scala 448:123] - node _T_3268 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:159] - node _T_3269 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:182] - node _T_3270 = mux(_T_3267, _T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 448:96] - node _T_3271 = mux(_T_3263, _T_3264, _T_3270) @[el2_lsu_bus_buffer.scala 448:48] - node _T_3272 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:66] - node _T_3273 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3274 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:106] - node _T_3275 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:134] - node _T_3276 = and(_T_3274, _T_3275) @[el2_lsu_bus_buffer.scala 448:123] - node _T_3277 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:159] - node _T_3278 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 448:182] - node _T_3279 = mux(_T_3276, _T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 448:96] - node _T_3280 = mux(_T_3272, _T_3273, _T_3279) @[el2_lsu_bus_buffer.scala 448:48] - buf_byteen_in[0] <= _T_3253 @[el2_lsu_bus_buffer.scala 448:19] - buf_byteen_in[1] <= _T_3262 @[el2_lsu_bus_buffer.scala 448:19] - buf_byteen_in[2] <= _T_3271 @[el2_lsu_bus_buffer.scala 448:19] - buf_byteen_in[3] <= _T_3280 @[el2_lsu_bus_buffer.scala 448:19] - node _T_3281 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:64] - node _T_3282 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:93] - node _T_3283 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:121] - node _T_3284 = and(_T_3282, _T_3283) @[el2_lsu_bus_buffer.scala 449:110] - node _T_3285 = mux(_T_3284, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 449:83] - node _T_3286 = mux(_T_3281, ibuf_addr, _T_3285) @[el2_lsu_bus_buffer.scala 449:46] - node _T_3287 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:64] - node _T_3288 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:93] - node _T_3289 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:121] - node _T_3290 = and(_T_3288, _T_3289) @[el2_lsu_bus_buffer.scala 449:110] - node _T_3291 = mux(_T_3290, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 449:83] - node _T_3292 = mux(_T_3287, ibuf_addr, _T_3291) @[el2_lsu_bus_buffer.scala 449:46] - node _T_3293 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:64] - node _T_3294 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:93] - node _T_3295 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:121] - node _T_3296 = and(_T_3294, _T_3295) @[el2_lsu_bus_buffer.scala 449:110] - node _T_3297 = mux(_T_3296, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 449:83] - node _T_3298 = mux(_T_3293, ibuf_addr, _T_3297) @[el2_lsu_bus_buffer.scala 449:46] - node _T_3299 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:64] - node _T_3300 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:93] - node _T_3301 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:121] - node _T_3302 = and(_T_3300, _T_3301) @[el2_lsu_bus_buffer.scala 449:110] - node _T_3303 = mux(_T_3302, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 449:83] - node _T_3304 = mux(_T_3299, ibuf_addr, _T_3303) @[el2_lsu_bus_buffer.scala 449:46] - buf_addr_in[0] <= _T_3286 @[el2_lsu_bus_buffer.scala 449:17] - buf_addr_in[1] <= _T_3292 @[el2_lsu_bus_buffer.scala 449:17] - buf_addr_in[2] <= _T_3298 @[el2_lsu_bus_buffer.scala 449:17] - buf_addr_in[3] <= _T_3304 @[el2_lsu_bus_buffer.scala 449:17] - node _T_3305 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3306 = mux(_T_3305, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:47] - node _T_3307 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3308 = mux(_T_3307, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:47] - node _T_3309 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3310 = mux(_T_3309, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:47] - node _T_3311 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3312 = mux(_T_3311, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:47] - node _T_3313 = cat(_T_3312, _T_3310) @[Cat.scala 29:58] - node _T_3314 = cat(_T_3313, _T_3308) @[Cat.scala 29:58] - node _T_3315 = cat(_T_3314, _T_3306) @[Cat.scala 29:58] - buf_dual_in <= _T_3315 @[el2_lsu_bus_buffer.scala 450:17] - node _T_3316 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3317 = mux(_T_3316, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3318 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3319 = mux(_T_3318, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3320 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3321 = mux(_T_3320, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3322 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3323 = mux(_T_3322, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3324 = cat(_T_3323, _T_3321) @[Cat.scala 29:58] - node _T_3325 = cat(_T_3324, _T_3319) @[Cat.scala 29:58] - node _T_3326 = cat(_T_3325, _T_3317) @[Cat.scala 29:58] - buf_samedw_in <= _T_3326 @[el2_lsu_bus_buffer.scala 451:19] - node _T_3327 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:68] - node _T_3328 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3329 = mux(_T_3327, _T_3328, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 452:50] - node _T_3330 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:68] - node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 452:50] - node _T_3333 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:68] - node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 452:50] - node _T_3336 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:68] - node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 452:50] - node _T_3339 = cat(_T_3338, _T_3335) @[Cat.scala 29:58] - node _T_3340 = cat(_T_3339, _T_3332) @[Cat.scala 29:58] - node _T_3341 = cat(_T_3340, _T_3329) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3341 @[el2_lsu_bus_buffer.scala 452:20] - node _T_3342 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3343 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3344 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:120] - node _T_3345 = and(_T_3343, _T_3344) @[el2_lsu_bus_buffer.scala 453:109] - node _T_3346 = mux(_T_3342, ibuf_dual, _T_3345) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3347 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3348 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3349 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:120] - node _T_3350 = and(_T_3348, _T_3349) @[el2_lsu_bus_buffer.scala 453:109] - node _T_3351 = mux(_T_3347, ibuf_dual, _T_3350) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3352 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3354 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:120] - node _T_3355 = and(_T_3353, _T_3354) @[el2_lsu_bus_buffer.scala 453:109] - node _T_3356 = mux(_T_3352, ibuf_dual, _T_3355) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3357 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:67] - node _T_3358 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3359 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:120] - node _T_3360 = and(_T_3358, _T_3359) @[el2_lsu_bus_buffer.scala 453:109] - node _T_3361 = mux(_T_3357, ibuf_dual, _T_3360) @[el2_lsu_bus_buffer.scala 453:49] - node _T_3362 = cat(_T_3361, _T_3356) @[Cat.scala 29:58] - node _T_3363 = cat(_T_3362, _T_3351) @[Cat.scala 29:58] - node _T_3364 = cat(_T_3363, _T_3346) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3364 @[el2_lsu_bus_buffer.scala 453:19] - node _T_3365 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3366 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:99] - node _T_3367 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:127] - node _T_3368 = and(_T_3366, _T_3367) @[el2_lsu_bus_buffer.scala 454:116] - node _T_3369 = mux(_T_3368, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 454:89] - node _T_3370 = mux(_T_3365, ibuf_dualtag, _T_3369) @[el2_lsu_bus_buffer.scala 454:49] - node _T_3371 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:99] - node _T_3373 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:127] - node _T_3374 = and(_T_3372, _T_3373) @[el2_lsu_bus_buffer.scala 454:116] - node _T_3375 = mux(_T_3374, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 454:89] - node _T_3376 = mux(_T_3371, ibuf_dualtag, _T_3375) @[el2_lsu_bus_buffer.scala 454:49] - node _T_3377 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:99] - node _T_3379 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:127] - node _T_3380 = and(_T_3378, _T_3379) @[el2_lsu_bus_buffer.scala 454:116] - node _T_3381 = mux(_T_3380, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 454:89] - node _T_3382 = mux(_T_3377, ibuf_dualtag, _T_3381) @[el2_lsu_bus_buffer.scala 454:49] - node _T_3383 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:99] - node _T_3385 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:127] - node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 454:116] - node _T_3387 = mux(_T_3386, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 454:89] - node _T_3388 = mux(_T_3383, ibuf_dualtag, _T_3387) @[el2_lsu_bus_buffer.scala 454:49] - buf_dualtag_in[0] <= _T_3370 @[el2_lsu_bus_buffer.scala 454:20] - buf_dualtag_in[1] <= _T_3376 @[el2_lsu_bus_buffer.scala 454:20] - buf_dualtag_in[2] <= _T_3382 @[el2_lsu_bus_buffer.scala 454:20] - buf_dualtag_in[3] <= _T_3388 @[el2_lsu_bus_buffer.scala 454:20] - node _T_3389 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:71] - node _T_3390 = mux(_T_3389, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 455:53] - node _T_3391 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:71] - node _T_3392 = mux(_T_3391, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 455:53] - node _T_3393 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:71] - node _T_3394 = mux(_T_3393, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 455:53] - node _T_3395 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:71] - node _T_3396 = mux(_T_3395, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 455:53] - node _T_3397 = cat(_T_3396, _T_3394) @[Cat.scala 29:58] - node _T_3398 = cat(_T_3397, _T_3392) @[Cat.scala 29:58] - node _T_3399 = cat(_T_3398, _T_3390) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3399 @[el2_lsu_bus_buffer.scala 455:23] - node _T_3400 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3401 = mux(_T_3400, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3402 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3403 = mux(_T_3402, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3404 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3405 = mux(_T_3404, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3406 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3407 = mux(_T_3406, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3408 = cat(_T_3407, _T_3405) @[Cat.scala 29:58] - node _T_3409 = cat(_T_3408, _T_3403) @[Cat.scala 29:58] - node _T_3410 = cat(_T_3409, _T_3401) @[Cat.scala 29:58] - buf_unsign_in <= _T_3410 @[el2_lsu_bus_buffer.scala 456:19] - node _T_3411 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:62] - node _T_3412 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3413 = mux(_T_3411, ibuf_sz, _T_3412) @[el2_lsu_bus_buffer.scala 457:44] - node _T_3414 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:62] + node _T_2002 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] + CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 415:11] + io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 416:11] + node _T_2003 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2004 = cat(_T_2003, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] + node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] + node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 410:42] + node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] + node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 410:48] + node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] + node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 410:54] + node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] + node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] + node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 410:67] + node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] + node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 410:73] + node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] + node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 410:79] + node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] + node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] + node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 410:92] + node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] + node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 410:98] + node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] + node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 410:104] + node _T_2026 = cat(_T_2011, _T_2018) @[Cat.scala 29:58] + node _T_2027 = cat(_T_2026, _T_2025) @[Cat.scala 29:58] + CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 417:11] + node _T_2028 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2029 = cat(_T_2028, RspPtrDec) @[Cat.scala 29:58] + node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] + node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] + node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 410:42] + node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] + node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 410:48] + node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] + node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 410:54] + node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] + node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] + node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 410:67] + node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] + node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 410:73] + node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 410:79] + node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] + node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] + node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 410:92] + node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] + node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 410:98] + node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] + node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 410:104] + node _T_2051 = cat(_T_2036, _T_2043) @[Cat.scala 29:58] + node _T_2052 = cat(_T_2051, _T_2050) @[Cat.scala 29:58] + RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 418:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 419:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 421:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 423:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 425:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] + node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2153 = cat(_T_2152, _T_2127) @[Cat.scala 29:58] + node _T_2154 = cat(_T_2153, _T_2102) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2154, _T_2077) @[Cat.scala 29:58] + node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2255 = cat(_T_2254, _T_2229) @[Cat.scala 29:58] + node _T_2256 = cat(_T_2255, _T_2204) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2256, _T_2179) @[Cat.scala 29:58] + node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2357 = cat(_T_2356, _T_2331) @[Cat.scala 29:58] + node _T_2358 = cat(_T_2357, _T_2306) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2358, _T_2281) @[Cat.scala 29:58] + node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] + node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] + node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 431:57] + node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 431:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] + node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 432:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 432:71] + node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 432:92] + node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 431:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] + node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 433:52] + node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 433:73] + node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] + node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2459 = cat(_T_2458, _T_2433) @[Cat.scala 29:58] + node _T_2460 = cat(_T_2459, _T_2408) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2460, _T_2383) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 434:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] + node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] + node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] + node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] + node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2496 = cat(_T_2495, _T_2491) @[Cat.scala 29:58] + node _T_2497 = cat(_T_2496, _T_2487) @[Cat.scala 29:58] + node _T_2498 = cat(_T_2497, _T_2483) @[Cat.scala 29:58] + node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2515 = cat(_T_2514, _T_2510) @[Cat.scala 29:58] + node _T_2516 = cat(_T_2515, _T_2506) @[Cat.scala 29:58] + node _T_2517 = cat(_T_2516, _T_2502) @[Cat.scala 29:58] + node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] + node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] + node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2534 = cat(_T_2533, _T_2529) @[Cat.scala 29:58] + node _T_2535 = cat(_T_2534, _T_2525) @[Cat.scala 29:58] + node _T_2536 = cat(_T_2535, _T_2521) @[Cat.scala 29:58] + buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 436:13] + buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 436:13] + buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 436:13] + buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 436:13] + node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2561 = cat(_T_2560, _T_2554) @[Cat.scala 29:58] + node _T_2562 = cat(_T_2561, _T_2548) @[Cat.scala 29:58] + node _T_2563 = cat(_T_2562, _T_2542) @[Cat.scala 29:58] + node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2588 = cat(_T_2587, _T_2581) @[Cat.scala 29:58] + node _T_2589 = cat(_T_2588, _T_2575) @[Cat.scala 29:58] + node _T_2590 = cat(_T_2589, _T_2569) @[Cat.scala 29:58] + node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2615 = cat(_T_2614, _T_2608) @[Cat.scala 29:58] + node _T_2616 = cat(_T_2615, _T_2602) @[Cat.scala 29:58] + node _T_2617 = cat(_T_2616, _T_2596) @[Cat.scala 29:58] + node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] + node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] + node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 437:106] + node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2642 = cat(_T_2641, _T_2635) @[Cat.scala 29:58] + node _T_2643 = cat(_T_2642, _T_2629) @[Cat.scala 29:58] + node _T_2644 = cat(_T_2643, _T_2623) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 437:21] + buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 437:21] + buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 437:21] + buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 437:21] + node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2657 = cat(_T_2656, _T_2653) @[Cat.scala 29:58] + node _T_2658 = cat(_T_2657, _T_2650) @[Cat.scala 29:58] + node _T_2659 = cat(_T_2658, _T_2647) @[Cat.scala 29:58] + node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2672 = cat(_T_2671, _T_2668) @[Cat.scala 29:58] + node _T_2673 = cat(_T_2672, _T_2665) @[Cat.scala 29:58] + node _T_2674 = cat(_T_2673, _T_2662) @[Cat.scala 29:58] + node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2687 = cat(_T_2686, _T_2683) @[Cat.scala 29:58] + node _T_2688 = cat(_T_2687, _T_2680) @[Cat.scala 29:58] + node _T_2689 = cat(_T_2688, _T_2677) @[Cat.scala 29:58] + node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] + node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2702 = cat(_T_2701, _T_2698) @[Cat.scala 29:58] + node _T_2703 = cat(_T_2702, _T_2695) @[Cat.scala 29:58] + node _T_2704 = cat(_T_2703, _T_2692) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 438:21] + buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 438:21] + buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 438:21] + buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 438:21] + node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2793 = cat(_T_2792, _T_2770) @[Cat.scala 29:58] + node _T_2794 = cat(_T_2793, _T_2748) @[Cat.scala 29:58] + node _T_2795 = cat(_T_2794, _T_2726) @[Cat.scala 29:58] + node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] + node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] + node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] + node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] + node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] + node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] + node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 441:34] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 442:43] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 442:73] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 442:92] + node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 441:61] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 443:54] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 443:73] + node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 442:112] + node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 440:114] + node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 441:34] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 442:43] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 442:92] + node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 441:61] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 443:54] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 443:73] + node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 442:112] + node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 440:114] + node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 441:34] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 442:43] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 442:92] + node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 441:61] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 443:54] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 443:73] + node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 442:112] + node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 440:114] + node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] + node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] + node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 441:34] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] + node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 442:43] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 442:73] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 442:92] + node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 441:61] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 443:54] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 443:73] + node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 442:112] + node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 440:114] + node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] + node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] + node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 440:20] + buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 440:20] + buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 440:20] + buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 440:20] + node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3081 = cat(_T_3080, _T_3077) @[Cat.scala 29:58] + node _T_3082 = cat(_T_3081, _T_3074) @[Cat.scala 29:58] + node _T_3083 = cat(_T_3082, _T_3071) @[Cat.scala 29:58] + node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3096 = cat(_T_3095, _T_3092) @[Cat.scala 29:58] + node _T_3097 = cat(_T_3096, _T_3089) @[Cat.scala 29:58] + node _T_3098 = cat(_T_3097, _T_3086) @[Cat.scala 29:58] + node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3111 = cat(_T_3110, _T_3107) @[Cat.scala 29:58] + node _T_3112 = cat(_T_3111, _T_3104) @[Cat.scala 29:58] + node _T_3113 = cat(_T_3112, _T_3101) @[Cat.scala 29:58] + node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] + node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3126 = cat(_T_3125, _T_3122) @[Cat.scala 29:58] + node _T_3127 = cat(_T_3126, _T_3119) @[Cat.scala 29:58] + node _T_3128 = cat(_T_3127, _T_3116) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 444:19] + buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 444:19] + buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 444:19] + buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 444:19] + node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3153 = cat(_T_3152, _T_3146) @[Cat.scala 29:58] + node _T_3154 = cat(_T_3153, _T_3140) @[Cat.scala 29:58] + node _T_3155 = cat(_T_3154, _T_3134) @[Cat.scala 29:58] + node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3180 = cat(_T_3179, _T_3173) @[Cat.scala 29:58] + node _T_3181 = cat(_T_3180, _T_3167) @[Cat.scala 29:58] + node _T_3182 = cat(_T_3181, _T_3161) @[Cat.scala 29:58] + node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3207 = cat(_T_3206, _T_3200) @[Cat.scala 29:58] + node _T_3208 = cat(_T_3207, _T_3194) @[Cat.scala 29:58] + node _T_3209 = cat(_T_3208, _T_3188) @[Cat.scala 29:58] + node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] + node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] + node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3234 = cat(_T_3233, _T_3227) @[Cat.scala 29:58] + node _T_3235 = cat(_T_3234, _T_3221) @[Cat.scala 29:58] + node _T_3236 = cat(_T_3235, _T_3215) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 445:16] + buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 445:16] + buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 445:16] + buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 445:16] + node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:77] + node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 450:65] + node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:77] + node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 450:65] + node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:77] + node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 450:65] + node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:77] + node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 450:65] + node _T_3245 = cat(_T_3244, _T_3242) @[Cat.scala 29:58] + node _T_3246 = cat(_T_3245, _T_3240) @[Cat.scala 29:58] + node _T_3247 = cat(_T_3246, _T_3238) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 450:23] + node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:66] + node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] + node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] + node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:134] + node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 451:123] + node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] + node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] + node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 451:96] + node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 451:48] + node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:66] + node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] + node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] + node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:134] + node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 451:123] + node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] + node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] + node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 451:96] + node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 451:48] + node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:66] + node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] + node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] + node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:134] + node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 451:123] + node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] + node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] + node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 451:96] + node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 451:48] + node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:66] + node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] + node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] + node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:134] + node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 451:123] + node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] + node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] + node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 451:96] + node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 451:48] + buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 451:19] + buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 451:19] + buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 451:19] + buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 451:19] + node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:64] + node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] + node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:121] + node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 452:110] + node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] + node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 452:46] + node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:64] + node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] + node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 452:121] + node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 452:110] + node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] + node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 452:46] + node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:64] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 452:121] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 452:110] + node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] + node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 452:46] + node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:64] + node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] + node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 452:121] + node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 452:110] + node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] + node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 452:46] + buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 452:17] + buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 452:17] + buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 452:17] + buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 452:17] + node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] + node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] + node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] + node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] + node _T_3316 = cat(_T_3315, _T_3313) @[Cat.scala 29:58] + node _T_3317 = cat(_T_3316, _T_3311) @[Cat.scala 29:58] + node _T_3318 = cat(_T_3317, _T_3309) @[Cat.scala 29:58] + buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 453:17] + node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:67] + node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] + node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:67] + node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] + node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:67] + node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] + node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:67] + node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] + node _T_3327 = cat(_T_3326, _T_3324) @[Cat.scala 29:58] + node _T_3328 = cat(_T_3327, _T_3322) @[Cat.scala 29:58] + node _T_3329 = cat(_T_3328, _T_3320) @[Cat.scala 29:58] + buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 454:19] + node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:68] + node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] + node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:68] + node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] + node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:68] + node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] + node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:68] + node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] + node _T_3342 = cat(_T_3341, _T_3338) @[Cat.scala 29:58] + node _T_3343 = cat(_T_3342, _T_3335) @[Cat.scala 29:58] + node _T_3344 = cat(_T_3343, _T_3332) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 455:20] + node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:120] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 456:109] + node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] + node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 456:120] + node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 456:109] + node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] + node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 456:120] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 456:109] + node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] + node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 456:120] + node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 456:109] + node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3365 = cat(_T_3364, _T_3359) @[Cat.scala 29:58] + node _T_3366 = cat(_T_3365, _T_3354) @[Cat.scala 29:58] + node _T_3367 = cat(_T_3366, _T_3349) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 456:19] + node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] + node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:127] + node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 457:116] + node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] + node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 457:49] + node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] + node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:127] + node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 457:116] + node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] + node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 457:49] + node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] + node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:127] + node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 457:116] + node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] + node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 457:49] + node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] + node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:127] + node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 457:116] + node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] + node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 457:49] + buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 457:20] + buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 457:20] + buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 457:20] + buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 457:20] + node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:71] + node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] + node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:71] + node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] + node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:71] + node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] + node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:71] + node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] + node _T_3400 = cat(_T_3399, _T_3397) @[Cat.scala 29:58] + node _T_3401 = cat(_T_3400, _T_3395) @[Cat.scala 29:58] + node _T_3402 = cat(_T_3401, _T_3393) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 458:23] + node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3411 = cat(_T_3410, _T_3408) @[Cat.scala 29:58] + node _T_3412 = cat(_T_3411, _T_3406) @[Cat.scala 29:58] + node _T_3413 = cat(_T_3412, _T_3404) @[Cat.scala 29:58] + buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 459:19] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:62] node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 457:44] - node _T_3417 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:62] + node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 460:44] + node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:62] node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 457:44] - node _T_3420 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:62] + node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 460:44] + node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:62] node _T_3421 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 457:44] - buf_sz_in[0] <= _T_3413 @[el2_lsu_bus_buffer.scala 457:15] - buf_sz_in[1] <= _T_3416 @[el2_lsu_bus_buffer.scala 457:15] - buf_sz_in[2] <= _T_3419 @[el2_lsu_bus_buffer.scala 457:15] - buf_sz_in[3] <= _T_3422 @[el2_lsu_bus_buffer.scala 457:15] - node _T_3423 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:66] - node _T_3424 = mux(_T_3423, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 458:48] - node _T_3425 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:66] - node _T_3426 = mux(_T_3425, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 458:48] - node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:66] - node _T_3428 = mux(_T_3427, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 458:48] - node _T_3429 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:66] - node _T_3430 = mux(_T_3429, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 458:48] - node _T_3431 = cat(_T_3430, _T_3428) @[Cat.scala 29:58] - node _T_3432 = cat(_T_3431, _T_3426) @[Cat.scala 29:58] - node _T_3433 = cat(_T_3432, _T_3424) @[Cat.scala 29:58] - buf_write_in <= _T_3433 @[el2_lsu_bus_buffer.scala 458:18] - node _T_3434 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3434 : @[Conditional.scala 40:58] - node _T_3435 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 463:56] - node _T_3436 = mux(_T_3435, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 463:31] - buf_nxtstate[0] <= _T_3436 @[el2_lsu_bus_buffer.scala 463:25] - node _T_3437 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 464:45] - node _T_3438 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:77] - node _T_3439 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:97] - node _T_3440 = and(_T_3438, _T_3439) @[el2_lsu_bus_buffer.scala 464:95] - node _T_3441 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 464:117] - node _T_3442 = and(_T_3440, _T_3441) @[el2_lsu_bus_buffer.scala 464:112] - node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:144] - node _T_3444 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 464:166] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 464:161] - node _T_3446 = or(_T_3442, _T_3445) @[el2_lsu_bus_buffer.scala 464:132] - node _T_3447 = and(_T_3437, _T_3446) @[el2_lsu_bus_buffer.scala 464:63] - node _T_3448 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:206] - node _T_3449 = and(ibuf_drain_vld, _T_3448) @[el2_lsu_bus_buffer.scala 464:201] - node _T_3450 = or(_T_3447, _T_3449) @[el2_lsu_bus_buffer.scala 464:183] - buf_state_en[0] <= _T_3450 @[el2_lsu_bus_buffer.scala 464:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 465:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 466:24] - node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:52] - node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 467:47] - node _T_3453 = bits(_T_3452, 0, 0) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3454 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 467:90] - node _T_3455 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3456 = mux(_T_3453, _T_3454, _T_3455) @[el2_lsu_bus_buffer.scala 467:30] - buf_data_in[0] <= _T_3456 @[el2_lsu_bus_buffer.scala 467:24] + node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 460:44] + node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:62] + node _T_3424 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 460:44] + buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 460:15] + buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 460:15] + buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 460:15] + buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 460:15] + node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:66] + node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] + node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:66] + node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:66] + node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] + node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:66] + node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] + node _T_3434 = cat(_T_3433, _T_3431) @[Cat.scala 29:58] + node _T_3435 = cat(_T_3434, _T_3429) @[Cat.scala 29:58] + node _T_3436 = cat(_T_3435, _T_3427) @[Cat.scala 29:58] + buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 461:18] + node _T_3437 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3437 : @[Conditional.scala 40:58] + node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] + node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] + node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] + node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] + node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] + node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] + node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 467:161] + node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 467:132] + node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 467:63] + node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] + node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 467:201] + node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 467:183] + buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 467:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 468:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 469:24] + node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] + node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 470:47] + node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] + node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] + node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 470:30] + buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3457 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3457 : @[Conditional.scala 39:67] - node _T_3458 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3459 = mux(_T_3458, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3460 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 471:46] - buf_state_en[0] <= _T_3460 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3460 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3460 : @[Conditional.scala 39:67] + node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] + node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] + buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] + buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 474:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3461 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3461 : @[Conditional.scala 39:67] - node _T_3462 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 474:60] - node _T_3463 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 474:89] - node _T_3464 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 474:124] - node _T_3465 = and(_T_3463, _T_3464) @[el2_lsu_bus_buffer.scala 474:104] - node _T_3466 = mux(_T_3465, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 474:75] - node _T_3467 = mux(_T_3462, UInt<3>("h00"), _T_3466) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[0] <= _T_3467 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3468 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:48] - node _T_3469 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_3470 = and(obuf_merge, _T_3469) @[el2_lsu_bus_buffer.scala 475:91] - node _T_3471 = or(_T_3468, _T_3470) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3472 = and(_T_3471, obuf_valid) @[el2_lsu_bus_buffer.scala 475:135] - node _T_3473 = and(_T_3472, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 475:148] - buf_cmd_state_bus_en[0] <= _T_3473 @[el2_lsu_bus_buffer.scala 475:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 476:29] - node _T_3474 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3475 = or(_T_3474, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:70] - buf_state_en[0] <= _T_3475 @[el2_lsu_bus_buffer.scala 477:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 478:25] - node _T_3476 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 479:56] - node _T_3477 = eq(_T_3476, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3478 = and(buf_state_en[0], _T_3477) @[el2_lsu_bus_buffer.scala 479:44] - node _T_3479 = and(_T_3478, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:60] - node _T_3480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:76] - node _T_3481 = and(_T_3479, _T_3480) @[el2_lsu_bus_buffer.scala 479:74] - buf_ldfwd_en[0] <= _T_3481 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3482 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 480:46] - buf_ldfwdtag_in[0] <= _T_3482 @[el2_lsu_bus_buffer.scala 480:28] - node _T_3483 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3484 = and(_T_3483, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3485 = and(_T_3484, bus_rsp_read) @[el2_lsu_bus_buffer.scala 481:81] - buf_data_en[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 481:24] - node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:48] - node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3488 = and(_T_3487, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 482:82] - buf_error_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3489 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3490 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 483:85] - node _T_3491 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 483:103] - node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:126] - node _T_3493 = mux(_T_3490, _T_3491, _T_3492) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3494 = mux(buf_error_en[0], _T_3489, _T_3493) @[el2_lsu_bus_buffer.scala 483:30] - buf_data_in[0] <= _T_3494 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3464 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3464 : @[Conditional.scala 39:67] + node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] + node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] + node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] + node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] + node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:104] + node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 478:91] + node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] + node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] + buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 478:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 479:29] + node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] + buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 480:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] + node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 482:56] + node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] + node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 482:44] + node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] + node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] + node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 482:74] + buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] + buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 483:28] + node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] + buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 484:24] + node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] + node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] + buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] + node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] + node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] + node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] + node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 486:30] + buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 486:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3495 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3495 : @[Conditional.scala 39:67] - node _T_3496 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3497 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 486:94] - node _T_3498 = eq(_T_3497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3499 = and(_T_3496, _T_3498) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3500 = or(io.dec_tlu_force_halt, _T_3499) @[el2_lsu_bus_buffer.scala 486:55] - node _T_3501 = bits(_T_3500, 0, 0) @[el2_lsu_bus_buffer.scala 486:125] - node _T_3502 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:30] - node _T_3503 = and(buf_dual[0], _T_3502) @[el2_lsu_bus_buffer.scala 487:28] - node _T_3504 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 487:57] - node _T_3505 = eq(_T_3504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3506 = and(_T_3503, _T_3505) @[el2_lsu_bus_buffer.scala 487:45] - node _T_3507 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 487:90] - node _T_3508 = and(_T_3506, _T_3507) @[el2_lsu_bus_buffer.scala 487:61] - node _T_3509 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3510 = or(_T_3509, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:31] - node _T_3511 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:70] - node _T_3512 = and(buf_dual[0], _T_3511) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3513 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 488:97] - node _T_3514 = eq(_T_3513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:87] - node _T_3515 = and(_T_3512, _T_3514) @[el2_lsu_bus_buffer.scala 488:85] - node _T_3516 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3517 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3518 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3519 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3520 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3521 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3522 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3523 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3524 = mux(_T_3516, _T_3517, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3525 = mux(_T_3518, _T_3519, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3526 = mux(_T_3520, _T_3521, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3527 = mux(_T_3522, _T_3523, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3528 = or(_T_3524, _T_3525) @[Mux.scala 27:72] - node _T_3529 = or(_T_3528, _T_3526) @[Mux.scala 27:72] - node _T_3530 = or(_T_3529, _T_3527) @[Mux.scala 27:72] - wire _T_3531 : UInt<1> @[Mux.scala 27:72] - _T_3531 <= _T_3530 @[Mux.scala 27:72] - node _T_3532 = and(_T_3515, _T_3531) @[el2_lsu_bus_buffer.scala 488:101] - node _T_3533 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 488:167] - node _T_3534 = and(_T_3532, _T_3533) @[el2_lsu_bus_buffer.scala 488:138] - node _T_3535 = and(_T_3534, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:187] - node _T_3536 = or(_T_3510, _T_3535) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3537 = mux(_T_3536, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 488:16] - node _T_3538 = mux(_T_3508, UInt<3>("h04"), _T_3537) @[el2_lsu_bus_buffer.scala 487:14] - node _T_3539 = mux(_T_3501, UInt<3>("h00"), _T_3538) @[el2_lsu_bus_buffer.scala 486:31] - buf_nxtstate[0] <= _T_3539 @[el2_lsu_bus_buffer.scala 486:25] - node _T_3540 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3541 = and(bus_rsp_write, _T_3540) @[el2_lsu_bus_buffer.scala 489:52] - node _T_3542 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3543 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 491:23] - node _T_3544 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3545 = and(_T_3543, _T_3544) @[el2_lsu_bus_buffer.scala 491:27] - node _T_3546 = or(_T_3542, _T_3545) @[el2_lsu_bus_buffer.scala 490:77] - node _T_3547 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 492:26] - node _T_3548 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 492:54] - node _T_3549 = not(_T_3548) @[el2_lsu_bus_buffer.scala 492:44] - node _T_3550 = and(_T_3547, _T_3549) @[el2_lsu_bus_buffer.scala 492:42] - node _T_3551 = and(_T_3550, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 492:58] - node _T_3552 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3553 = and(_T_3551, _T_3552) @[el2_lsu_bus_buffer.scala 492:74] - node _T_3554 = or(_T_3546, _T_3553) @[el2_lsu_bus_buffer.scala 491:71] - node _T_3555 = and(bus_rsp_read, _T_3554) @[el2_lsu_bus_buffer.scala 490:25] - node _T_3556 = or(_T_3541, _T_3555) @[el2_lsu_bus_buffer.scala 489:105] - buf_resp_state_bus_en[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 489:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 493:29] - node _T_3557 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3558 = or(_T_3557, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 494:70] - buf_state_en[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 494:25] - node _T_3559 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:47] - node _T_3560 = and(_T_3559, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:62] - buf_data_en[0] <= _T_3560 @[el2_lsu_bus_buffer.scala 495:24] - node _T_3561 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3562 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 496:111] - node _T_3563 = and(bus_rsp_read_error, _T_3562) @[el2_lsu_bus_buffer.scala 496:91] - node _T_3564 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 497:42] - node _T_3565 = and(bus_rsp_read_error, _T_3564) @[el2_lsu_bus_buffer.scala 497:31] - node _T_3566 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3567 = and(_T_3565, _T_3566) @[el2_lsu_bus_buffer.scala 497:46] - node _T_3568 = or(_T_3563, _T_3567) @[el2_lsu_bus_buffer.scala 496:143] - node _T_3569 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 498:32] - node _T_3570 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 498:53] - node _T_3572 = or(_T_3568, _T_3571) @[el2_lsu_bus_buffer.scala 497:88] - node _T_3573 = and(_T_3561, _T_3572) @[el2_lsu_bus_buffer.scala 496:68] - buf_error_en[0] <= _T_3573 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3574 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:50] - node _T_3575 = and(buf_state_en[0], _T_3574) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3576 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 499:84] - node _T_3577 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 499:102] - node _T_3578 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:125] - node _T_3579 = mux(_T_3576, _T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 499:72] - node _T_3580 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:148] - node _T_3581 = mux(_T_3575, _T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[0] <= _T_3581 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3498 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3498 : @[Conditional.scala 39:67] + node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 489:71] + node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 489:55] + node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] + node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] + node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 490:28] + node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 490:57] + node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 490:45] + node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] + node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 490:61] + node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 491:27] + node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] + node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] + node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 491:97] + node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] + node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 491:85] + node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3527 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3528 = mux(_T_3521, _T_3522, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3529 = mux(_T_3523, _T_3524, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3530 = mux(_T_3525, _T_3526, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3531 = or(_T_3527, _T_3528) @[Mux.scala 27:72] + node _T_3532 = or(_T_3531, _T_3529) @[Mux.scala 27:72] + node _T_3533 = or(_T_3532, _T_3530) @[Mux.scala 27:72] + wire _T_3534 : UInt<1> @[Mux.scala 27:72] + _T_3534 <= _T_3533 @[Mux.scala 27:72] + node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 491:101] + node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] + node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 491:138] + node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] + node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 491:53] + node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] + node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 490:14] + node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 489:31] + buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 489:25] + node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 492:52] + node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:23] + node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 494:47] + node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 494:27] + node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 493:77] + node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 495:26] + node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 495:54] + node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 495:44] + node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 495:42] + node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 495:58] + node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 495:94] + node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 493:25] + node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 492:105] + buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 492:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 496:29] + node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] + buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] + buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 498:24] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 499:111] + node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 499:91] + node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 500:42] + node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 500:31] + node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 500:46] + node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 499:143] + node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] + node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 501:74] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 501:53] + node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 500:88] + node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 499:68] + buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] + node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 502:48] + node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] + node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] + node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] + node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 502:72] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] + node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 502:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 502:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3582 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3582 : @[Conditional.scala 39:67] - node _T_3583 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3584 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 502:86] - node _T_3585 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3586 = bits(_T_3585, 0, 0) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3587 = or(_T_3584, _T_3586) @[el2_lsu_bus_buffer.scala 502:90] - node _T_3588 = or(_T_3587, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:118] - node _T_3589 = mux(_T_3588, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:75] - node _T_3590 = mux(_T_3583, UInt<3>("h00"), _T_3589) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3591 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3592 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3593 = bits(_T_3592, 0, 0) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3594 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 504:58] - node _T_3595 = and(_T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 504:38] - node _T_3596 = or(_T_3591, _T_3595) @[el2_lsu_bus_buffer.scala 503:95] - node _T_3597 = and(bus_rsp_read, _T_3596) @[el2_lsu_bus_buffer.scala 503:45] - buf_state_bus_en[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 503:29] - node _T_3598 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_3599 = or(_T_3598, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[0] <= _T_3599 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3585 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3585 : @[Conditional.scala 39:67] + node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 505:86] + node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 505:90] + node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] + node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 506:66] + node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 507:58] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 507:38] + node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 506:95] + node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 506:45] + buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 506:29] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 508:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3600 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3600 : @[Conditional.scala 39:67] - node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3602 = mux(_T_3601, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3603 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 509:37] - node _T_3604 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 509:98] - node _T_3605 = and(buf_dual[0], _T_3604) @[el2_lsu_bus_buffer.scala 509:80] - node _T_3606 = or(_T_3603, _T_3605) @[el2_lsu_bus_buffer.scala 509:65] - node _T_3607 = or(_T_3606, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:112] - buf_state_en[0] <= _T_3607 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3603 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3603 : @[Conditional.scala 39:67] + node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 512:37] + node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] + node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 512:80] + node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 512:65] + node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] + buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3608 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3608 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 513:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 514:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 516:25] + node _T_3611 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3611 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] - node _T_3609 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:108] - reg _T_3610 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3609 : @[Reg.scala 28:19] - _T_3610 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + reg _T_3613 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3612 : @[Reg.scala 28:19] + _T_3613 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 519:18] - reg _T_3611 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 520:60] - _T_3611 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 520:60] - buf_ageQ[0] <= _T_3611 @[el2_lsu_bus_buffer.scala 520:17] - reg _T_3612 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 521:63] - _T_3612 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 521:63] - buf_rspageQ[0] <= _T_3612 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3613 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:109] - reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3613 : @[Reg.scala 28:19] - _T_3614 <= buf_dualtag_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3615 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 523:74] - node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 523:107] - reg _T_3617 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 522:18] + reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] + _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 523:60] + buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 523:17] + reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] + _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 524:63] + buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + reg _T_3617 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3616 : @[Reg.scala 28:19] - _T_3617 <= _T_3615 @[Reg.scala 28:23] + _T_3617 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 523:17] - node _T_3618 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 524:78] - node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 524:111] + buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 525:20] + node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 526:74] + node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3619 : @[Reg.scala 28:19] _T_3620 <= _T_3618 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 524:19] - node _T_3621 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 525:80] - node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:113] + buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 526:17] + node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:78] + node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3622 : @[Reg.scala 28:19] _T_3623 <= _T_3621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 525:20] - node _T_3624 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 526:78] - node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 527:19] + node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:80] + node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] reg _T_3626 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3625 : @[Reg.scala 28:19] _T_3626 <= _T_3624 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 526:19] - node _T_3627 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3627 : @[Conditional.scala 40:58] - node _T_3628 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 463:56] - node _T_3629 = mux(_T_3628, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 463:31] - buf_nxtstate[1] <= _T_3629 @[el2_lsu_bus_buffer.scala 463:25] - node _T_3630 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 464:45] - node _T_3631 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:77] - node _T_3632 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:97] - node _T_3633 = and(_T_3631, _T_3632) @[el2_lsu_bus_buffer.scala 464:95] - node _T_3634 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 464:117] - node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 464:112] - node _T_3636 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:144] - node _T_3637 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 464:166] - node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 464:161] - node _T_3639 = or(_T_3635, _T_3638) @[el2_lsu_bus_buffer.scala 464:132] - node _T_3640 = and(_T_3630, _T_3639) @[el2_lsu_bus_buffer.scala 464:63] - node _T_3641 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:206] - node _T_3642 = and(ibuf_drain_vld, _T_3641) @[el2_lsu_bus_buffer.scala 464:201] - node _T_3643 = or(_T_3640, _T_3642) @[el2_lsu_bus_buffer.scala 464:183] - buf_state_en[1] <= _T_3643 @[el2_lsu_bus_buffer.scala 464:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 465:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 466:24] - node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:52] - node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 467:47] - node _T_3646 = bits(_T_3645, 0, 0) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3647 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 467:90] - node _T_3648 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3649 = mux(_T_3646, _T_3647, _T_3648) @[el2_lsu_bus_buffer.scala 467:30] - buf_data_in[1] <= _T_3649 @[el2_lsu_bus_buffer.scala 467:24] + buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 528:20] + node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:78] + node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + reg _T_3629 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3628 : @[Reg.scala 28:19] + _T_3629 <= _T_3627 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 529:19] + node _T_3630 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3630 : @[Conditional.scala 40:58] + node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] + node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] + node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] + node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] + node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] + node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] + node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] + node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 467:161] + node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 467:132] + node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 467:63] + node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] + node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 467:201] + node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 467:183] + buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 467:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 468:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 469:24] + node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] + node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 470:47] + node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] + node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] + node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 470:30] + buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3650 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3650 : @[Conditional.scala 39:67] - node _T_3651 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3652 = mux(_T_3651, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3653 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 471:46] - buf_state_en[1] <= _T_3653 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3653 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3653 : @[Conditional.scala 39:67] + node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] + node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] + buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] + buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 474:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3654 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3654 : @[Conditional.scala 39:67] - node _T_3655 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 474:60] - node _T_3656 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 474:89] - node _T_3657 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 474:124] - node _T_3658 = and(_T_3656, _T_3657) @[el2_lsu_bus_buffer.scala 474:104] - node _T_3659 = mux(_T_3658, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 474:75] - node _T_3660 = mux(_T_3655, UInt<3>("h00"), _T_3659) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[1] <= _T_3660 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3661 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 475:48] - node _T_3662 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_3663 = and(obuf_merge, _T_3662) @[el2_lsu_bus_buffer.scala 475:91] - node _T_3664 = or(_T_3661, _T_3663) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3665 = and(_T_3664, obuf_valid) @[el2_lsu_bus_buffer.scala 475:135] - node _T_3666 = and(_T_3665, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 475:148] - buf_cmd_state_bus_en[1] <= _T_3666 @[el2_lsu_bus_buffer.scala 475:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 476:29] - node _T_3667 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3668 = or(_T_3667, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:70] - buf_state_en[1] <= _T_3668 @[el2_lsu_bus_buffer.scala 477:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 478:25] - node _T_3669 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 479:56] - node _T_3670 = eq(_T_3669, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3671 = and(buf_state_en[1], _T_3670) @[el2_lsu_bus_buffer.scala 479:44] - node _T_3672 = and(_T_3671, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:60] - node _T_3673 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:76] - node _T_3674 = and(_T_3672, _T_3673) @[el2_lsu_bus_buffer.scala 479:74] - buf_ldfwd_en[1] <= _T_3674 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3675 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 480:46] - buf_ldfwdtag_in[1] <= _T_3675 @[el2_lsu_bus_buffer.scala 480:28] - node _T_3676 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3677 = and(_T_3676, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3678 = and(_T_3677, bus_rsp_read) @[el2_lsu_bus_buffer.scala 481:81] - buf_data_en[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 481:24] - node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:48] - node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3681 = and(_T_3680, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 482:82] - buf_error_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3682 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3683 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 483:85] - node _T_3684 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 483:103] - node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:126] - node _T_3686 = mux(_T_3683, _T_3684, _T_3685) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3687 = mux(buf_error_en[1], _T_3682, _T_3686) @[el2_lsu_bus_buffer.scala 483:30] - buf_data_in[1] <= _T_3687 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3657 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3657 : @[Conditional.scala 39:67] + node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] + node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] + node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] + node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 478:104] + node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 478:91] + node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] + node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] + buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 478:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 479:29] + node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] + buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 480:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] + node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 482:56] + node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] + node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 482:44] + node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] + node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 482:74] + buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] + buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 483:28] + node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] + buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 484:24] + node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] + node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] + buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] + node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] + node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] + node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] + node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 486:30] + buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 486:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3688 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3688 : @[Conditional.scala 39:67] - node _T_3689 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3690 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 486:94] - node _T_3691 = eq(_T_3690, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3692 = and(_T_3689, _T_3691) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3693 = or(io.dec_tlu_force_halt, _T_3692) @[el2_lsu_bus_buffer.scala 486:55] - node _T_3694 = bits(_T_3693, 0, 0) @[el2_lsu_bus_buffer.scala 486:125] - node _T_3695 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:30] - node _T_3696 = and(buf_dual[1], _T_3695) @[el2_lsu_bus_buffer.scala 487:28] - node _T_3697 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 487:57] - node _T_3698 = eq(_T_3697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3699 = and(_T_3696, _T_3698) @[el2_lsu_bus_buffer.scala 487:45] - node _T_3700 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 487:90] - node _T_3701 = and(_T_3699, _T_3700) @[el2_lsu_bus_buffer.scala 487:61] - node _T_3702 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3703 = or(_T_3702, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:31] - node _T_3704 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:70] - node _T_3705 = and(buf_dual[1], _T_3704) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3706 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 488:97] - node _T_3707 = eq(_T_3706, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:87] - node _T_3708 = and(_T_3705, _T_3707) @[el2_lsu_bus_buffer.scala 488:85] - node _T_3709 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3710 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3711 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3712 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3713 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3714 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3715 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3716 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3717 = mux(_T_3709, _T_3710, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3718 = mux(_T_3711, _T_3712, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3719 = mux(_T_3713, _T_3714, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3720 = mux(_T_3715, _T_3716, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3721 = or(_T_3717, _T_3718) @[Mux.scala 27:72] - node _T_3722 = or(_T_3721, _T_3719) @[Mux.scala 27:72] - node _T_3723 = or(_T_3722, _T_3720) @[Mux.scala 27:72] - wire _T_3724 : UInt<1> @[Mux.scala 27:72] - _T_3724 <= _T_3723 @[Mux.scala 27:72] - node _T_3725 = and(_T_3708, _T_3724) @[el2_lsu_bus_buffer.scala 488:101] - node _T_3726 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 488:167] - node _T_3727 = and(_T_3725, _T_3726) @[el2_lsu_bus_buffer.scala 488:138] - node _T_3728 = and(_T_3727, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:187] - node _T_3729 = or(_T_3703, _T_3728) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3730 = mux(_T_3729, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 488:16] - node _T_3731 = mux(_T_3701, UInt<3>("h04"), _T_3730) @[el2_lsu_bus_buffer.scala 487:14] - node _T_3732 = mux(_T_3694, UInt<3>("h00"), _T_3731) @[el2_lsu_bus_buffer.scala 486:31] - buf_nxtstate[1] <= _T_3732 @[el2_lsu_bus_buffer.scala 486:25] - node _T_3733 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3734 = and(bus_rsp_write, _T_3733) @[el2_lsu_bus_buffer.scala 489:52] - node _T_3735 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3736 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 491:23] - node _T_3737 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3738 = and(_T_3736, _T_3737) @[el2_lsu_bus_buffer.scala 491:27] - node _T_3739 = or(_T_3735, _T_3738) @[el2_lsu_bus_buffer.scala 490:77] - node _T_3740 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 492:26] - node _T_3741 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 492:54] - node _T_3742 = not(_T_3741) @[el2_lsu_bus_buffer.scala 492:44] - node _T_3743 = and(_T_3740, _T_3742) @[el2_lsu_bus_buffer.scala 492:42] - node _T_3744 = and(_T_3743, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 492:58] - node _T_3745 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3746 = and(_T_3744, _T_3745) @[el2_lsu_bus_buffer.scala 492:74] - node _T_3747 = or(_T_3739, _T_3746) @[el2_lsu_bus_buffer.scala 491:71] - node _T_3748 = and(bus_rsp_read, _T_3747) @[el2_lsu_bus_buffer.scala 490:25] - node _T_3749 = or(_T_3734, _T_3748) @[el2_lsu_bus_buffer.scala 489:105] - buf_resp_state_bus_en[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 489:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 493:29] - node _T_3750 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3751 = or(_T_3750, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 494:70] - buf_state_en[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 494:25] - node _T_3752 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:47] - node _T_3753 = and(_T_3752, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:62] - buf_data_en[1] <= _T_3753 @[el2_lsu_bus_buffer.scala 495:24] - node _T_3754 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3755 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:111] - node _T_3756 = and(bus_rsp_read_error, _T_3755) @[el2_lsu_bus_buffer.scala 496:91] - node _T_3757 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 497:42] - node _T_3758 = and(bus_rsp_read_error, _T_3757) @[el2_lsu_bus_buffer.scala 497:31] - node _T_3759 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3760 = and(_T_3758, _T_3759) @[el2_lsu_bus_buffer.scala 497:46] - node _T_3761 = or(_T_3756, _T_3760) @[el2_lsu_bus_buffer.scala 496:143] - node _T_3762 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 498:32] - node _T_3763 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 498:53] - node _T_3765 = or(_T_3761, _T_3764) @[el2_lsu_bus_buffer.scala 497:88] - node _T_3766 = and(_T_3754, _T_3765) @[el2_lsu_bus_buffer.scala 496:68] - buf_error_en[1] <= _T_3766 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3767 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:50] - node _T_3768 = and(buf_state_en[1], _T_3767) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3769 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 499:84] - node _T_3770 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 499:102] - node _T_3771 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:125] - node _T_3772 = mux(_T_3769, _T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 499:72] - node _T_3773 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:148] - node _T_3774 = mux(_T_3768, _T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[1] <= _T_3774 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3691 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3691 : @[Conditional.scala 39:67] + node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 489:71] + node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 489:55] + node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] + node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] + node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 490:28] + node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 490:57] + node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 490:45] + node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 490:61] + node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 491:27] + node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] + node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] + node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 491:97] + node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] + node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 491:85] + node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3720 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3721 = mux(_T_3714, _T_3715, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3722 = mux(_T_3716, _T_3717, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3723 = mux(_T_3718, _T_3719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3724 = or(_T_3720, _T_3721) @[Mux.scala 27:72] + node _T_3725 = or(_T_3724, _T_3722) @[Mux.scala 27:72] + node _T_3726 = or(_T_3725, _T_3723) @[Mux.scala 27:72] + wire _T_3727 : UInt<1> @[Mux.scala 27:72] + _T_3727 <= _T_3726 @[Mux.scala 27:72] + node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 491:101] + node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] + node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 491:138] + node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] + node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 491:53] + node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] + node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 490:14] + node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 489:31] + buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 489:25] + node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 492:52] + node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:23] + node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 494:47] + node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 494:27] + node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 493:77] + node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 495:26] + node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 495:54] + node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 495:44] + node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 495:42] + node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 495:58] + node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 495:94] + node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 493:25] + node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 492:105] + buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 492:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 496:29] + node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] + buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] + buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 498:24] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 499:111] + node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 499:91] + node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 500:42] + node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 500:31] + node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 500:46] + node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 499:143] + node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] + node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 501:74] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 501:53] + node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 500:88] + node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 499:68] + buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] + node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 502:48] + node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] + node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] + node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] + node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 502:72] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] + node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 502:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 502:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3775 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3775 : @[Conditional.scala 39:67] - node _T_3776 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3777 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 502:86] - node _T_3778 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3779 = bits(_T_3778, 0, 0) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3780 = or(_T_3777, _T_3779) @[el2_lsu_bus_buffer.scala 502:90] - node _T_3781 = or(_T_3780, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:118] - node _T_3782 = mux(_T_3781, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:75] - node _T_3783 = mux(_T_3776, UInt<3>("h00"), _T_3782) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3784 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3785 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3786 = bits(_T_3785, 0, 0) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3787 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 504:58] - node _T_3788 = and(_T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 504:38] - node _T_3789 = or(_T_3784, _T_3788) @[el2_lsu_bus_buffer.scala 503:95] - node _T_3790 = and(bus_rsp_read, _T_3789) @[el2_lsu_bus_buffer.scala 503:45] - buf_state_bus_en[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 503:29] - node _T_3791 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_3792 = or(_T_3791, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[1] <= _T_3792 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3778 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3778 : @[Conditional.scala 39:67] + node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 505:86] + node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 505:90] + node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] + node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 506:66] + node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 507:58] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 507:38] + node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 506:95] + node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 506:45] + buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 506:29] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 508:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3793 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3793 : @[Conditional.scala 39:67] - node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3795 = mux(_T_3794, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3796 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 509:37] - node _T_3797 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 509:98] - node _T_3798 = and(buf_dual[1], _T_3797) @[el2_lsu_bus_buffer.scala 509:80] - node _T_3799 = or(_T_3796, _T_3798) @[el2_lsu_bus_buffer.scala 509:65] - node _T_3800 = or(_T_3799, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:112] - buf_state_en[1] <= _T_3800 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3796 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3796 : @[Conditional.scala 39:67] + node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 512:37] + node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] + node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 512:80] + node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 512:65] + node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] + buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3801 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3801 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 513:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 514:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 516:25] + node _T_3804 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3804 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] - node _T_3802 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:108] - reg _T_3803 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3802 : @[Reg.scala 28:19] - _T_3803 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + reg _T_3806 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3805 : @[Reg.scala 28:19] + _T_3806 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 519:18] - reg _T_3804 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 520:60] - _T_3804 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 520:60] - buf_ageQ[1] <= _T_3804 @[el2_lsu_bus_buffer.scala 520:17] - reg _T_3805 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 521:63] - _T_3805 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 521:63] - buf_rspageQ[1] <= _T_3805 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3806 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:109] - reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3806 : @[Reg.scala 28:19] - _T_3807 <= buf_dualtag_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 522:20] - node _T_3808 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 523:74] - node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 523:107] - reg _T_3810 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 522:18] + reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] + _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 523:60] + buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 523:17] + reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] + _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 524:63] + buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 524:20] + node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + reg _T_3810 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3809 : @[Reg.scala 28:19] - _T_3810 <= _T_3808 @[Reg.scala 28:23] + _T_3810 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 523:17] - node _T_3811 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 524:78] - node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 524:111] + buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 525:20] + node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 526:74] + node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3812 : @[Reg.scala 28:19] _T_3813 <= _T_3811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 524:19] - node _T_3814 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 525:80] - node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:113] + buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 526:17] + node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:78] + node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3815 : @[Reg.scala 28:19] _T_3816 <= _T_3814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 525:20] - node _T_3817 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 526:78] - node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 527:19] + node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:80] + node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] reg _T_3819 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3818 : @[Reg.scala 28:19] _T_3819 <= _T_3817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 526:19] - node _T_3820 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3820 : @[Conditional.scala 40:58] - node _T_3821 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 463:56] - node _T_3822 = mux(_T_3821, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 463:31] - buf_nxtstate[2] <= _T_3822 @[el2_lsu_bus_buffer.scala 463:25] - node _T_3823 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 464:45] - node _T_3824 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:77] - node _T_3825 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:97] - node _T_3826 = and(_T_3824, _T_3825) @[el2_lsu_bus_buffer.scala 464:95] - node _T_3827 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 464:117] - node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 464:112] - node _T_3829 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:144] - node _T_3830 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 464:166] - node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 464:161] - node _T_3832 = or(_T_3828, _T_3831) @[el2_lsu_bus_buffer.scala 464:132] - node _T_3833 = and(_T_3823, _T_3832) @[el2_lsu_bus_buffer.scala 464:63] - node _T_3834 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:206] - node _T_3835 = and(ibuf_drain_vld, _T_3834) @[el2_lsu_bus_buffer.scala 464:201] - node _T_3836 = or(_T_3833, _T_3835) @[el2_lsu_bus_buffer.scala 464:183] - buf_state_en[2] <= _T_3836 @[el2_lsu_bus_buffer.scala 464:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 465:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 466:24] - node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:52] - node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 467:47] - node _T_3839 = bits(_T_3838, 0, 0) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3840 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 467:90] - node _T_3841 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3842 = mux(_T_3839, _T_3840, _T_3841) @[el2_lsu_bus_buffer.scala 467:30] - buf_data_in[2] <= _T_3842 @[el2_lsu_bus_buffer.scala 467:24] + buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 528:20] + node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:78] + node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + reg _T_3822 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3821 : @[Reg.scala 28:19] + _T_3822 <= _T_3820 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 529:19] + node _T_3823 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3823 : @[Conditional.scala 40:58] + node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] + node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] + node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] + node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] + node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] + node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] + node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] + node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 467:161] + node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 467:132] + node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 467:63] + node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] + node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 467:201] + node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 467:183] + buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 467:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 468:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 469:24] + node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] + node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 470:47] + node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] + node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] + node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 470:30] + buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3843 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3843 : @[Conditional.scala 39:67] - node _T_3844 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3845 = mux(_T_3844, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3846 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 471:46] - buf_state_en[2] <= _T_3846 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3846 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3846 : @[Conditional.scala 39:67] + node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] + node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] + buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] + buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 474:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3847 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3847 : @[Conditional.scala 39:67] - node _T_3848 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 474:60] - node _T_3849 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 474:89] - node _T_3850 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 474:124] - node _T_3851 = and(_T_3849, _T_3850) @[el2_lsu_bus_buffer.scala 474:104] - node _T_3852 = mux(_T_3851, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 474:75] - node _T_3853 = mux(_T_3848, UInt<3>("h00"), _T_3852) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[2] <= _T_3853 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3854 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:48] - node _T_3855 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_3856 = and(obuf_merge, _T_3855) @[el2_lsu_bus_buffer.scala 475:91] - node _T_3857 = or(_T_3854, _T_3856) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3858 = and(_T_3857, obuf_valid) @[el2_lsu_bus_buffer.scala 475:135] - node _T_3859 = and(_T_3858, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 475:148] - buf_cmd_state_bus_en[2] <= _T_3859 @[el2_lsu_bus_buffer.scala 475:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 476:29] - node _T_3860 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3861 = or(_T_3860, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:70] - buf_state_en[2] <= _T_3861 @[el2_lsu_bus_buffer.scala 477:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 478:25] - node _T_3862 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 479:56] - node _T_3863 = eq(_T_3862, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3864 = and(buf_state_en[2], _T_3863) @[el2_lsu_bus_buffer.scala 479:44] - node _T_3865 = and(_T_3864, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:60] - node _T_3866 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:76] - node _T_3867 = and(_T_3865, _T_3866) @[el2_lsu_bus_buffer.scala 479:74] - buf_ldfwd_en[2] <= _T_3867 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3868 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 480:46] - buf_ldfwdtag_in[2] <= _T_3868 @[el2_lsu_bus_buffer.scala 480:28] - node _T_3869 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3870 = and(_T_3869, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3871 = and(_T_3870, bus_rsp_read) @[el2_lsu_bus_buffer.scala 481:81] - buf_data_en[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 481:24] - node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:48] - node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3874 = and(_T_3873, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 482:82] - buf_error_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3875 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3876 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 483:85] - node _T_3877 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 483:103] - node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:126] - node _T_3879 = mux(_T_3876, _T_3877, _T_3878) @[el2_lsu_bus_buffer.scala 483:73] - node _T_3880 = mux(buf_error_en[2], _T_3875, _T_3879) @[el2_lsu_bus_buffer.scala 483:30] - buf_data_in[2] <= _T_3880 @[el2_lsu_bus_buffer.scala 483:24] + node _T_3850 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3850 : @[Conditional.scala 39:67] + node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] + node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] + node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 477:104] + node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] + node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 478:104] + node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 478:91] + node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] + node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] + buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 478:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 479:29] + node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] + buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 480:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] + node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 482:56] + node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] + node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 482:44] + node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] + node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 482:74] + buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] + buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 483:28] + node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] + buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 484:24] + node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] + node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] + buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] + node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] + node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] + node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] + node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 486:30] + buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 486:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3881 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3881 : @[Conditional.scala 39:67] - node _T_3882 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3883 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 486:94] - node _T_3884 = eq(_T_3883, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3885 = and(_T_3882, _T_3884) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3886 = or(io.dec_tlu_force_halt, _T_3885) @[el2_lsu_bus_buffer.scala 486:55] - node _T_3887 = bits(_T_3886, 0, 0) @[el2_lsu_bus_buffer.scala 486:125] - node _T_3888 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:30] - node _T_3889 = and(buf_dual[2], _T_3888) @[el2_lsu_bus_buffer.scala 487:28] - node _T_3890 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 487:57] - node _T_3891 = eq(_T_3890, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3892 = and(_T_3889, _T_3891) @[el2_lsu_bus_buffer.scala 487:45] - node _T_3893 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 487:90] - node _T_3894 = and(_T_3892, _T_3893) @[el2_lsu_bus_buffer.scala 487:61] - node _T_3895 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 488:27] - node _T_3896 = or(_T_3895, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:31] - node _T_3897 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:70] - node _T_3898 = and(buf_dual[2], _T_3897) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3899 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 488:97] - node _T_3900 = eq(_T_3899, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:87] - node _T_3901 = and(_T_3898, _T_3900) @[el2_lsu_bus_buffer.scala 488:85] - node _T_3902 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3903 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3904 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3905 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3906 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3907 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3908 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3909 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3910 = mux(_T_3902, _T_3903, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3911 = mux(_T_3904, _T_3905, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3912 = mux(_T_3906, _T_3907, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3913 = mux(_T_3908, _T_3909, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3914 = or(_T_3910, _T_3911) @[Mux.scala 27:72] - node _T_3915 = or(_T_3914, _T_3912) @[Mux.scala 27:72] - node _T_3916 = or(_T_3915, _T_3913) @[Mux.scala 27:72] - wire _T_3917 : UInt<1> @[Mux.scala 27:72] - _T_3917 <= _T_3916 @[Mux.scala 27:72] - node _T_3918 = and(_T_3901, _T_3917) @[el2_lsu_bus_buffer.scala 488:101] - node _T_3919 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 488:167] - node _T_3920 = and(_T_3918, _T_3919) @[el2_lsu_bus_buffer.scala 488:138] - node _T_3921 = and(_T_3920, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:187] - node _T_3922 = or(_T_3896, _T_3921) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3923 = mux(_T_3922, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 488:16] - node _T_3924 = mux(_T_3894, UInt<3>("h04"), _T_3923) @[el2_lsu_bus_buffer.scala 487:14] - node _T_3925 = mux(_T_3887, UInt<3>("h00"), _T_3924) @[el2_lsu_bus_buffer.scala 486:31] - buf_nxtstate[2] <= _T_3925 @[el2_lsu_bus_buffer.scala 486:25] - node _T_3926 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3927 = and(bus_rsp_write, _T_3926) @[el2_lsu_bus_buffer.scala 489:52] - node _T_3928 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3929 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 491:23] - node _T_3930 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3931 = and(_T_3929, _T_3930) @[el2_lsu_bus_buffer.scala 491:27] - node _T_3932 = or(_T_3928, _T_3931) @[el2_lsu_bus_buffer.scala 490:77] - node _T_3933 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 492:26] - node _T_3934 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 492:54] - node _T_3935 = not(_T_3934) @[el2_lsu_bus_buffer.scala 492:44] - node _T_3936 = and(_T_3933, _T_3935) @[el2_lsu_bus_buffer.scala 492:42] - node _T_3937 = and(_T_3936, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 492:58] - node _T_3938 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3939 = and(_T_3937, _T_3938) @[el2_lsu_bus_buffer.scala 492:74] - node _T_3940 = or(_T_3932, _T_3939) @[el2_lsu_bus_buffer.scala 491:71] - node _T_3941 = and(bus_rsp_read, _T_3940) @[el2_lsu_bus_buffer.scala 490:25] - node _T_3942 = or(_T_3927, _T_3941) @[el2_lsu_bus_buffer.scala 489:105] - buf_resp_state_bus_en[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 489:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 493:29] - node _T_3943 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3944 = or(_T_3943, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 494:70] - buf_state_en[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 494:25] - node _T_3945 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:47] - node _T_3946 = and(_T_3945, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:62] - buf_data_en[2] <= _T_3946 @[el2_lsu_bus_buffer.scala 495:24] - node _T_3947 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3948 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 496:111] - node _T_3949 = and(bus_rsp_read_error, _T_3948) @[el2_lsu_bus_buffer.scala 496:91] - node _T_3950 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 497:42] - node _T_3951 = and(bus_rsp_read_error, _T_3950) @[el2_lsu_bus_buffer.scala 497:31] - node _T_3952 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3953 = and(_T_3951, _T_3952) @[el2_lsu_bus_buffer.scala 497:46] - node _T_3954 = or(_T_3949, _T_3953) @[el2_lsu_bus_buffer.scala 496:143] - node _T_3955 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 498:32] - node _T_3956 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 498:53] - node _T_3958 = or(_T_3954, _T_3957) @[el2_lsu_bus_buffer.scala 497:88] - node _T_3959 = and(_T_3947, _T_3958) @[el2_lsu_bus_buffer.scala 496:68] - buf_error_en[2] <= _T_3959 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3960 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:50] - node _T_3961 = and(buf_state_en[2], _T_3960) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3962 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 499:84] - node _T_3963 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 499:102] - node _T_3964 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:125] - node _T_3965 = mux(_T_3962, _T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 499:72] - node _T_3966 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:148] - node _T_3967 = mux(_T_3961, _T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[2] <= _T_3967 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3884 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3884 : @[Conditional.scala 39:67] + node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 489:71] + node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 489:55] + node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] + node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] + node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 490:28] + node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 490:57] + node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 490:45] + node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 490:61] + node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 491:27] + node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] + node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] + node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 491:97] + node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] + node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 491:85] + node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3913 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3914 = mux(_T_3907, _T_3908, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3915 = mux(_T_3909, _T_3910, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3916 = mux(_T_3911, _T_3912, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3917 = or(_T_3913, _T_3914) @[Mux.scala 27:72] + node _T_3918 = or(_T_3917, _T_3915) @[Mux.scala 27:72] + node _T_3919 = or(_T_3918, _T_3916) @[Mux.scala 27:72] + wire _T_3920 : UInt<1> @[Mux.scala 27:72] + _T_3920 <= _T_3919 @[Mux.scala 27:72] + node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 491:101] + node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] + node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 491:138] + node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] + node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 491:53] + node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] + node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 490:14] + node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 489:31] + buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 489:25] + node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 492:52] + node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:23] + node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 494:47] + node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 494:27] + node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 493:77] + node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 495:26] + node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 495:54] + node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 495:44] + node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 495:42] + node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 495:58] + node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 495:94] + node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 493:25] + node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 492:105] + buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 492:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 496:29] + node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] + buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] + buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 498:24] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:111] + node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 499:91] + node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 500:42] + node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 500:31] + node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 500:46] + node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 499:143] + node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] + node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:74] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 501:53] + node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 500:88] + node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 499:68] + buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] + node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 502:48] + node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] + node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] + node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] + node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 502:72] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] + node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 502:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 502:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3968 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3968 : @[Conditional.scala 39:67] - node _T_3969 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3970 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 502:86] - node _T_3971 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3972 = bits(_T_3971, 0, 0) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3973 = or(_T_3970, _T_3972) @[el2_lsu_bus_buffer.scala 502:90] - node _T_3974 = or(_T_3973, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:118] - node _T_3975 = mux(_T_3974, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:75] - node _T_3976 = mux(_T_3969, UInt<3>("h00"), _T_3975) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3977 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3978 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3979 = bits(_T_3978, 0, 0) @[el2_lsu_bus_buffer.scala 504:21] - node _T_3980 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 504:58] - node _T_3981 = and(_T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 504:38] - node _T_3982 = or(_T_3977, _T_3981) @[el2_lsu_bus_buffer.scala 503:95] - node _T_3983 = and(bus_rsp_read, _T_3982) @[el2_lsu_bus_buffer.scala 503:45] - buf_state_bus_en[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 503:29] - node _T_3984 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_3985 = or(_T_3984, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[2] <= _T_3985 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3971 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3971 : @[Conditional.scala 39:67] + node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 505:86] + node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] + node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 505:90] + node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] + node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 506:66] + node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] + node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 507:58] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 507:38] + node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 506:95] + node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 506:45] + buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 506:29] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 508:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3986 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3986 : @[Conditional.scala 39:67] - node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3988 = mux(_T_3987, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3989 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 509:37] - node _T_3990 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 509:98] - node _T_3991 = and(buf_dual[2], _T_3990) @[el2_lsu_bus_buffer.scala 509:80] - node _T_3992 = or(_T_3989, _T_3991) @[el2_lsu_bus_buffer.scala 509:65] - node _T_3993 = or(_T_3992, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:112] - buf_state_en[2] <= _T_3993 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3989 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3989 : @[Conditional.scala 39:67] + node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 512:37] + node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] + node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 512:80] + node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 512:65] + node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] + buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3994 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3994 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 513:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 514:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 516:25] + node _T_3997 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3997 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] - node _T_3995 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:108] - reg _T_3996 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3995 : @[Reg.scala 28:19] - _T_3996 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + reg _T_3999 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3998 : @[Reg.scala 28:19] + _T_3999 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 519:18] - reg _T_3997 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 520:60] - _T_3997 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 520:60] - buf_ageQ[2] <= _T_3997 @[el2_lsu_bus_buffer.scala 520:17] - reg _T_3998 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 521:63] - _T_3998 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 521:63] - buf_rspageQ[2] <= _T_3998 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3999 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:109] - reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3999 : @[Reg.scala 28:19] - _T_4000 <= buf_dualtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 522:20] - node _T_4001 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 523:74] - node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 523:107] - reg _T_4003 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 522:18] + reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] + _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 523:60] + buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 523:17] + reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] + _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 524:63] + buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 524:20] + node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + reg _T_4003 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4002 : @[Reg.scala 28:19] - _T_4003 <= _T_4001 @[Reg.scala 28:23] + _T_4003 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 523:17] - node _T_4004 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 524:78] - node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 524:111] + buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 525:20] + node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 526:74] + node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4005 : @[Reg.scala 28:19] _T_4006 <= _T_4004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 524:19] - node _T_4007 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 525:80] - node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:113] + buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 526:17] + node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:78] + node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4008 : @[Reg.scala 28:19] _T_4009 <= _T_4007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 525:20] - node _T_4010 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 526:78] - node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 527:19] + node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:80] + node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] reg _T_4012 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4011 : @[Reg.scala 28:19] _T_4012 <= _T_4010 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 526:19] - node _T_4013 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4013 : @[Conditional.scala 40:58] - node _T_4014 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 463:56] - node _T_4015 = mux(_T_4014, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 463:31] - buf_nxtstate[3] <= _T_4015 @[el2_lsu_bus_buffer.scala 463:25] - node _T_4016 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 464:45] - node _T_4017 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:77] - node _T_4018 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:97] - node _T_4019 = and(_T_4017, _T_4018) @[el2_lsu_bus_buffer.scala 464:95] - node _T_4020 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 464:117] - node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 464:112] - node _T_4022 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:144] - node _T_4023 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 464:166] - node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 464:161] - node _T_4025 = or(_T_4021, _T_4024) @[el2_lsu_bus_buffer.scala 464:132] - node _T_4026 = and(_T_4016, _T_4025) @[el2_lsu_bus_buffer.scala 464:63] - node _T_4027 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:206] - node _T_4028 = and(ibuf_drain_vld, _T_4027) @[el2_lsu_bus_buffer.scala 464:201] - node _T_4029 = or(_T_4026, _T_4028) @[el2_lsu_bus_buffer.scala 464:183] - buf_state_en[3] <= _T_4029 @[el2_lsu_bus_buffer.scala 464:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 465:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 466:24] - node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:52] - node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 467:47] - node _T_4032 = bits(_T_4031, 0, 0) @[el2_lsu_bus_buffer.scala 467:73] - node _T_4033 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 467:90] - node _T_4034 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 467:114] - node _T_4035 = mux(_T_4032, _T_4033, _T_4034) @[el2_lsu_bus_buffer.scala 467:30] - buf_data_in[3] <= _T_4035 @[el2_lsu_bus_buffer.scala 467:24] + buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 528:20] + node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:78] + node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + reg _T_4015 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4014 : @[Reg.scala 28:19] + _T_4015 <= _T_4013 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 529:19] + node _T_4016 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4016 : @[Conditional.scala 40:58] + node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] + node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 466:25] + node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] + node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] + node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] + node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 467:95] + node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] + node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 467:112] + node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] + node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] + node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 467:161] + node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 467:132] + node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 467:63] + node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] + node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 467:201] + node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 467:183] + buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 467:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 468:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 469:24] + node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] + node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 470:47] + node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] + node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] + node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] + node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 470:30] + buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4036 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4036 : @[Conditional.scala 39:67] - node _T_4037 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_4038 = mux(_T_4037, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 470:25] - node _T_4039 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 471:46] - buf_state_en[3] <= _T_4039 @[el2_lsu_bus_buffer.scala 471:25] + node _T_4039 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4039 : @[Conditional.scala 39:67] + node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] + node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] + buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 473:25] + node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] + buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 474:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4040 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4040 : @[Conditional.scala 39:67] - node _T_4041 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 474:60] - node _T_4042 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 474:89] - node _T_4043 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 474:124] - node _T_4044 = and(_T_4042, _T_4043) @[el2_lsu_bus_buffer.scala 474:104] - node _T_4045 = mux(_T_4044, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 474:75] - node _T_4046 = mux(_T_4041, UInt<3>("h00"), _T_4045) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[3] <= _T_4046 @[el2_lsu_bus_buffer.scala 474:25] - node _T_4047 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 475:48] - node _T_4048 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_4049 = and(obuf_merge, _T_4048) @[el2_lsu_bus_buffer.scala 475:91] - node _T_4050 = or(_T_4047, _T_4049) @[el2_lsu_bus_buffer.scala 475:77] - node _T_4051 = and(_T_4050, obuf_valid) @[el2_lsu_bus_buffer.scala 475:135] - node _T_4052 = and(_T_4051, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 475:148] - buf_cmd_state_bus_en[3] <= _T_4052 @[el2_lsu_bus_buffer.scala 475:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 476:29] - node _T_4053 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:49] - node _T_4054 = or(_T_4053, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:70] - buf_state_en[3] <= _T_4054 @[el2_lsu_bus_buffer.scala 477:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 478:25] - node _T_4055 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 479:56] - node _T_4056 = eq(_T_4055, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:46] - node _T_4057 = and(buf_state_en[3], _T_4056) @[el2_lsu_bus_buffer.scala 479:44] - node _T_4058 = and(_T_4057, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:60] - node _T_4059 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:76] - node _T_4060 = and(_T_4058, _T_4059) @[el2_lsu_bus_buffer.scala 479:74] - buf_ldfwd_en[3] <= _T_4060 @[el2_lsu_bus_buffer.scala 479:25] - node _T_4061 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 480:46] - buf_ldfwdtag_in[3] <= _T_4061 @[el2_lsu_bus_buffer.scala 480:28] - node _T_4062 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 481:47] - node _T_4063 = and(_T_4062, obuf_nosend) @[el2_lsu_bus_buffer.scala 481:67] - node _T_4064 = and(_T_4063, bus_rsp_read) @[el2_lsu_bus_buffer.scala 481:81] - buf_data_en[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 481:24] - node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:48] - node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:68] - node _T_4067 = and(_T_4066, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 482:82] - buf_error_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 482:25] - node _T_4068 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:61] - node _T_4069 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 483:85] - node _T_4070 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 483:103] - node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 483:126] - node _T_4072 = mux(_T_4069, _T_4070, _T_4071) @[el2_lsu_bus_buffer.scala 483:73] - node _T_4073 = mux(buf_error_en[3], _T_4068, _T_4072) @[el2_lsu_bus_buffer.scala 483:30] - buf_data_in[3] <= _T_4073 @[el2_lsu_bus_buffer.scala 483:24] + node _T_4043 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4043 : @[Conditional.scala 39:67] + node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] + node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] + node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 477:104] + node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] + node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 477:25] + node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 478:48] + node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 478:104] + node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 478:91] + node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 478:77] + node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] + node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] + buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 478:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 479:29] + node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] + node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] + buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 480:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] + node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 482:56] + node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] + node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 482:44] + node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] + node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 482:74] + buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 482:25] + node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] + buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 483:28] + node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] + node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] + node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] + buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 484:24] + node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] + node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] + node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] + buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 485:25] + node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] + node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] + node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] + node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] + node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 486:73] + node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 486:30] + buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 486:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4074 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4074 : @[Conditional.scala 39:67] - node _T_4075 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] - node _T_4076 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 486:94] - node _T_4077 = eq(_T_4076, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:73] - node _T_4078 = and(_T_4075, _T_4077) @[el2_lsu_bus_buffer.scala 486:71] - node _T_4079 = or(io.dec_tlu_force_halt, _T_4078) @[el2_lsu_bus_buffer.scala 486:55] - node _T_4080 = bits(_T_4079, 0, 0) @[el2_lsu_bus_buffer.scala 486:125] - node _T_4081 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:30] - node _T_4082 = and(buf_dual[3], _T_4081) @[el2_lsu_bus_buffer.scala 487:28] - node _T_4083 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 487:57] - node _T_4084 = eq(_T_4083, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:47] - node _T_4085 = and(_T_4082, _T_4084) @[el2_lsu_bus_buffer.scala 487:45] - node _T_4086 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 487:90] - node _T_4087 = and(_T_4085, _T_4086) @[el2_lsu_bus_buffer.scala 487:61] - node _T_4088 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 488:27] - node _T_4089 = or(_T_4088, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:31] - node _T_4090 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:70] - node _T_4091 = and(buf_dual[3], _T_4090) @[el2_lsu_bus_buffer.scala 488:68] - node _T_4092 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 488:97] - node _T_4093 = eq(_T_4092, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:87] - node _T_4094 = and(_T_4091, _T_4093) @[el2_lsu_bus_buffer.scala 488:85] - node _T_4095 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4096 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4097 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4098 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4099 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4100 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4101 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4102 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4103 = mux(_T_4095, _T_4096, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4104 = mux(_T_4097, _T_4098, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4105 = mux(_T_4099, _T_4100, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4106 = mux(_T_4101, _T_4102, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4107 = or(_T_4103, _T_4104) @[Mux.scala 27:72] - node _T_4108 = or(_T_4107, _T_4105) @[Mux.scala 27:72] - node _T_4109 = or(_T_4108, _T_4106) @[Mux.scala 27:72] - wire _T_4110 : UInt<1> @[Mux.scala 27:72] - _T_4110 <= _T_4109 @[Mux.scala 27:72] - node _T_4111 = and(_T_4094, _T_4110) @[el2_lsu_bus_buffer.scala 488:101] - node _T_4112 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 488:167] - node _T_4113 = and(_T_4111, _T_4112) @[el2_lsu_bus_buffer.scala 488:138] - node _T_4114 = and(_T_4113, any_done_wait_state) @[el2_lsu_bus_buffer.scala 488:187] - node _T_4115 = or(_T_4089, _T_4114) @[el2_lsu_bus_buffer.scala 488:53] - node _T_4116 = mux(_T_4115, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 488:16] - node _T_4117 = mux(_T_4087, UInt<3>("h04"), _T_4116) @[el2_lsu_bus_buffer.scala 487:14] - node _T_4118 = mux(_T_4080, UInt<3>("h00"), _T_4117) @[el2_lsu_bus_buffer.scala 486:31] - buf_nxtstate[3] <= _T_4118 @[el2_lsu_bus_buffer.scala 486:25] - node _T_4119 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_4120 = and(bus_rsp_write, _T_4119) @[el2_lsu_bus_buffer.scala 489:52] - node _T_4121 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_4122 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 491:23] - node _T_4123 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 491:47] - node _T_4124 = and(_T_4122, _T_4123) @[el2_lsu_bus_buffer.scala 491:27] - node _T_4125 = or(_T_4121, _T_4124) @[el2_lsu_bus_buffer.scala 490:77] - node _T_4126 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 492:26] - node _T_4127 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 492:54] - node _T_4128 = not(_T_4127) @[el2_lsu_bus_buffer.scala 492:44] - node _T_4129 = and(_T_4126, _T_4128) @[el2_lsu_bus_buffer.scala 492:42] - node _T_4130 = and(_T_4129, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 492:58] - node _T_4131 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 492:94] - node _T_4132 = and(_T_4130, _T_4131) @[el2_lsu_bus_buffer.scala 492:74] - node _T_4133 = or(_T_4125, _T_4132) @[el2_lsu_bus_buffer.scala 491:71] - node _T_4134 = and(bus_rsp_read, _T_4133) @[el2_lsu_bus_buffer.scala 490:25] - node _T_4135 = or(_T_4120, _T_4134) @[el2_lsu_bus_buffer.scala 489:105] - buf_resp_state_bus_en[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 489:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 493:29] - node _T_4136 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 494:49] - node _T_4137 = or(_T_4136, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 494:70] - buf_state_en[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 494:25] - node _T_4138 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:47] - node _T_4139 = and(_T_4138, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:62] - buf_data_en[3] <= _T_4139 @[el2_lsu_bus_buffer.scala 495:24] - node _T_4140 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_4141 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 496:111] - node _T_4142 = and(bus_rsp_read_error, _T_4141) @[el2_lsu_bus_buffer.scala 496:91] - node _T_4143 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 497:42] - node _T_4144 = and(bus_rsp_read_error, _T_4143) @[el2_lsu_bus_buffer.scala 497:31] - node _T_4145 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 497:66] - node _T_4146 = and(_T_4144, _T_4145) @[el2_lsu_bus_buffer.scala 497:46] - node _T_4147 = or(_T_4142, _T_4146) @[el2_lsu_bus_buffer.scala 496:143] - node _T_4148 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 498:32] - node _T_4149 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 498:74] - node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 498:53] - node _T_4151 = or(_T_4147, _T_4150) @[el2_lsu_bus_buffer.scala 497:88] - node _T_4152 = and(_T_4140, _T_4151) @[el2_lsu_bus_buffer.scala 496:68] - buf_error_en[3] <= _T_4152 @[el2_lsu_bus_buffer.scala 496:25] - node _T_4153 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:50] - node _T_4154 = and(buf_state_en[3], _T_4153) @[el2_lsu_bus_buffer.scala 499:48] - node _T_4155 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 499:84] - node _T_4156 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 499:102] - node _T_4157 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:125] - node _T_4158 = mux(_T_4155, _T_4156, _T_4157) @[el2_lsu_bus_buffer.scala 499:72] - node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 499:148] - node _T_4160 = mux(_T_4154, _T_4158, _T_4159) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[3] <= _T_4160 @[el2_lsu_bus_buffer.scala 499:24] + node _T_4077 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4077 : @[Conditional.scala 39:67] + node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 489:67] + node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] + node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] + node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 489:71] + node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 489:55] + node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] + node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] + node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 490:28] + node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 490:57] + node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] + node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 490:45] + node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 490:61] + node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 491:27] + node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] + node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] + node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 491:68] + node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 491:97] + node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] + node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 491:85] + node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4106 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4107 = mux(_T_4100, _T_4101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4108 = mux(_T_4102, _T_4103, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4109 = mux(_T_4104, _T_4105, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4110 = or(_T_4106, _T_4107) @[Mux.scala 27:72] + node _T_4111 = or(_T_4110, _T_4108) @[Mux.scala 27:72] + node _T_4112 = or(_T_4111, _T_4109) @[Mux.scala 27:72] + wire _T_4113 : UInt<1> @[Mux.scala 27:72] + _T_4113 <= _T_4112 @[Mux.scala 27:72] + node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 491:101] + node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] + node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 491:138] + node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] + node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 491:53] + node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] + node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 490:14] + node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 489:31] + buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 489:25] + node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 492:52] + node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:23] + node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 494:47] + node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 494:27] + node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 493:77] + node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 495:26] + node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 495:54] + node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 495:44] + node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 495:42] + node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 495:58] + node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 495:94] + node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 495:74] + node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 494:71] + node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 493:25] + node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 492:105] + buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 492:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 496:29] + node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] + node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] + buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 497:25] + node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] + node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] + buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 498:24] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] + node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 499:111] + node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 499:91] + node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 500:42] + node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 500:31] + node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 500:46] + node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 499:143] + node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] + node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 501:74] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 501:53] + node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 500:88] + node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 499:68] + buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 499:25] + node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] + node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 502:48] + node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] + node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] + node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] + node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 502:72] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] + node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 502:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 502:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4161 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4161 : @[Conditional.scala 39:67] - node _T_4162 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_4163 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 502:86] - node _T_4164 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 502:101] - node _T_4165 = bits(_T_4164, 0, 0) @[el2_lsu_bus_buffer.scala 502:101] - node _T_4166 = or(_T_4163, _T_4165) @[el2_lsu_bus_buffer.scala 502:90] - node _T_4167 = or(_T_4166, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:118] - node _T_4168 = mux(_T_4167, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:75] - node _T_4169 = mux(_T_4162, UInt<3>("h00"), _T_4168) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 502:25] - node _T_4170 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_4171 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 504:21] - node _T_4172 = bits(_T_4171, 0, 0) @[el2_lsu_bus_buffer.scala 504:21] - node _T_4173 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 504:58] - node _T_4174 = and(_T_4172, _T_4173) @[el2_lsu_bus_buffer.scala 504:38] - node _T_4175 = or(_T_4170, _T_4174) @[el2_lsu_bus_buffer.scala 503:95] - node _T_4176 = and(bus_rsp_read, _T_4175) @[el2_lsu_bus_buffer.scala 503:45] - buf_state_bus_en[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 503:29] - node _T_4177 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_4178 = or(_T_4177, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[3] <= _T_4178 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4164 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4164 : @[Conditional.scala 39:67] + node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 505:86] + node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 505:101] + node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] + node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 505:90] + node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] + node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 506:66] + node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 507:21] + node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] + node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 507:58] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 507:38] + node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 506:95] + node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 506:45] + buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 506:29] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 508:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4179 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4179 : @[Conditional.scala 39:67] - node _T_4180 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_4181 = mux(_T_4180, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 508:25] - node _T_4182 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 509:37] - node _T_4183 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 509:98] - node _T_4184 = and(buf_dual[3], _T_4183) @[el2_lsu_bus_buffer.scala 509:80] - node _T_4185 = or(_T_4182, _T_4184) @[el2_lsu_bus_buffer.scala 509:65] - node _T_4186 = or(_T_4185, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:112] - buf_state_en[3] <= _T_4186 @[el2_lsu_bus_buffer.scala 509:25] + node _T_4182 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4182 : @[Conditional.scala 39:67] + node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 511:25] + node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 512:37] + node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] + node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 512:80] + node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 512:65] + node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] + buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4187 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4187 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 512:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 513:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 514:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 516:25] + node _T_4190 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4190 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] - node _T_4188 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:108] - reg _T_4189 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4188 : @[Reg.scala 28:19] - _T_4189 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + reg _T_4192 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4191 : @[Reg.scala 28:19] + _T_4192 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 519:18] - reg _T_4190 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 520:60] - _T_4190 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 520:60] - buf_ageQ[3] <= _T_4190 @[el2_lsu_bus_buffer.scala 520:17] - reg _T_4191 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 521:63] - _T_4191 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 521:63] - buf_rspageQ[3] <= _T_4191 @[el2_lsu_bus_buffer.scala 521:20] - node _T_4192 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:109] - reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4192 : @[Reg.scala 28:19] - _T_4193 <= buf_dualtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 522:20] - node _T_4194 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 523:74] - node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 523:107] - reg _T_4196 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 522:18] + reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] + _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 523:60] + buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 523:17] + reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] + _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 524:63] + buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 524:20] + node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + reg _T_4196 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4195 : @[Reg.scala 28:19] - _T_4196 <= _T_4194 @[Reg.scala 28:23] + _T_4196 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 523:17] - node _T_4197 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 524:78] - node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 524:111] + buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 525:20] + node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 526:74] + node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4198 : @[Reg.scala 28:19] _T_4199 <= _T_4197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 524:19] - node _T_4200 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 525:80] - node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:113] + buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 526:17] + node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:78] + node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4201 : @[Reg.scala 28:19] _T_4202 <= _T_4200 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 525:20] - node _T_4203 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 526:78] - node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:111] + buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 527:19] + node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:80] + node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] reg _T_4205 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4204 : @[Reg.scala 28:19] _T_4205 <= _T_4203 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 526:19] - node _T_4206 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:133] - reg _T_4207 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4206 : @[Reg.scala 28:19] - _T_4207 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 528:20] + node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:78] + node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4207 : @[Reg.scala 28:19] + _T_4208 <= _T_4206 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4208 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:133] - reg _T_4209 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4208 : @[Reg.scala 28:19] - _T_4209 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 529:19] + node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4209 : @[Reg.scala 28:19] + _T_4210 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4210 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:133] - reg _T_4211 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4210 : @[Reg.scala 28:19] - _T_4211 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4211 : @[Reg.scala 28:19] + _T_4212 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4212 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:133] - reg _T_4213 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4212 : @[Reg.scala 28:19] - _T_4213 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + reg _T_4214 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4213 : @[Reg.scala 28:19] + _T_4214 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4214 = cat(_T_4213, _T_4211) @[Cat.scala 29:58] - node _T_4215 = cat(_T_4214, _T_4209) @[Cat.scala 29:58] - node _T_4216 = cat(_T_4215, _T_4207) @[Cat.scala 29:58] - buf_ldfwd <= _T_4216 @[el2_lsu_bus_buffer.scala 529:15] - node _T_4217 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:134] - reg _T_4218 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4217 : @[Reg.scala 28:19] - _T_4218 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + reg _T_4216 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4215 : @[Reg.scala 28:19] + _T_4216 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4219 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:134] - reg _T_4220 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4219 : @[Reg.scala 28:19] - _T_4220 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + node _T_4217 = cat(_T_4216, _T_4214) @[Cat.scala 29:58] + node _T_4218 = cat(_T_4217, _T_4212) @[Cat.scala 29:58] + node _T_4219 = cat(_T_4218, _T_4210) @[Cat.scala 29:58] + buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 532:15] + node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4220 : @[Reg.scala 28:19] + _T_4221 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4221 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:134] - reg _T_4222 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4221 : @[Reg.scala 28:19] - _T_4222 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4222 : @[Reg.scala 28:19] + _T_4223 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4223 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:134] - reg _T_4224 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4223 : @[Reg.scala 28:19] - _T_4224 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + reg _T_4225 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4224 : @[Reg.scala 28:19] + _T_4225 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4218 @[el2_lsu_bus_buffer.scala 530:18] - buf_ldfwdtag[1] <= _T_4220 @[el2_lsu_bus_buffer.scala 530:18] - buf_ldfwdtag[2] <= _T_4222 @[el2_lsu_bus_buffer.scala 530:18] - buf_ldfwdtag[3] <= _T_4224 @[el2_lsu_bus_buffer.scala 530:18] - node _T_4225 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 531:107] - node _T_4226 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:140] - reg _T_4227 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + reg _T_4227 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4226 : @[Reg.scala 28:19] - _T_4227 <= _T_4225 @[Reg.scala 28:23] + _T_4227 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4228 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 531:107] - node _T_4229 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:140] + buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 533:18] + buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 533:18] + buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 533:18] + buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 533:18] + node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 534:107] + node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4229 : @[Reg.scala 28:19] _T_4230 <= _T_4228 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4231 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 531:107] - node _T_4232 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:140] + node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 534:107] + node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= _T_4231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 531:107] - node _T_4235 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:140] + node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 534:107] + node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] reg _T_4236 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4235 : @[Reg.scala 28:19] _T_4236 <= _T_4234 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4237 = cat(_T_4236, _T_4233) @[Cat.scala 29:58] - node _T_4238 = cat(_T_4237, _T_4230) @[Cat.scala 29:58] - node _T_4239 = cat(_T_4238, _T_4227) @[Cat.scala 29:58] - buf_sideeffect <= _T_4239 @[el2_lsu_bus_buffer.scala 531:20] - node _T_4240 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 532:99] - node _T_4241 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:132] - reg _T_4242 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4241 : @[Reg.scala 28:19] - _T_4242 <= _T_4240 @[Reg.scala 28:23] + node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 534:107] + node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] + reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4238 : @[Reg.scala 28:19] + _T_4239 <= _T_4237 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4243 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 532:99] - node _T_4244 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:132] + node _T_4240 = cat(_T_4239, _T_4236) @[Cat.scala 29:58] + node _T_4241 = cat(_T_4240, _T_4233) @[Cat.scala 29:58] + node _T_4242 = cat(_T_4241, _T_4230) @[Cat.scala 29:58] + buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 534:20] + node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 535:99] + node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4244 : @[Reg.scala 28:19] _T_4245 <= _T_4243 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4246 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 532:99] - node _T_4247 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:132] + node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 535:99] + node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4247 : @[Reg.scala 28:19] _T_4248 <= _T_4246 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4249 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 532:99] - node _T_4250 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:132] + node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 535:99] + node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] reg _T_4251 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4250 : @[Reg.scala 28:19] _T_4251 <= _T_4249 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4252 = cat(_T_4251, _T_4248) @[Cat.scala 29:58] - node _T_4253 = cat(_T_4252, _T_4245) @[Cat.scala 29:58] - node _T_4254 = cat(_T_4253, _T_4242) @[Cat.scala 29:58] - buf_unsign <= _T_4254 @[el2_lsu_bus_buffer.scala 532:16] - node _T_4255 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 533:97] - node _T_4256 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:130] - reg _T_4257 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4256 : @[Reg.scala 28:19] - _T_4257 <= _T_4255 @[Reg.scala 28:23] + node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 535:99] + node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] + reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4253 : @[Reg.scala 28:19] + _T_4254 <= _T_4252 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4258 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 533:97] - node _T_4259 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:130] + node _T_4255 = cat(_T_4254, _T_4251) @[Cat.scala 29:58] + node _T_4256 = cat(_T_4255, _T_4248) @[Cat.scala 29:58] + node _T_4257 = cat(_T_4256, _T_4245) @[Cat.scala 29:58] + buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 535:16] + node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 536:97] + node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4259 : @[Reg.scala 28:19] _T_4260 <= _T_4258 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4261 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 533:97] - node _T_4262 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:130] + node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 536:97] + node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4262 : @[Reg.scala 28:19] _T_4263 <= _T_4261 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4264 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 533:97] - node _T_4265 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:130] + node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 536:97] + node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] reg _T_4266 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4265 : @[Reg.scala 28:19] _T_4266 <= _T_4264 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4267 = cat(_T_4266, _T_4263) @[Cat.scala 29:58] - node _T_4268 = cat(_T_4267, _T_4260) @[Cat.scala 29:58] - node _T_4269 = cat(_T_4268, _T_4257) @[Cat.scala 29:58] - buf_write <= _T_4269 @[el2_lsu_bus_buffer.scala 533:15] - node _T_4270 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:119] - reg _T_4271 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4270 : @[Reg.scala 28:19] - _T_4271 <= buf_sz_in[0] @[Reg.scala 28:23] + node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 536:97] + node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] + reg _T_4269 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4268 : @[Reg.scala 28:19] + _T_4269 <= _T_4267 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4272 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:119] - reg _T_4273 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4272 : @[Reg.scala 28:19] - _T_4273 <= buf_sz_in[1] @[Reg.scala 28:23] + node _T_4270 = cat(_T_4269, _T_4266) @[Cat.scala 29:58] + node _T_4271 = cat(_T_4270, _T_4263) @[Cat.scala 29:58] + node _T_4272 = cat(_T_4271, _T_4260) @[Cat.scala 29:58] + buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 536:15] + node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4273 : @[Reg.scala 28:19] + _T_4274 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4274 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:119] - reg _T_4275 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4274 : @[Reg.scala 28:19] - _T_4275 <= buf_sz_in[2] @[Reg.scala 28:23] + node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + reg _T_4276 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4275 : @[Reg.scala 28:19] + _T_4276 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4276 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:119] - reg _T_4277 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4276 : @[Reg.scala 28:19] - _T_4277 <= buf_sz_in[3] @[Reg.scala 28:23] + node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4277 : @[Reg.scala 28:19] + _T_4278 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4271 @[el2_lsu_bus_buffer.scala 534:12] - buf_sz[1] <= _T_4273 @[el2_lsu_bus_buffer.scala 534:12] - buf_sz[2] <= _T_4275 @[el2_lsu_bus_buffer.scala 534:12] - buf_sz[3] <= _T_4277 @[el2_lsu_bus_buffer.scala 534:12] - node _T_4278 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:82] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4279 : @[Reg.scala 28:19] + _T_4280 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 537:12] + buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 537:12] + buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 537:12] + buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 537:12] + node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4278 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4281 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4279 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4279 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4280 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4282 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4282 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4280 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4283 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4281 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4281 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4282 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4284 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4284 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4282 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4285 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4283 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4283 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4284 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4286 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4286 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4284 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4287 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4285 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4285 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4279 @[el2_lsu_bus_buffer.scala 535:14] - buf_addr[1] <= _T_4281 @[el2_lsu_bus_buffer.scala 535:14] - buf_addr[2] <= _T_4283 @[el2_lsu_bus_buffer.scala 535:14] - buf_addr[3] <= _T_4285 @[el2_lsu_bus_buffer.scala 535:14] - node _T_4286 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:127] - reg _T_4287 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4286 : @[Reg.scala 28:19] - _T_4287 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4288 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4288 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 538:14] + buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 538:14] + buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 538:14] + buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 538:14] + node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4288 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:127] - reg _T_4289 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4288 : @[Reg.scala 28:19] - _T_4289 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + reg _T_4292 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4291 : @[Reg.scala 28:19] + _T_4292 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4290 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:127] - reg _T_4291 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4290 : @[Reg.scala 28:19] - _T_4291 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + reg _T_4294 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4293 : @[Reg.scala 28:19] + _T_4294 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4292 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:127] - reg _T_4293 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4292 : @[Reg.scala 28:19] - _T_4293 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4295 : @[Reg.scala 28:19] + _T_4296 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4287 @[el2_lsu_bus_buffer.scala 536:16] - buf_byteen[1] <= _T_4289 @[el2_lsu_bus_buffer.scala 536:16] - buf_byteen[2] <= _T_4291 @[el2_lsu_bus_buffer.scala 536:16] - buf_byteen[3] <= _T_4293 @[el2_lsu_bus_buffer.scala 536:16] + buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 539:16] + buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 539:16] + buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 539:16] + buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 539:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4294 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4294 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4297 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4297 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4295 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4295 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4298 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4298 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4296 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4296 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4299 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4299 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4297 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4297 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4294 @[el2_lsu_bus_buffer.scala 537:14] - buf_data[1] <= _T_4295 @[el2_lsu_bus_buffer.scala 537:14] - buf_data[2] <= _T_4296 @[el2_lsu_bus_buffer.scala 537:14] - buf_data[3] <= _T_4297 @[el2_lsu_bus_buffer.scala 537:14] - node _T_4298 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 538:121] - node _T_4299 = mux(buf_error_en[0], UInt<1>("h01"), _T_4298) @[el2_lsu_bus_buffer.scala 538:86] - node _T_4300 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:128] - node _T_4301 = and(_T_4299, _T_4300) @[el2_lsu_bus_buffer.scala 538:126] - reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 538:82] - _T_4302 <= _T_4301 @[el2_lsu_bus_buffer.scala 538:82] - node _T_4303 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 538:121] - node _T_4304 = mux(buf_error_en[1], UInt<1>("h01"), _T_4303) @[el2_lsu_bus_buffer.scala 538:86] - node _T_4305 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:128] - node _T_4306 = and(_T_4304, _T_4305) @[el2_lsu_bus_buffer.scala 538:126] - reg _T_4307 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 538:82] - _T_4307 <= _T_4306 @[el2_lsu_bus_buffer.scala 538:82] - node _T_4308 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 538:121] - node _T_4309 = mux(buf_error_en[2], UInt<1>("h01"), _T_4308) @[el2_lsu_bus_buffer.scala 538:86] - node _T_4310 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:128] - node _T_4311 = and(_T_4309, _T_4310) @[el2_lsu_bus_buffer.scala 538:126] - reg _T_4312 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 538:82] - _T_4312 <= _T_4311 @[el2_lsu_bus_buffer.scala 538:82] - node _T_4313 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 538:121] - node _T_4314 = mux(buf_error_en[3], UInt<1>("h01"), _T_4313) @[el2_lsu_bus_buffer.scala 538:86] - node _T_4315 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:128] - node _T_4316 = and(_T_4314, _T_4315) @[el2_lsu_bus_buffer.scala 538:126] - reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 538:82] - _T_4317 <= _T_4316 @[el2_lsu_bus_buffer.scala 538:82] - node _T_4318 = cat(_T_4317, _T_4312) @[Cat.scala 29:58] - node _T_4319 = cat(_T_4318, _T_4307) @[Cat.scala 29:58] - node _T_4320 = cat(_T_4319, _T_4302) @[Cat.scala 29:58] - buf_error <= _T_4320 @[el2_lsu_bus_buffer.scala 538:15] - node _T_4321 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4322 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4323 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4324 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4325 = add(_T_4324, _T_4323) @[el2_lsu_bus_buffer.scala 540:96] - node _T_4326 = add(_T_4325, _T_4322) @[el2_lsu_bus_buffer.scala 540:96] - node buf_numvld_any = add(_T_4326, _T_4321) @[el2_lsu_bus_buffer.scala 540:96] - node _T_4327 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4328 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 541:76] - node _T_4329 = eq(_T_4328, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 541:79] - node _T_4330 = and(_T_4327, _T_4329) @[el2_lsu_bus_buffer.scala 541:64] - node _T_4331 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:91] - node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 541:89] - node _T_4333 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4334 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 541:76] - node _T_4335 = eq(_T_4334, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 541:79] - node _T_4336 = and(_T_4333, _T_4335) @[el2_lsu_bus_buffer.scala 541:64] - node _T_4337 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:91] - node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 541:89] - node _T_4339 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4340 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 541:76] - node _T_4341 = eq(_T_4340, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 541:79] - node _T_4342 = and(_T_4339, _T_4341) @[el2_lsu_bus_buffer.scala 541:64] - node _T_4343 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:91] - node _T_4344 = and(_T_4342, _T_4343) @[el2_lsu_bus_buffer.scala 541:89] - node _T_4345 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4346 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 541:76] - node _T_4347 = eq(_T_4346, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 541:79] - node _T_4348 = and(_T_4345, _T_4347) @[el2_lsu_bus_buffer.scala 541:64] - node _T_4349 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:91] - node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 541:89] - node _T_4351 = add(_T_4350, _T_4344) @[el2_lsu_bus_buffer.scala 541:142] - node _T_4352 = add(_T_4351, _T_4338) @[el2_lsu_bus_buffer.scala 541:142] - node _T_4353 = add(_T_4352, _T_4332) @[el2_lsu_bus_buffer.scala 541:142] - buf_numvld_wrcmd_any <= _T_4353 @[el2_lsu_bus_buffer.scala 541:24] - node _T_4354 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4355 = eq(_T_4354, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 542:63] - node _T_4356 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4357 = and(_T_4355, _T_4356) @[el2_lsu_bus_buffer.scala 542:73] - node _T_4358 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4359 = eq(_T_4358, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 542:63] - node _T_4360 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 542:73] - node _T_4362 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4363 = eq(_T_4362, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 542:63] - node _T_4364 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4365 = and(_T_4363, _T_4364) @[el2_lsu_bus_buffer.scala 542:73] - node _T_4366 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4367 = eq(_T_4366, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 542:63] - node _T_4368 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4369 = and(_T_4367, _T_4368) @[el2_lsu_bus_buffer.scala 542:73] - node _T_4370 = add(_T_4369, _T_4365) @[el2_lsu_bus_buffer.scala 542:126] - node _T_4371 = add(_T_4370, _T_4361) @[el2_lsu_bus_buffer.scala 542:126] - node _T_4372 = add(_T_4371, _T_4357) @[el2_lsu_bus_buffer.scala 542:126] - buf_numvld_cmd_any <= _T_4372 @[el2_lsu_bus_buffer.scala 542:22] - node _T_4373 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 543:61] - node _T_4374 = eq(_T_4373, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 543:64] - node _T_4375 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 543:85] - node _T_4376 = eq(_T_4375, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:88] - node _T_4377 = or(_T_4374, _T_4376) @[el2_lsu_bus_buffer.scala 543:74] - node _T_4378 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:102] - node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 543:100] - node _T_4380 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 543:61] - node _T_4381 = eq(_T_4380, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 543:64] - node _T_4382 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 543:85] - node _T_4383 = eq(_T_4382, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:88] - node _T_4384 = or(_T_4381, _T_4383) @[el2_lsu_bus_buffer.scala 543:74] - node _T_4385 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:102] - node _T_4386 = and(_T_4384, _T_4385) @[el2_lsu_bus_buffer.scala 543:100] - node _T_4387 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 543:61] - node _T_4388 = eq(_T_4387, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 543:64] - node _T_4389 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 543:85] - node _T_4390 = eq(_T_4389, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:88] - node _T_4391 = or(_T_4388, _T_4390) @[el2_lsu_bus_buffer.scala 543:74] - node _T_4392 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:102] - node _T_4393 = and(_T_4391, _T_4392) @[el2_lsu_bus_buffer.scala 543:100] - node _T_4394 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 543:61] - node _T_4395 = eq(_T_4394, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 543:64] - node _T_4396 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 543:85] - node _T_4397 = eq(_T_4396, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 543:88] - node _T_4398 = or(_T_4395, _T_4397) @[el2_lsu_bus_buffer.scala 543:74] - node _T_4399 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:102] - node _T_4400 = and(_T_4398, _T_4399) @[el2_lsu_bus_buffer.scala 543:100] - node _T_4401 = add(_T_4400, _T_4393) @[el2_lsu_bus_buffer.scala 543:153] - node _T_4402 = add(_T_4401, _T_4386) @[el2_lsu_bus_buffer.scala 543:153] - node _T_4403 = add(_T_4402, _T_4379) @[el2_lsu_bus_buffer.scala 543:153] - buf_numvld_pend_any <= _T_4403 @[el2_lsu_bus_buffer.scala 543:23] - node _T_4404 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 544:61] - node _T_4405 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 544:61] - node _T_4406 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 544:61] - node _T_4407 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 544:61] - node _T_4408 = or(_T_4407, _T_4406) @[el2_lsu_bus_buffer.scala 544:93] - node _T_4409 = or(_T_4408, _T_4405) @[el2_lsu_bus_buffer.scala 544:93] - node _T_4410 = or(_T_4409, _T_4404) @[el2_lsu_bus_buffer.scala 544:93] - any_done_wait_state <= _T_4410 @[el2_lsu_bus_buffer.scala 544:23] - node _T_4411 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 545:53] - io.lsu_bus_buffer_pend_any <= _T_4411 @[el2_lsu_bus_buffer.scala 545:30] - node _T_4412 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 546:52] - node _T_4413 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 546:92] - node _T_4414 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 546:119] - node _T_4415 = mux(_T_4412, _T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 546:36] - io.lsu_bus_buffer_full_any <= _T_4415 @[el2_lsu_bus_buffer.scala 546:30] - node _T_4416 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 547:52] - node _T_4417 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 547:52] - node _T_4418 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 547:52] - node _T_4419 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 547:52] - node _T_4420 = or(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 547:65] - node _T_4421 = or(_T_4420, _T_4418) @[el2_lsu_bus_buffer.scala 547:65] - node _T_4422 = or(_T_4421, _T_4419) @[el2_lsu_bus_buffer.scala 547:65] - node _T_4423 = eq(_T_4422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:34] - node _T_4424 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:72] - node _T_4425 = and(_T_4423, _T_4424) @[el2_lsu_bus_buffer.scala 547:70] - node _T_4426 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:86] - node _T_4427 = and(_T_4425, _T_4426) @[el2_lsu_bus_buffer.scala 547:84] - io.lsu_bus_buffer_empty_any <= _T_4427 @[el2_lsu_bus_buffer.scala 547:31] - node _T_4428 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 549:51] - node _T_4429 = and(_T_4428, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 549:72] - node _T_4430 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:94] - node _T_4431 = and(_T_4429, _T_4430) @[el2_lsu_bus_buffer.scala 549:92] - node _T_4432 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:111] - node _T_4433 = and(_T_4431, _T_4432) @[el2_lsu_bus_buffer.scala 549:109] - io.lsu_nonblock_load_valid_m <= _T_4433 @[el2_lsu_bus_buffer.scala 549:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 550:30] + reg _T_4300 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4300 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 540:14] + buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 540:14] + buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 540:14] + buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 540:14] + node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 541:121] + node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] + node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 541:126] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] + _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 541:82] + node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 541:121] + node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] + node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 541:126] + reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] + _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 541:82] + node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 541:121] + node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] + node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 541:126] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] + _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 541:82] + node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 541:121] + node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] + node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 541:126] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] + _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 541:82] + node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] + node _T_4322 = cat(_T_4321, _T_4310) @[Cat.scala 29:58] + node _T_4323 = cat(_T_4322, _T_4305) @[Cat.scala 29:58] + buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 541:15] + node _T_4324 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4325 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4326 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4327 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4328 = add(_T_4327, _T_4326) @[el2_lsu_bus_buffer.scala 543:96] + node _T_4329 = add(_T_4328, _T_4325) @[el2_lsu_bus_buffer.scala 543:96] + node buf_numvld_any = add(_T_4329, _T_4324) @[el2_lsu_bus_buffer.scala 543:96] + node _T_4330 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4331 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 544:76] + node _T_4332 = eq(_T_4331, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4333 = and(_T_4330, _T_4332) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4334 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4335 = and(_T_4333, _T_4334) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4336 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4337 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:76] + node _T_4338 = eq(_T_4337, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4339 = and(_T_4336, _T_4338) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4340 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4341 = and(_T_4339, _T_4340) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4342 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4343 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:76] + node _T_4344 = eq(_T_4343, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4345 = and(_T_4342, _T_4344) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4346 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4348 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4349 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:76] + node _T_4350 = eq(_T_4349, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4351 = and(_T_4348, _T_4350) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4352 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4354 = add(_T_4353, _T_4347) @[el2_lsu_bus_buffer.scala 544:142] + node _T_4355 = add(_T_4354, _T_4341) @[el2_lsu_bus_buffer.scala 544:142] + node _T_4356 = add(_T_4355, _T_4335) @[el2_lsu_bus_buffer.scala 544:142] + buf_numvld_wrcmd_any <= _T_4356 @[el2_lsu_bus_buffer.scala 544:24] + node _T_4357 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4358 = eq(_T_4357, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4359 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4360 = and(_T_4358, _T_4359) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4361 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4362 = eq(_T_4361, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4365 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4366 = eq(_T_4365, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4367 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4368 = and(_T_4366, _T_4367) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4369 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4370 = eq(_T_4369, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4371 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4372 = and(_T_4370, _T_4371) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4373 = add(_T_4372, _T_4368) @[el2_lsu_bus_buffer.scala 545:126] + node _T_4374 = add(_T_4373, _T_4364) @[el2_lsu_bus_buffer.scala 545:126] + node _T_4375 = add(_T_4374, _T_4360) @[el2_lsu_bus_buffer.scala 545:126] + buf_numvld_cmd_any <= _T_4375 @[el2_lsu_bus_buffer.scala 545:22] + node _T_4376 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4377 = eq(_T_4376, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4378 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 546:85] + node _T_4379 = eq(_T_4378, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:88] + node _T_4380 = or(_T_4377, _T_4379) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4381 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4383 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4384 = eq(_T_4383, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4385 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 546:85] + node _T_4386 = eq(_T_4385, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:88] + node _T_4387 = or(_T_4384, _T_4386) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4389 = and(_T_4387, _T_4388) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4390 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4391 = eq(_T_4390, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4392 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 546:85] + node _T_4393 = eq(_T_4392, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:88] + node _T_4394 = or(_T_4391, _T_4393) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4395 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4396 = and(_T_4394, _T_4395) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4397 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 546:61] + node _T_4398 = eq(_T_4397, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4399 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 546:85] + node _T_4400 = eq(_T_4399, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:88] + node _T_4401 = or(_T_4398, _T_4400) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4402 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4403 = and(_T_4401, _T_4402) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4404 = add(_T_4403, _T_4396) @[el2_lsu_bus_buffer.scala 546:153] + node _T_4405 = add(_T_4404, _T_4389) @[el2_lsu_bus_buffer.scala 546:153] + node _T_4406 = add(_T_4405, _T_4382) @[el2_lsu_bus_buffer.scala 546:153] + buf_numvld_pend_any <= _T_4406 @[el2_lsu_bus_buffer.scala 546:23] + node _T_4407 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4408 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4409 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4410 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4411 = or(_T_4410, _T_4409) @[el2_lsu_bus_buffer.scala 547:93] + node _T_4412 = or(_T_4411, _T_4408) @[el2_lsu_bus_buffer.scala 547:93] + node _T_4413 = or(_T_4412, _T_4407) @[el2_lsu_bus_buffer.scala 547:93] + any_done_wait_state <= _T_4413 @[el2_lsu_bus_buffer.scala 547:23] + node _T_4414 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 548:53] + io.lsu_bus_buffer_pend_any <= _T_4414 @[el2_lsu_bus_buffer.scala 548:30] + node _T_4415 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4416 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:92] + node _T_4417 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:119] + node _T_4418 = mux(_T_4415, _T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 549:36] + io.lsu_bus_buffer_full_any <= _T_4418 @[el2_lsu_bus_buffer.scala 549:30] + node _T_4419 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4420 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4421 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4422 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4423 = or(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4424 = or(_T_4423, _T_4421) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4425 = or(_T_4424, _T_4422) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4426 = eq(_T_4425, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:34] + node _T_4427 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:72] + node _T_4428 = and(_T_4426, _T_4427) @[el2_lsu_bus_buffer.scala 550:70] + node _T_4429 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:86] + node _T_4430 = and(_T_4428, _T_4429) @[el2_lsu_bus_buffer.scala 550:84] + io.lsu_bus_buffer_empty_any <= _T_4430 @[el2_lsu_bus_buffer.scala 550:31] + node _T_4431 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 552:51] + node _T_4432 = and(_T_4431, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 552:72] + node _T_4433 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:94] + node _T_4434 = and(_T_4432, _T_4433) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4435 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:111] + node _T_4436 = and(_T_4434, _T_4435) @[el2_lsu_bus_buffer.scala 552:109] + io.lsu_nonblock_load_valid_m <= _T_4436 @[el2_lsu_bus_buffer.scala 552:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 553:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4434 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:61] - node _T_4435 = and(lsu_nonblock_load_valid_r, _T_4434) @[el2_lsu_bus_buffer.scala 552:59] - io.lsu_nonblock_load_inv_r <= _T_4435 @[el2_lsu_bus_buffer.scala 552:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 553:34] - node _T_4436 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4437 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:127] - node _T_4438 = and(UInt<1>("h01"), _T_4437) @[el2_lsu_bus_buffer.scala 554:116] - node _T_4439 = eq(_T_4438, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:95] - node _T_4440 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4441 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:127] - node _T_4442 = and(UInt<1>("h01"), _T_4441) @[el2_lsu_bus_buffer.scala 554:116] - node _T_4443 = eq(_T_4442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:95] - node _T_4444 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4445 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:127] - node _T_4446 = and(UInt<1>("h01"), _T_4445) @[el2_lsu_bus_buffer.scala 554:116] - node _T_4447 = eq(_T_4446, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:95] - node _T_4448 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4449 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:127] - node _T_4450 = and(UInt<1>("h01"), _T_4449) @[el2_lsu_bus_buffer.scala 554:116] - node _T_4451 = eq(_T_4450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:95] - node _T_4452 = mux(_T_4436, _T_4439, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4453 = mux(_T_4440, _T_4443, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4454 = mux(_T_4444, _T_4447, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4455 = mux(_T_4448, _T_4451, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4456 = or(_T_4452, _T_4453) @[Mux.scala 27:72] - node _T_4457 = or(_T_4456, _T_4454) @[Mux.scala 27:72] - node _T_4458 = or(_T_4457, _T_4455) @[Mux.scala 27:72] + node _T_4437 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:61] + node _T_4438 = and(lsu_nonblock_load_valid_r, _T_4437) @[el2_lsu_bus_buffer.scala 555:59] + io.lsu_nonblock_load_inv_r <= _T_4438 @[el2_lsu_bus_buffer.scala 555:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 556:34] + node _T_4439 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4440 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4441 = and(UInt<1>("h01"), _T_4440) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4442 = eq(_T_4441, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4443 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4444 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4445 = and(UInt<1>("h01"), _T_4444) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4446 = eq(_T_4445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4447 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4448 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4449 = and(UInt<1>("h01"), _T_4448) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4450 = eq(_T_4449, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4451 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4452 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4453 = and(UInt<1>("h01"), _T_4452) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4454 = eq(_T_4453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4455 = mux(_T_4439, _T_4442, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4456 = mux(_T_4443, _T_4446, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4457 = mux(_T_4447, _T_4450, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4458 = mux(_T_4451, _T_4454, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4459 = or(_T_4455, _T_4456) @[Mux.scala 27:72] + node _T_4460 = or(_T_4459, _T_4457) @[Mux.scala 27:72] + node _T_4461 = or(_T_4460, _T_4458) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4458 @[Mux.scala 27:72] - node _T_4459 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4460 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 555:104] - node _T_4461 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4462 = eq(_T_4461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:110] - node _T_4463 = and(_T_4460, _T_4462) @[el2_lsu_bus_buffer.scala 555:108] - node _T_4464 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4465 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 555:104] - node _T_4466 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4467 = eq(_T_4466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:110] - node _T_4468 = and(_T_4465, _T_4467) @[el2_lsu_bus_buffer.scala 555:108] - node _T_4469 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4470 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 555:104] - node _T_4471 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4472 = eq(_T_4471, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:110] - node _T_4473 = and(_T_4470, _T_4472) @[el2_lsu_bus_buffer.scala 555:108] - node _T_4474 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4475 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 555:104] - node _T_4476 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 555:120] - node _T_4477 = eq(_T_4476, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:110] - node _T_4478 = and(_T_4475, _T_4477) @[el2_lsu_bus_buffer.scala 555:108] - node _T_4479 = mux(_T_4459, _T_4463, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4480 = mux(_T_4464, _T_4468, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4481 = mux(_T_4469, _T_4473, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4482 = mux(_T_4474, _T_4478, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4483 = or(_T_4479, _T_4480) @[Mux.scala 27:72] - node _T_4484 = or(_T_4483, _T_4481) @[Mux.scala 27:72] - node _T_4485 = or(_T_4484, _T_4482) @[Mux.scala 27:72] - wire _T_4486 : UInt<1> @[Mux.scala 27:72] - _T_4486 <= _T_4485 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4486 @[el2_lsu_bus_buffer.scala 555:35] - node _T_4487 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4488 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 556:102] - node _T_4489 = eq(_T_4488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4490 = and(_T_4487, _T_4489) @[el2_lsu_bus_buffer.scala 556:90] - node _T_4491 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:109] - node _T_4492 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:124] - node _T_4493 = or(_T_4491, _T_4492) @[el2_lsu_bus_buffer.scala 556:122] - node _T_4494 = and(_T_4490, _T_4493) @[el2_lsu_bus_buffer.scala 556:106] - node _T_4495 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4496 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 556:102] - node _T_4497 = eq(_T_4496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4498 = and(_T_4495, _T_4497) @[el2_lsu_bus_buffer.scala 556:90] - node _T_4499 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:109] - node _T_4500 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:124] - node _T_4501 = or(_T_4499, _T_4500) @[el2_lsu_bus_buffer.scala 556:122] - node _T_4502 = and(_T_4498, _T_4501) @[el2_lsu_bus_buffer.scala 556:106] - node _T_4503 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4504 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 556:102] - node _T_4505 = eq(_T_4504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4506 = and(_T_4503, _T_4505) @[el2_lsu_bus_buffer.scala 556:90] - node _T_4507 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:109] - node _T_4508 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:124] - node _T_4509 = or(_T_4507, _T_4508) @[el2_lsu_bus_buffer.scala 556:122] - node _T_4510 = and(_T_4506, _T_4509) @[el2_lsu_bus_buffer.scala 556:106] - node _T_4511 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4512 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 556:102] - node _T_4513 = eq(_T_4512, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4514 = and(_T_4511, _T_4513) @[el2_lsu_bus_buffer.scala 556:90] - node _T_4515 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:109] - node _T_4516 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:124] - node _T_4517 = or(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 556:122] - node _T_4518 = and(_T_4514, _T_4517) @[el2_lsu_bus_buffer.scala 556:106] - node _T_4519 = mux(_T_4494, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4520 = mux(_T_4502, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4521 = mux(_T_4510, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4522 = mux(_T_4518, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4523 = or(_T_4519, _T_4520) @[Mux.scala 27:72] - node _T_4524 = or(_T_4523, _T_4521) @[Mux.scala 27:72] - node _T_4525 = or(_T_4524, _T_4522) @[Mux.scala 27:72] - wire _T_4526 : UInt<2> @[Mux.scala 27:72] - _T_4526 <= _T_4525 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4526 @[el2_lsu_bus_buffer.scala 556:33] - node _T_4527 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4528 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 557:101] - node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:91] - node _T_4530 = and(_T_4527, _T_4529) @[el2_lsu_bus_buffer.scala 557:89] - node _T_4531 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:108] - node _T_4532 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:123] - node _T_4533 = or(_T_4531, _T_4532) @[el2_lsu_bus_buffer.scala 557:121] - node _T_4534 = and(_T_4530, _T_4533) @[el2_lsu_bus_buffer.scala 557:105] - node _T_4535 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4536 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 557:101] - node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:91] - node _T_4538 = and(_T_4535, _T_4537) @[el2_lsu_bus_buffer.scala 557:89] - node _T_4539 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:108] - node _T_4540 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:123] - node _T_4541 = or(_T_4539, _T_4540) @[el2_lsu_bus_buffer.scala 557:121] - node _T_4542 = and(_T_4538, _T_4541) @[el2_lsu_bus_buffer.scala 557:105] - node _T_4543 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4544 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 557:101] - node _T_4545 = eq(_T_4544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:91] - node _T_4546 = and(_T_4543, _T_4545) @[el2_lsu_bus_buffer.scala 557:89] - node _T_4547 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:108] - node _T_4548 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:123] - node _T_4549 = or(_T_4547, _T_4548) @[el2_lsu_bus_buffer.scala 557:121] - node _T_4550 = and(_T_4546, _T_4549) @[el2_lsu_bus_buffer.scala 557:105] - node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4552 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 557:101] - node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:91] - node _T_4554 = and(_T_4551, _T_4553) @[el2_lsu_bus_buffer.scala 557:89] - node _T_4555 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:108] - node _T_4556 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:123] - node _T_4557 = or(_T_4555, _T_4556) @[el2_lsu_bus_buffer.scala 557:121] - node _T_4558 = and(_T_4554, _T_4557) @[el2_lsu_bus_buffer.scala 557:105] - node _T_4559 = mux(_T_4534, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4560 = mux(_T_4542, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4561 = mux(_T_4550, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4562 = mux(_T_4558, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4563 = or(_T_4559, _T_4560) @[Mux.scala 27:72] - node _T_4564 = or(_T_4563, _T_4561) @[Mux.scala 27:72] - node _T_4565 = or(_T_4564, _T_4562) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4461 @[Mux.scala 27:72] + node _T_4462 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4463 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4464 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4467 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4468 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4469 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4472 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4473 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4474 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4475 = eq(_T_4474, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4476 = and(_T_4473, _T_4475) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4477 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4478 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4479 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4480 = eq(_T_4479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4481 = and(_T_4478, _T_4480) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4482 = mux(_T_4462, _T_4466, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4483 = mux(_T_4467, _T_4471, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4484 = mux(_T_4472, _T_4476, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4485 = mux(_T_4477, _T_4481, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4486 = or(_T_4482, _T_4483) @[Mux.scala 27:72] + node _T_4487 = or(_T_4486, _T_4484) @[Mux.scala 27:72] + node _T_4488 = or(_T_4487, _T_4485) @[Mux.scala 27:72] + wire _T_4489 : UInt<1> @[Mux.scala 27:72] + _T_4489 <= _T_4488 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4489 @[el2_lsu_bus_buffer.scala 558:35] + node _T_4490 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4491 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4492 = eq(_T_4491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4493 = and(_T_4490, _T_4492) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4494 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4495 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4496 = or(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4497 = and(_T_4493, _T_4496) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4498 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4499 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4501 = and(_T_4498, _T_4500) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4502 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4503 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4504 = or(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4505 = and(_T_4501, _T_4504) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4506 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4507 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4509 = and(_T_4506, _T_4508) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4510 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4511 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4512 = or(_T_4510, _T_4511) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4513 = and(_T_4509, _T_4512) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4514 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4515 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4517 = and(_T_4514, _T_4516) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4518 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4519 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4520 = or(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4521 = and(_T_4517, _T_4520) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4522 = mux(_T_4497, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4523 = mux(_T_4505, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4524 = mux(_T_4513, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4525 = mux(_T_4521, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4526 = or(_T_4522, _T_4523) @[Mux.scala 27:72] + node _T_4527 = or(_T_4526, _T_4524) @[Mux.scala 27:72] + node _T_4528 = or(_T_4527, _T_4525) @[Mux.scala 27:72] + wire _T_4529 : UInt<2> @[Mux.scala 27:72] + _T_4529 <= _T_4528 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4529 @[el2_lsu_bus_buffer.scala 559:33] + node _T_4530 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4531 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4534 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4535 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4536 = or(_T_4534, _T_4535) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4537 = and(_T_4533, _T_4536) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4538 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4539 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4541 = and(_T_4538, _T_4540) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4542 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4543 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4544 = or(_T_4542, _T_4543) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4545 = and(_T_4541, _T_4544) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4547 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4549 = and(_T_4546, _T_4548) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4550 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4551 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4552 = or(_T_4550, _T_4551) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4553 = and(_T_4549, _T_4552) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4554 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4555 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4556 = eq(_T_4555, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4557 = and(_T_4554, _T_4556) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4558 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4559 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4560 = or(_T_4558, _T_4559) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4561 = and(_T_4557, _T_4560) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4562 = mux(_T_4537, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4563 = mux(_T_4545, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4564 = mux(_T_4553, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4565 = mux(_T_4561, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4566 = or(_T_4562, _T_4563) @[Mux.scala 27:72] + node _T_4567 = or(_T_4566, _T_4564) @[Mux.scala 27:72] + node _T_4568 = or(_T_4567, _T_4565) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4565 @[Mux.scala 27:72] - node _T_4566 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4567 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 558:101] - node _T_4568 = eq(_T_4567, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:91] - node _T_4569 = and(_T_4566, _T_4568) @[el2_lsu_bus_buffer.scala 558:89] - node _T_4570 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4571 = and(_T_4569, _T_4570) @[el2_lsu_bus_buffer.scala 558:105] - node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 558:101] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:91] - node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 558:89] - node _T_4576 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4577 = and(_T_4575, _T_4576) @[el2_lsu_bus_buffer.scala 558:105] - node _T_4578 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4579 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 558:101] - node _T_4580 = eq(_T_4579, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:91] - node _T_4581 = and(_T_4578, _T_4580) @[el2_lsu_bus_buffer.scala 558:89] - node _T_4582 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4583 = and(_T_4581, _T_4582) @[el2_lsu_bus_buffer.scala 558:105] - node _T_4584 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4585 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 558:101] - node _T_4586 = eq(_T_4585, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:91] - node _T_4587 = and(_T_4584, _T_4586) @[el2_lsu_bus_buffer.scala 558:89] - node _T_4588 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4589 = and(_T_4587, _T_4588) @[el2_lsu_bus_buffer.scala 558:105] - node _T_4590 = mux(_T_4571, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4591 = mux(_T_4577, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4592 = mux(_T_4583, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4593 = mux(_T_4589, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4594 = or(_T_4590, _T_4591) @[Mux.scala 27:72] - node _T_4595 = or(_T_4594, _T_4592) @[Mux.scala 27:72] - node _T_4596 = or(_T_4595, _T_4593) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4568 @[Mux.scala 27:72] + node _T_4569 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4570 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4572 = and(_T_4569, _T_4571) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4573 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4574 = and(_T_4572, _T_4573) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4575 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4576 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4577 = eq(_T_4576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4578 = and(_T_4575, _T_4577) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4579 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4580 = and(_T_4578, _T_4579) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4581 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4582 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4584 = and(_T_4581, _T_4583) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4585 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4586 = and(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4587 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4588 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4589 = eq(_T_4588, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4590 = and(_T_4587, _T_4589) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4591 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4592 = and(_T_4590, _T_4591) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4593 = mux(_T_4574, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4594 = mux(_T_4580, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4595 = mux(_T_4586, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4596 = mux(_T_4592, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4597 = or(_T_4593, _T_4594) @[Mux.scala 27:72] + node _T_4598 = or(_T_4597, _T_4595) @[Mux.scala 27:72] + node _T_4599 = or(_T_4598, _T_4596) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4596 @[Mux.scala 27:72] - node _T_4597 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4598 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4599 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4600 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4601 = mux(_T_4597, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4602 = mux(_T_4598, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4603 = mux(_T_4599, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4604 = mux(_T_4600, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4605 = or(_T_4601, _T_4602) @[Mux.scala 27:72] - node _T_4606 = or(_T_4605, _T_4603) @[Mux.scala 27:72] - node _T_4607 = or(_T_4606, _T_4604) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4599 @[Mux.scala 27:72] + node _T_4600 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4601 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4604 = mux(_T_4600, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4605 = mux(_T_4601, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4606 = mux(_T_4602, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4607 = mux(_T_4603, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4608 = or(_T_4604, _T_4605) @[Mux.scala 27:72] + node _T_4609 = or(_T_4608, _T_4606) @[Mux.scala 27:72] + node _T_4610 = or(_T_4609, _T_4607) @[Mux.scala 27:72] wire lsu_nonblock_addr_offset : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_addr_offset <= _T_4607 @[Mux.scala 27:72] - node _T_4608 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4609 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4610 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4611 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4612 = mux(_T_4608, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4613 = mux(_T_4609, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4614 = mux(_T_4610, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4615 = mux(_T_4611, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4616 = or(_T_4612, _T_4613) @[Mux.scala 27:72] - node _T_4617 = or(_T_4616, _T_4614) @[Mux.scala 27:72] - node _T_4618 = or(_T_4617, _T_4615) @[Mux.scala 27:72] + lsu_nonblock_addr_offset <= _T_4610 @[Mux.scala 27:72] + node _T_4611 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4612 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4614 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4615 = mux(_T_4611, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4616 = mux(_T_4612, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4617 = mux(_T_4613, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4618 = mux(_T_4614, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4619 = or(_T_4615, _T_4616) @[Mux.scala 27:72] + node _T_4620 = or(_T_4619, _T_4617) @[Mux.scala 27:72] + node _T_4621 = or(_T_4620, _T_4618) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4618 @[Mux.scala 27:72] - node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4620 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4621 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4622 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4623 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4624 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4625 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4626 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4627 = mux(_T_4619, _T_4620, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4628 = mux(_T_4621, _T_4622, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4629 = mux(_T_4623, _T_4624, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4630 = mux(_T_4625, _T_4626, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4631 = or(_T_4627, _T_4628) @[Mux.scala 27:72] - node _T_4632 = or(_T_4631, _T_4629) @[Mux.scala 27:72] - node _T_4633 = or(_T_4632, _T_4630) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4621 @[Mux.scala 27:72] + node _T_4622 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4623 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4624 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4625 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4626 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4627 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4628 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4629 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4630 = mux(_T_4622, _T_4623, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4631 = mux(_T_4624, _T_4625, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4632 = mux(_T_4626, _T_4627, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4633 = mux(_T_4628, _T_4629, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4634 = or(_T_4630, _T_4631) @[Mux.scala 27:72] + node _T_4635 = or(_T_4634, _T_4632) @[Mux.scala 27:72] + node _T_4636 = or(_T_4635, _T_4633) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4633 @[Mux.scala 27:72] - node _T_4634 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4635 = cat(_T_4634, buf_dual[1]) @[Cat.scala 29:58] - node _T_4636 = cat(_T_4635, buf_dual[0]) @[Cat.scala 29:58] - node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4638 = bits(_T_4636, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4639 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4640 = bits(_T_4636, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4641 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4642 = bits(_T_4636, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4643 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4644 = bits(_T_4636, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4645 = mux(_T_4637, _T_4638, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4646 = mux(_T_4639, _T_4640, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4647 = mux(_T_4641, _T_4642, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4648 = mux(_T_4643, _T_4644, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4649 = or(_T_4645, _T_4646) @[Mux.scala 27:72] - node _T_4650 = or(_T_4649, _T_4647) @[Mux.scala 27:72] - node _T_4651 = or(_T_4650, _T_4648) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4636 @[Mux.scala 27:72] + node _T_4637 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4638 = cat(_T_4637, buf_dual[1]) @[Cat.scala 29:58] + node _T_4639 = cat(_T_4638, buf_dual[0]) @[Cat.scala 29:58] + node _T_4640 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4641 = bits(_T_4639, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4642 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4643 = bits(_T_4639, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4644 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4645 = bits(_T_4639, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4646 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4647 = bits(_T_4639, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4648 = mux(_T_4640, _T_4641, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4649 = mux(_T_4642, _T_4643, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4650 = mux(_T_4644, _T_4645, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4651 = mux(_T_4646, _T_4647, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4652 = or(_T_4648, _T_4649) @[Mux.scala 27:72] + node _T_4653 = or(_T_4652, _T_4650) @[Mux.scala 27:72] + node _T_4654 = or(_T_4653, _T_4651) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4651 @[Mux.scala 27:72] - node _T_4652 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4653 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 563:121] - node lsu_nonblock_data_unalgn = dshr(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4654 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:69] - node _T_4655 = and(lsu_nonblock_load_data_ready, _T_4654) @[el2_lsu_bus_buffer.scala 564:67] - io.lsu_nonblock_load_data_valid <= _T_4655 @[el2_lsu_bus_buffer.scala 564:35] - node _T_4656 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:81] - node _T_4657 = and(lsu_nonblock_unsign, _T_4656) @[el2_lsu_bus_buffer.scala 565:63] - node _T_4658 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 565:131] - node _T_4659 = cat(UInt<24>("h00"), _T_4658) @[Cat.scala 29:58] - node _T_4660 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 566:45] - node _T_4661 = and(lsu_nonblock_unsign, _T_4660) @[el2_lsu_bus_buffer.scala 566:26] - node _T_4662 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 566:95] - node _T_4663 = cat(UInt<16>("h00"), _T_4662) @[Cat.scala 29:58] - node _T_4664 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:6] - node _T_4665 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:45] - node _T_4666 = and(_T_4664, _T_4665) @[el2_lsu_bus_buffer.scala 567:27] - node _T_4667 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 567:93] - node _T_4668 = bits(_T_4667, 0, 0) @[Bitwise.scala 72:15] - node _T_4669 = mux(_T_4668, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4670 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 567:123] - node _T_4671 = cat(_T_4669, _T_4670) @[Cat.scala 29:58] - node _T_4672 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:6] - node _T_4673 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:45] - node _T_4674 = and(_T_4672, _T_4673) @[el2_lsu_bus_buffer.scala 568:27] - node _T_4675 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 568:93] - node _T_4676 = bits(_T_4675, 0, 0) @[Bitwise.scala 72:15] - node _T_4677 = mux(_T_4676, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4678 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 568:124] - node _T_4679 = cat(_T_4677, _T_4678) @[Cat.scala 29:58] - node _T_4680 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:21] - node _T_4681 = mux(_T_4657, _T_4659, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4682 = mux(_T_4661, _T_4663, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4683 = mux(_T_4666, _T_4671, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4684 = mux(_T_4674, _T_4679, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4685 = mux(_T_4680, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4686 = or(_T_4681, _T_4682) @[Mux.scala 27:72] - node _T_4687 = or(_T_4686, _T_4683) @[Mux.scala 27:72] - node _T_4688 = or(_T_4687, _T_4684) @[Mux.scala 27:72] - node _T_4689 = or(_T_4688, _T_4685) @[Mux.scala 27:72] - wire _T_4690 : UInt<64> @[Mux.scala 27:72] - _T_4690 <= _T_4689 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4690 @[el2_lsu_bus_buffer.scala 565:29] - node _T_4691 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 570:62] - node _T_4692 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4693 = and(_T_4691, _T_4692) @[el2_lsu_bus_buffer.scala 570:73] - node _T_4694 = and(_T_4693, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 570:93] - node _T_4695 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 570:62] - node _T_4696 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4697 = and(_T_4695, _T_4696) @[el2_lsu_bus_buffer.scala 570:73] - node _T_4698 = and(_T_4697, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 570:93] - node _T_4699 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 570:62] - node _T_4700 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4701 = and(_T_4699, _T_4700) @[el2_lsu_bus_buffer.scala 570:73] - node _T_4702 = and(_T_4701, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 570:93] - node _T_4703 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 570:62] - node _T_4704 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4705 = and(_T_4703, _T_4704) @[el2_lsu_bus_buffer.scala 570:73] - node _T_4706 = and(_T_4705, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 570:93] - node _T_4707 = or(_T_4694, _T_4698) @[el2_lsu_bus_buffer.scala 570:141] - node _T_4708 = or(_T_4707, _T_4702) @[el2_lsu_bus_buffer.scala 570:141] - node _T_4709 = or(_T_4708, _T_4706) @[el2_lsu_bus_buffer.scala 570:141] - bus_sideeffect_pend <= _T_4709 @[el2_lsu_bus_buffer.scala 570:23] - node _T_4710 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 571:71] - node _T_4711 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 572:25] - node _T_4712 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 572:50] - node _T_4713 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 572:70] - node _T_4714 = eq(_T_4712, _T_4713) @[el2_lsu_bus_buffer.scala 572:56] - node _T_4715 = and(_T_4711, _T_4714) @[el2_lsu_bus_buffer.scala 572:38] - node _T_4716 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:92] - node _T_4717 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4718 = and(obuf_merge, _T_4717) @[el2_lsu_bus_buffer.scala 572:114] - node _T_4719 = or(_T_4716, _T_4718) @[el2_lsu_bus_buffer.scala 572:100] - node _T_4720 = eq(_T_4719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:80] - node _T_4721 = and(_T_4715, _T_4720) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4722 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 571:71] - node _T_4723 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 572:25] - node _T_4724 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 572:50] - node _T_4725 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 572:70] - node _T_4726 = eq(_T_4724, _T_4725) @[el2_lsu_bus_buffer.scala 572:56] - node _T_4727 = and(_T_4723, _T_4726) @[el2_lsu_bus_buffer.scala 572:38] - node _T_4728 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 572:92] - node _T_4729 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4730 = and(obuf_merge, _T_4729) @[el2_lsu_bus_buffer.scala 572:114] - node _T_4731 = or(_T_4728, _T_4730) @[el2_lsu_bus_buffer.scala 572:100] - node _T_4732 = eq(_T_4731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:80] - node _T_4733 = and(_T_4727, _T_4732) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4734 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 571:71] - node _T_4735 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 572:25] - node _T_4736 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 572:50] - node _T_4737 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 572:70] - node _T_4738 = eq(_T_4736, _T_4737) @[el2_lsu_bus_buffer.scala 572:56] - node _T_4739 = and(_T_4735, _T_4738) @[el2_lsu_bus_buffer.scala 572:38] - node _T_4740 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 572:92] - node _T_4741 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4742 = and(obuf_merge, _T_4741) @[el2_lsu_bus_buffer.scala 572:114] - node _T_4743 = or(_T_4740, _T_4742) @[el2_lsu_bus_buffer.scala 572:100] - node _T_4744 = eq(_T_4743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:80] - node _T_4745 = and(_T_4739, _T_4744) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4746 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 571:71] - node _T_4747 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 572:25] - node _T_4748 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 572:50] - node _T_4749 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 572:70] - node _T_4750 = eq(_T_4748, _T_4749) @[el2_lsu_bus_buffer.scala 572:56] - node _T_4751 = and(_T_4747, _T_4750) @[el2_lsu_bus_buffer.scala 572:38] - node _T_4752 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 572:92] - node _T_4753 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4754 = and(obuf_merge, _T_4753) @[el2_lsu_bus_buffer.scala 572:114] - node _T_4755 = or(_T_4752, _T_4754) @[el2_lsu_bus_buffer.scala 572:100] - node _T_4756 = eq(_T_4755, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:80] - node _T_4757 = and(_T_4751, _T_4756) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4758 = mux(_T_4710, _T_4721, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4759 = mux(_T_4722, _T_4733, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4760 = mux(_T_4734, _T_4745, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4761 = mux(_T_4746, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4762 = or(_T_4758, _T_4759) @[Mux.scala 27:72] - node _T_4763 = or(_T_4762, _T_4760) @[Mux.scala 27:72] - node _T_4764 = or(_T_4763, _T_4761) @[Mux.scala 27:72] - wire _T_4765 : UInt<1> @[Mux.scala 27:72] - _T_4765 <= _T_4764 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4765 @[el2_lsu_bus_buffer.scala 571:26] - node _T_4766 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 574:54] - node _T_4767 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 574:75] - node _T_4768 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 574:150] - node _T_4769 = mux(_T_4766, _T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 574:39] - node _T_4770 = mux(obuf_write, _T_4769, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 574:23] - bus_cmd_ready <= _T_4770 @[el2_lsu_bus_buffer.scala 574:17] - node _T_4771 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 575:39] - bus_wcmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 575:17] - node _T_4772 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 576:39] - bus_wdata_sent <= _T_4772 @[el2_lsu_bus_buffer.scala 576:18] - node _T_4773 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 577:35] - node _T_4774 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 577:70] - node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 577:52] - node _T_4776 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 577:111] - node _T_4777 = or(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 577:89] - bus_cmd_sent <= _T_4777 @[el2_lsu_bus_buffer.scala 577:16] - node _T_4778 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 578:37] - bus_rsp_read <= _T_4778 @[el2_lsu_bus_buffer.scala 578:16] - node _T_4779 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 579:38] - bus_rsp_write <= _T_4779 @[el2_lsu_bus_buffer.scala 579:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 580:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 581:21] - node _T_4780 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4781 = and(bus_rsp_write, _T_4780) @[el2_lsu_bus_buffer.scala 582:40] - bus_rsp_write_error <= _T_4781 @[el2_lsu_bus_buffer.scala 582:23] - node _T_4782 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:58] - node _T_4783 = and(bus_rsp_read, _T_4782) @[el2_lsu_bus_buffer.scala 583:38] - bus_rsp_read_error <= _T_4783 @[el2_lsu_bus_buffer.scala 583:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 584:17] - node _T_4784 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 587:36] - node _T_4785 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:51] - node _T_4786 = and(_T_4784, _T_4785) @[el2_lsu_bus_buffer.scala 587:49] - node _T_4787 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:68] - node _T_4788 = and(_T_4786, _T_4787) @[el2_lsu_bus_buffer.scala 587:66] - io.lsu_axi_awvalid <= _T_4788 @[el2_lsu_bus_buffer.scala 587:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 588:19] - node _T_4789 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:69] - node _T_4790 = cat(_T_4789, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4791 = mux(obuf_sideeffect, obuf_addr, _T_4790) @[el2_lsu_bus_buffer.scala 589:27] - io.lsu_axi_awaddr <= _T_4791 @[el2_lsu_bus_buffer.scala 589:21] - node _T_4792 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4793 = mux(obuf_sideeffect, _T_4792, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 590:27] - io.lsu_axi_awsize <= _T_4793 @[el2_lsu_bus_buffer.scala 590:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 591:21] - node _T_4794 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 592:28] - io.lsu_axi_awcache <= _T_4794 @[el2_lsu_bus_buffer.scala 592:22] - node _T_4795 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 593:35] - io.lsu_axi_awregion <= _T_4795 @[el2_lsu_bus_buffer.scala 593:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 595:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 596:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 597:21] - node _T_4796 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 599:35] - node _T_4797 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:50] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 599:48] - node _T_4799 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:68] - node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 599:66] - io.lsu_axi_wvalid <= _T_4800 @[el2_lsu_bus_buffer.scala 599:21] - node _T_4801 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4802 = mux(_T_4801, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4803 = and(obuf_byteen, _T_4802) @[el2_lsu_bus_buffer.scala 600:35] - io.lsu_axi_wstrb <= _T_4803 @[el2_lsu_bus_buffer.scala 600:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 601:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 602:20] - node _T_4804 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:38] - node _T_4805 = and(obuf_valid, _T_4804) @[el2_lsu_bus_buffer.scala 604:36] - node _T_4806 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:52] - node _T_4807 = and(_T_4805, _T_4806) @[el2_lsu_bus_buffer.scala 604:50] - node _T_4808 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:67] - node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 604:65] - io.lsu_axi_arvalid <= _T_4809 @[el2_lsu_bus_buffer.scala 604:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 605:19] - node _T_4810 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:69] - node _T_4811 = cat(_T_4810, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4812 = mux(obuf_sideeffect, obuf_addr, _T_4811) @[el2_lsu_bus_buffer.scala 606:27] - io.lsu_axi_araddr <= _T_4812 @[el2_lsu_bus_buffer.scala 606:21] - node _T_4813 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4814 = mux(obuf_sideeffect, _T_4813, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:27] - io.lsu_axi_arsize <= _T_4814 @[el2_lsu_bus_buffer.scala 607:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:21] - node _T_4815 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 609:28] - io.lsu_axi_arcache <= _T_4815 @[el2_lsu_bus_buffer.scala 609:22] - node _T_4816 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 610:35] - io.lsu_axi_arregion <= _T_4816 @[el2_lsu_bus_buffer.scala 610:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 612:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 613:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 614:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 615:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 616:21] - node _T_4817 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 617:81] - node _T_4818 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 617:125] - node _T_4819 = and(io.lsu_bus_clk_en_q, _T_4818) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4820 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 617:140] - node _T_4821 = and(_T_4819, _T_4820) @[el2_lsu_bus_buffer.scala 617:129] - node _T_4822 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 617:81] - node _T_4823 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 617:125] - node _T_4824 = and(io.lsu_bus_clk_en_q, _T_4823) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4825 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 617:140] - node _T_4826 = and(_T_4824, _T_4825) @[el2_lsu_bus_buffer.scala 617:129] - node _T_4827 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 617:81] - node _T_4828 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 617:125] - node _T_4829 = and(io.lsu_bus_clk_en_q, _T_4828) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4830 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 617:140] - node _T_4831 = and(_T_4829, _T_4830) @[el2_lsu_bus_buffer.scala 617:129] - node _T_4832 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 617:81] - node _T_4833 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 617:125] - node _T_4834 = and(io.lsu_bus_clk_en_q, _T_4833) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4835 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 617:140] - node _T_4836 = and(_T_4834, _T_4835) @[el2_lsu_bus_buffer.scala 617:129] - node _T_4837 = mux(_T_4817, _T_4821, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4838 = mux(_T_4822, _T_4826, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4839 = mux(_T_4827, _T_4831, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4840 = mux(_T_4832, _T_4836, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4841 = or(_T_4837, _T_4838) @[Mux.scala 27:72] - node _T_4842 = or(_T_4841, _T_4839) @[Mux.scala 27:72] - node _T_4843 = or(_T_4842, _T_4840) @[Mux.scala 27:72] - wire _T_4844 : UInt<1> @[Mux.scala 27:72] - _T_4844 <= _T_4843 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4844 @[el2_lsu_bus_buffer.scala 617:36] - node _T_4845 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 618:87] - node _T_4846 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 618:109] - node _T_4847 = and(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 618:98] - node _T_4848 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 618:124] - node _T_4849 = and(_T_4847, _T_4848) @[el2_lsu_bus_buffer.scala 618:113] - node _T_4850 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 618:87] - node _T_4851 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 618:109] - node _T_4852 = and(_T_4850, _T_4851) @[el2_lsu_bus_buffer.scala 618:98] - node _T_4853 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 618:124] - node _T_4854 = and(_T_4852, _T_4853) @[el2_lsu_bus_buffer.scala 618:113] - node _T_4855 = mux(_T_4849, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4856 = mux(_T_4854, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4857 = or(_T_4855, _T_4856) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4654 @[Mux.scala 27:72] + node _T_4655 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4656 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 566:121] + node lsu_nonblock_data_unalgn = dshr(_T_4655, _T_4656) @[el2_lsu_bus_buffer.scala 566:92] + node _T_4657 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:69] + node _T_4658 = and(lsu_nonblock_load_data_ready, _T_4657) @[el2_lsu_bus_buffer.scala 567:67] + io.lsu_nonblock_load_data_valid <= _T_4658 @[el2_lsu_bus_buffer.scala 567:35] + node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:81] + node _T_4660 = and(lsu_nonblock_unsign, _T_4659) @[el2_lsu_bus_buffer.scala 568:63] + node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 568:131] + node _T_4662 = cat(UInt<24>("h00"), _T_4661) @[Cat.scala 29:58] + node _T_4663 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:45] + node _T_4664 = and(lsu_nonblock_unsign, _T_4663) @[el2_lsu_bus_buffer.scala 569:26] + node _T_4665 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 569:95] + node _T_4666 = cat(UInt<16>("h00"), _T_4665) @[Cat.scala 29:58] + node _T_4667 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:6] + node _T_4668 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:45] + node _T_4669 = and(_T_4667, _T_4668) @[el2_lsu_bus_buffer.scala 570:27] + node _T_4670 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 570:93] + node _T_4671 = bits(_T_4670, 0, 0) @[Bitwise.scala 72:15] + node _T_4672 = mux(_T_4671, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4673 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 570:123] + node _T_4674 = cat(_T_4672, _T_4673) @[Cat.scala 29:58] + node _T_4675 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:6] + node _T_4676 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 571:45] + node _T_4677 = and(_T_4675, _T_4676) @[el2_lsu_bus_buffer.scala 571:27] + node _T_4678 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 571:93] + node _T_4679 = bits(_T_4678, 0, 0) @[Bitwise.scala 72:15] + node _T_4680 = mux(_T_4679, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4681 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 571:124] + node _T_4682 = cat(_T_4680, _T_4681) @[Cat.scala 29:58] + node _T_4683 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 572:21] + node _T_4684 = mux(_T_4660, _T_4662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4685 = mux(_T_4664, _T_4666, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4686 = mux(_T_4669, _T_4674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4687 = mux(_T_4677, _T_4682, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4683, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = or(_T_4684, _T_4685) @[Mux.scala 27:72] + node _T_4690 = or(_T_4689, _T_4686) @[Mux.scala 27:72] + node _T_4691 = or(_T_4690, _T_4687) @[Mux.scala 27:72] + node _T_4692 = or(_T_4691, _T_4688) @[Mux.scala 27:72] + wire _T_4693 : UInt<64> @[Mux.scala 27:72] + _T_4693 <= _T_4692 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4693 @[el2_lsu_bus_buffer.scala 568:29] + node _T_4694 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4695 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4696 = and(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4697 = and(_T_4696, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4698 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4699 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4700 = and(_T_4698, _T_4699) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4701 = and(_T_4700, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4702 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4703 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4704 = and(_T_4702, _T_4703) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4705 = and(_T_4704, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4706 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4707 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4708 = and(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4709 = and(_T_4708, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4710 = or(_T_4697, _T_4701) @[el2_lsu_bus_buffer.scala 573:141] + node _T_4711 = or(_T_4710, _T_4705) @[el2_lsu_bus_buffer.scala 573:141] + node _T_4712 = or(_T_4711, _T_4709) @[el2_lsu_bus_buffer.scala 573:141] + bus_sideeffect_pend <= _T_4712 @[el2_lsu_bus_buffer.scala 573:23] + node _T_4713 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4714 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4715 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4716 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4717 = eq(_T_4715, _T_4716) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4718 = and(_T_4714, _T_4717) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4719 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4720 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4721 = and(obuf_merge, _T_4720) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4722 = or(_T_4719, _T_4721) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4723 = eq(_T_4722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4724 = and(_T_4718, _T_4723) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4725 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4726 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4727 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4728 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4729 = eq(_T_4727, _T_4728) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4730 = and(_T_4726, _T_4729) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4731 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4732 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4733 = and(obuf_merge, _T_4732) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4734 = or(_T_4731, _T_4733) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4735 = eq(_T_4734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4736 = and(_T_4730, _T_4735) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4737 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4738 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4739 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4740 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4741 = eq(_T_4739, _T_4740) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4742 = and(_T_4738, _T_4741) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4743 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4744 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4745 = and(obuf_merge, _T_4744) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4746 = or(_T_4743, _T_4745) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4747 = eq(_T_4746, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4748 = and(_T_4742, _T_4747) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4749 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4750 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4751 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4752 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4753 = eq(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4754 = and(_T_4750, _T_4753) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4755 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4756 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4757 = and(obuf_merge, _T_4756) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4758 = or(_T_4755, _T_4757) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4759 = eq(_T_4758, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4760 = and(_T_4754, _T_4759) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4761 = mux(_T_4713, _T_4724, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4762 = mux(_T_4725, _T_4736, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4763 = mux(_T_4737, _T_4748, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4764 = mux(_T_4749, _T_4760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4765 = or(_T_4761, _T_4762) @[Mux.scala 27:72] + node _T_4766 = or(_T_4765, _T_4763) @[Mux.scala 27:72] + node _T_4767 = or(_T_4766, _T_4764) @[Mux.scala 27:72] + wire _T_4768 : UInt<1> @[Mux.scala 27:72] + _T_4768 <= _T_4767 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4768 @[el2_lsu_bus_buffer.scala 574:26] + node _T_4769 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 577:54] + node _T_4770 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:75] + node _T_4771 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:150] + node _T_4772 = mux(_T_4769, _T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 577:39] + node _T_4773 = mux(obuf_write, _T_4772, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 577:23] + bus_cmd_ready <= _T_4773 @[el2_lsu_bus_buffer.scala 577:17] + node _T_4774 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 578:39] + bus_wcmd_sent <= _T_4774 @[el2_lsu_bus_buffer.scala 578:17] + node _T_4775 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 579:39] + bus_wdata_sent <= _T_4775 @[el2_lsu_bus_buffer.scala 579:18] + node _T_4776 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 580:35] + node _T_4777 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 580:70] + node _T_4778 = and(_T_4776, _T_4777) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4779 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 580:111] + node _T_4780 = or(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 580:89] + bus_cmd_sent <= _T_4780 @[el2_lsu_bus_buffer.scala 580:16] + node _T_4781 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 581:37] + bus_rsp_read <= _T_4781 @[el2_lsu_bus_buffer.scala 581:16] + node _T_4782 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 582:38] + bus_rsp_write <= _T_4782 @[el2_lsu_bus_buffer.scala 582:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 583:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 584:21] + node _T_4783 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:60] + node _T_4784 = and(bus_rsp_write, _T_4783) @[el2_lsu_bus_buffer.scala 585:40] + bus_rsp_write_error <= _T_4784 @[el2_lsu_bus_buffer.scala 585:23] + node _T_4785 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:58] + node _T_4786 = and(bus_rsp_read, _T_4785) @[el2_lsu_bus_buffer.scala 586:38] + bus_rsp_read_error <= _T_4786 @[el2_lsu_bus_buffer.scala 586:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 587:17] + node _T_4787 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 590:36] + node _T_4788 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:51] + node _T_4789 = and(_T_4787, _T_4788) @[el2_lsu_bus_buffer.scala 590:49] + node _T_4790 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:68] + node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 590:66] + io.lsu_axi_awvalid <= _T_4791 @[el2_lsu_bus_buffer.scala 590:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 591:19] + node _T_4792 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 592:69] + node _T_4793 = cat(_T_4792, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4794 = mux(obuf_sideeffect, obuf_addr, _T_4793) @[el2_lsu_bus_buffer.scala 592:27] + io.lsu_axi_awaddr <= _T_4794 @[el2_lsu_bus_buffer.scala 592:21] + node _T_4795 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4796 = mux(obuf_sideeffect, _T_4795, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 593:27] + io.lsu_axi_awsize <= _T_4796 @[el2_lsu_bus_buffer.scala 593:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:21] + node _T_4797 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 595:28] + io.lsu_axi_awcache <= _T_4797 @[el2_lsu_bus_buffer.scala 595:22] + node _T_4798 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 596:35] + io.lsu_axi_awregion <= _T_4798 @[el2_lsu_bus_buffer.scala 596:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 597:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 598:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 599:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 600:21] + node _T_4799 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 602:35] + node _T_4800 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:50] + node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 602:48] + node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:68] + node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 602:66] + io.lsu_axi_wvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 602:21] + node _T_4804 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4805 = mux(_T_4804, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4806 = and(obuf_byteen, _T_4805) @[el2_lsu_bus_buffer.scala 603:35] + io.lsu_axi_wstrb <= _T_4806 @[el2_lsu_bus_buffer.scala 603:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 604:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 605:20] + node _T_4807 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4808 = and(obuf_valid, _T_4807) @[el2_lsu_bus_buffer.scala 607:36] + node _T_4809 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:52] + node _T_4810 = and(_T_4808, _T_4809) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4811 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:67] + node _T_4812 = and(_T_4810, _T_4811) @[el2_lsu_bus_buffer.scala 607:65] + io.lsu_axi_arvalid <= _T_4812 @[el2_lsu_bus_buffer.scala 607:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 608:19] + node _T_4813 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 609:69] + node _T_4814 = cat(_T_4813, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4815 = mux(obuf_sideeffect, obuf_addr, _T_4814) @[el2_lsu_bus_buffer.scala 609:27] + io.lsu_axi_araddr <= _T_4815 @[el2_lsu_bus_buffer.scala 609:21] + node _T_4816 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4817 = mux(obuf_sideeffect, _T_4816, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 610:27] + io.lsu_axi_arsize <= _T_4817 @[el2_lsu_bus_buffer.scala 610:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] + node _T_4818 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 612:28] + io.lsu_axi_arcache <= _T_4818 @[el2_lsu_bus_buffer.scala 612:22] + node _T_4819 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 613:35] + io.lsu_axi_arregion <= _T_4819 @[el2_lsu_bus_buffer.scala 613:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 614:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 615:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 616:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 617:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 618:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 619:21] + node _T_4820 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4821 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4822 = and(io.lsu_bus_clk_en_q, _T_4821) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4823 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4824 = and(_T_4822, _T_4823) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4825 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4826 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4827 = and(io.lsu_bus_clk_en_q, _T_4826) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4828 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4829 = and(_T_4827, _T_4828) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4830 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4831 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4832 = and(io.lsu_bus_clk_en_q, _T_4831) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4833 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4834 = and(_T_4832, _T_4833) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4835 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4836 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4837 = and(io.lsu_bus_clk_en_q, _T_4836) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4838 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4839 = and(_T_4837, _T_4838) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4840 = mux(_T_4820, _T_4824, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4841 = mux(_T_4825, _T_4829, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4842 = mux(_T_4830, _T_4834, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4843 = mux(_T_4835, _T_4839, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4844 = or(_T_4840, _T_4841) @[Mux.scala 27:72] + node _T_4845 = or(_T_4844, _T_4842) @[Mux.scala 27:72] + node _T_4846 = or(_T_4845, _T_4843) @[Mux.scala 27:72] + wire _T_4847 : UInt<1> @[Mux.scala 27:72] + _T_4847 <= _T_4846 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4847 @[el2_lsu_bus_buffer.scala 620:36] + node _T_4848 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] + node _T_4849 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 621:109] + node _T_4850 = and(_T_4848, _T_4849) @[el2_lsu_bus_buffer.scala 621:98] + node _T_4851 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 621:124] + node _T_4852 = and(_T_4850, _T_4851) @[el2_lsu_bus_buffer.scala 621:113] + node _T_4853 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] + node _T_4854 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 621:109] + node _T_4855 = and(_T_4853, _T_4854) @[el2_lsu_bus_buffer.scala 621:98] + node _T_4856 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 621:124] + node _T_4857 = and(_T_4855, _T_4856) @[el2_lsu_bus_buffer.scala 621:113] + node _T_4858 = mux(_T_4852, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4859 = mux(_T_4857, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4860 = or(_T_4858, _T_4859) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4857 @[Mux.scala 27:72] - node _T_4858 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:72] - node _T_4859 = and(io.lsu_nonblock_load_data_error, _T_4858) @[el2_lsu_bus_buffer.scala 620:70] - io.lsu_imprecise_error_load_any <= _T_4859 @[el2_lsu_bus_buffer.scala 620:35] - node _T_4860 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4861 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4862 = mux(_T_4860, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4863 = mux(_T_4861, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4864 = or(_T_4862, _T_4863) @[Mux.scala 27:72] - wire _T_4865 : UInt<32> @[Mux.scala 27:72] - _T_4865 <= _T_4864 @[Mux.scala 27:72] - node _T_4866 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4867 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4868 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4869 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4870 = mux(_T_4866, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4871 = mux(_T_4867, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4872 = mux(_T_4868, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4873 = mux(_T_4869, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4874 = or(_T_4870, _T_4871) @[Mux.scala 27:72] - node _T_4875 = or(_T_4874, _T_4872) @[Mux.scala 27:72] - node _T_4876 = or(_T_4875, _T_4873) @[Mux.scala 27:72] - wire _T_4877 : UInt<32> @[Mux.scala 27:72] - _T_4877 <= _T_4876 @[Mux.scala 27:72] - node _T_4878 = mux(io.lsu_imprecise_error_store_any, _T_4865, _T_4877) @[el2_lsu_bus_buffer.scala 621:41] - io.lsu_imprecise_error_addr_any <= _T_4878 @[el2_lsu_bus_buffer.scala 621:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 624:23] - node _T_4879 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 627:46] - node _T_4880 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 627:89] - node _T_4881 = or(_T_4879, _T_4880) @[el2_lsu_bus_buffer.scala 627:68] - node _T_4882 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 627:132] - node _T_4883 = or(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 627:110] - io.lsu_pmu_bus_trxn <= _T_4883 @[el2_lsu_bus_buffer.scala 627:23] - node _T_4884 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 628:48] - node _T_4885 = and(_T_4884, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 628:65] - io.lsu_pmu_bus_misaligned <= _T_4885 @[el2_lsu_bus_buffer.scala 628:29] - node _T_4886 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 629:59] - io.lsu_pmu_bus_error <= _T_4886 @[el2_lsu_bus_buffer.scala 629:24] - node _T_4887 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:48] - node _T_4888 = and(io.lsu_axi_awvalid, _T_4887) @[el2_lsu_bus_buffer.scala 631:46] - node _T_4889 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:92] - node _T_4890 = and(io.lsu_axi_wvalid, _T_4889) @[el2_lsu_bus_buffer.scala 631:90] - node _T_4891 = or(_T_4888, _T_4890) @[el2_lsu_bus_buffer.scala 631:69] - node _T_4892 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:136] - node _T_4893 = and(io.lsu_axi_arvalid, _T_4892) @[el2_lsu_bus_buffer.scala 631:134] - node _T_4894 = or(_T_4891, _T_4893) @[el2_lsu_bus_buffer.scala 631:112] - io.lsu_pmu_bus_busy <= _T_4894 @[el2_lsu_bus_buffer.scala 631:23] - reg _T_4895 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 633:49] - _T_4895 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 633:49] - WrPtr0_r <= _T_4895 @[el2_lsu_bus_buffer.scala 633:12] - reg _T_4896 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 634:49] - _T_4896 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 634:49] - WrPtr1_r <= _T_4896 @[el2_lsu_bus_buffer.scala 634:12] - node _T_4897 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:75] - node _T_4898 = and(io.lsu_busreq_m, _T_4897) @[el2_lsu_bus_buffer.scala 635:73] - node _T_4899 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:89] - node _T_4900 = and(_T_4898, _T_4899) @[el2_lsu_bus_buffer.scala 635:87] - reg _T_4901 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 635:56] - _T_4901 <= _T_4900 @[el2_lsu_bus_buffer.scala 635:56] - io.lsu_busreq_r <= _T_4901 @[el2_lsu_bus_buffer.scala 635:19] - reg _T_4902 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 636:66] - _T_4902 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 636:66] - lsu_nonblock_load_valid_r <= _T_4902 @[el2_lsu_bus_buffer.scala 636:29] + lsu_imprecise_error_store_tag <= _T_4860 @[Mux.scala 27:72] + node _T_4861 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 623:72] + node _T_4862 = and(io.lsu_nonblock_load_data_error, _T_4861) @[el2_lsu_bus_buffer.scala 623:70] + io.lsu_imprecise_error_load_any <= _T_4862 @[el2_lsu_bus_buffer.scala 623:35] + node _T_4863 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4864 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4865 = mux(_T_4863, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4866 = mux(_T_4864, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4867 = or(_T_4865, _T_4866) @[Mux.scala 27:72] + wire _T_4868 : UInt<32> @[Mux.scala 27:72] + _T_4868 <= _T_4867 @[Mux.scala 27:72] + node _T_4869 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4870 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4871 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4872 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4873 = mux(_T_4869, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4874 = mux(_T_4870, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4875 = mux(_T_4871, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4876 = mux(_T_4872, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4877 = or(_T_4873, _T_4874) @[Mux.scala 27:72] + node _T_4878 = or(_T_4877, _T_4875) @[Mux.scala 27:72] + node _T_4879 = or(_T_4878, _T_4876) @[Mux.scala 27:72] + wire _T_4880 : UInt<32> @[Mux.scala 27:72] + _T_4880 <= _T_4879 @[Mux.scala 27:72] + node _T_4881 = mux(io.lsu_imprecise_error_store_any, _T_4868, _T_4880) @[el2_lsu_bus_buffer.scala 624:41] + io.lsu_imprecise_error_addr_any <= _T_4881 @[el2_lsu_bus_buffer.scala 624:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 627:23] + node _T_4882 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 630:46] + node _T_4883 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 630:89] + node _T_4884 = or(_T_4882, _T_4883) @[el2_lsu_bus_buffer.scala 630:68] + node _T_4885 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 630:132] + node _T_4886 = or(_T_4884, _T_4885) @[el2_lsu_bus_buffer.scala 630:110] + io.lsu_pmu_bus_trxn <= _T_4886 @[el2_lsu_bus_buffer.scala 630:23] + node _T_4887 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 631:48] + node _T_4888 = and(_T_4887, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 631:65] + io.lsu_pmu_bus_misaligned <= _T_4888 @[el2_lsu_bus_buffer.scala 631:29] + node _T_4889 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 632:59] + io.lsu_pmu_bus_error <= _T_4889 @[el2_lsu_bus_buffer.scala 632:24] + node _T_4890 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:48] + node _T_4891 = and(io.lsu_axi_awvalid, _T_4890) @[el2_lsu_bus_buffer.scala 634:46] + node _T_4892 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:92] + node _T_4893 = and(io.lsu_axi_wvalid, _T_4892) @[el2_lsu_bus_buffer.scala 634:90] + node _T_4894 = or(_T_4891, _T_4893) @[el2_lsu_bus_buffer.scala 634:69] + node _T_4895 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:136] + node _T_4896 = and(io.lsu_axi_arvalid, _T_4895) @[el2_lsu_bus_buffer.scala 634:134] + node _T_4897 = or(_T_4894, _T_4896) @[el2_lsu_bus_buffer.scala 634:112] + io.lsu_pmu_bus_busy <= _T_4897 @[el2_lsu_bus_buffer.scala 634:23] + reg _T_4898 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 636:49] + _T_4898 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 636:49] + WrPtr0_r <= _T_4898 @[el2_lsu_bus_buffer.scala 636:12] + reg _T_4899 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 637:49] + _T_4899 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 637:49] + WrPtr1_r <= _T_4899 @[el2_lsu_bus_buffer.scala 637:12] + node _T_4900 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:75] + node _T_4901 = and(io.lsu_busreq_m, _T_4900) @[el2_lsu_bus_buffer.scala 638:73] + node _T_4902 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:89] + node _T_4903 = and(_T_4901, _T_4902) @[el2_lsu_bus_buffer.scala 638:87] + reg _T_4904 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 638:56] + _T_4904 <= _T_4903 @[el2_lsu_bus_buffer.scala 638:56] + io.lsu_busreq_r <= _T_4904 @[el2_lsu_bus_buffer.scala 638:19] + reg _T_4905 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 639:66] + _T_4905 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 639:66] + lsu_nonblock_load_valid_r <= _T_4905 @[el2_lsu_bus_buffer.scala 639:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 31840f8a..c500ba89 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -141,7 +141,7 @@ module el2_lsu_bus_buffer( output [2:0] io_lsu_axi_arprot, output [3:0] io_lsu_axi_arqos, output io_lsu_axi_rready, - output [2:0] io_test + output [1:0] io_test ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -304,11 +304,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 126:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] + reg _T_4269; // @[Reg.scala 27:20] reg _T_4266; // @[Reg.scala 27:20] reg _T_4263; // @[Reg.scala 27:20] reg _T_4260; // @[Reg.scala 27:20] - reg _T_4257; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4266,_T_4263,_T_4260,_T_4257}; // @[Cat.scala 29:58] + wire [3:0] buf_write = {_T_4269,_T_4266,_T_4263,_T_4260}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 128:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] @@ -351,99 +351,99 @@ module el2_lsu_bus_buffer( wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 129:98] wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] - reg [2:0] _T_4293; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4293}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 536:16] + reg [2:0] _T_4296; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4291; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4291}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 536:16] + reg [2:0] _T_4294; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4289; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4289}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 536:16] + reg [2:0] _T_4292; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4287; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4287}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 536:16] + reg [2:0] _T_4290; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_2528 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_4013 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4036 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4040 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 523:60] + wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_4016 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4039 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4043 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 381:13] - wire _T_4047 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 475:48] + wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 478:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_4048 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_4049 = obuf_merge & _T_4048; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_4050 = _T_4047 | _T_4049; // @[el2_lsu_bus_buffer.scala 475:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 478:91] + wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 478:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 375:54] - wire _T_4051 = _T_4050 & obuf_valid; // @[el2_lsu_bus_buffer.scala 475:135] + wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 374:55] - wire _T_4052 = _T_4051 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 475:148] - wire _GEN_280 = _T_4040 & _T_4052; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4036 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4013 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2529 = _T_2528 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 433:104] - wire _T_2530 = buf_ageQ_3[3] & _T_2529; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2524 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_3820 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3843 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3847 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3854 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 475:48] - wire _T_3855 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_3856 = obuf_merge & _T_3855; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_3857 = _T_3854 | _T_3856; // @[el2_lsu_bus_buffer.scala 475:77] - wire _T_3858 = _T_3857 & obuf_valid; // @[el2_lsu_bus_buffer.scala 475:135] - wire _T_3859 = _T_3858 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 475:148] - wire _GEN_204 = _T_3847 & _T_3859; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3843 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3820 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2525 = _T_2524 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 433:104] - wire _T_2526 = buf_ageQ_3[2] & _T_2525; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2520 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_3627 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3650 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3654 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3661 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 475:48] - wire _T_3662 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_3663 = obuf_merge & _T_3662; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_3664 = _T_3661 | _T_3663; // @[el2_lsu_bus_buffer.scala 475:77] - wire _T_3665 = _T_3664 & obuf_valid; // @[el2_lsu_bus_buffer.scala 475:135] - wire _T_3666 = _T_3665 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 475:148] - wire _GEN_128 = _T_3654 & _T_3666; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3650 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3627 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2521 = _T_2520 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 433:104] - wire _T_2522 = buf_ageQ_3[1] & _T_2521; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2516 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_3434 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3457 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3461 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3468 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 475:48] - wire _T_3469 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_3470 = obuf_merge & _T_3469; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_3471 = _T_3468 | _T_3470; // @[el2_lsu_bus_buffer.scala 475:77] - wire _T_3472 = _T_3471 & obuf_valid; // @[el2_lsu_bus_buffer.scala 475:135] - wire _T_3473 = _T_3472 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 475:148] - wire _GEN_52 = _T_3461 & _T_3473; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3457 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3434 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2517 = _T_2516 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 433:104] - wire _T_2518 = buf_ageQ_3[0] & _T_2517; // @[el2_lsu_bus_buffer.scala 433:78] - wire [3:0] buf_age_3 = {_T_2530,_T_2526,_T_2522,_T_2518}; // @[Cat.scala 29:58] - wire _T_2629 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2631 = _T_2629 & _T_19; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2623 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2625 = _T_2623 & _T_12; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2617 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2619 = _T_2617 & _T_5; // @[el2_lsu_bus_buffer.scala 434:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2631,_T_2625,_T_2619}; // @[Cat.scala 29:58] + wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _GEN_280 = _T_4043 & _T_4055; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4039 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 436:104] + wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_3823 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3846 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3850 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 478:91] + wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 478:77] + wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] + wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _GEN_204 = _T_3850 & _T_3862; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3846 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 436:104] + wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_3630 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3653 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3657 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 478:91] + wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 478:77] + wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] + wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _GEN_128 = _T_3657 & _T_3669; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3653 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 436:104] + wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_3437 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3460 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3464 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 478:104] + wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 478:91] + wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 478:77] + wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] + wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _GEN_52 = _T_3464 & _T_3476; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3460 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 436:104] + wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] buf_age_3 = {_T_2533,_T_2529,_T_2525,_T_2521}; // @[Cat.scala 29:58] + wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 437:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2634,_T_2628,_T_2622}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 196:99] @@ -461,55 +461,55 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_2511 = buf_ageQ_2[3] & _T_2529; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2507 = buf_ageQ_2[2] & _T_2525; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2503 = buf_ageQ_2[1] & _T_2521; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2499 = buf_ageQ_2[0] & _T_2517; // @[el2_lsu_bus_buffer.scala 433:78] - wire [3:0] buf_age_2 = {_T_2511,_T_2507,_T_2503,_T_2499}; // @[Cat.scala 29:58] - wire _T_2608 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2610 = _T_2608 & _T_26; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2596 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2598 = _T_2596 & _T_12; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2590 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2592 = _T_2590 & _T_5; // @[el2_lsu_bus_buffer.scala 434:106] - wire [3:0] buf_age_younger_2 = {_T_2610,1'h0,_T_2598,_T_2592}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 523:60] + wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] buf_age_2 = {_T_2514,_T_2510,_T_2506,_T_2502}; // @[Cat.scala 29:58] + wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 437:106] + wire [3:0] buf_age_younger_2 = {_T_2613,1'h0,_T_2601,_T_2595}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_2492 = buf_ageQ_1[3] & _T_2529; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2488 = buf_ageQ_1[2] & _T_2525; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2484 = buf_ageQ_1[1] & _T_2521; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2480 = buf_ageQ_1[0] & _T_2517; // @[el2_lsu_bus_buffer.scala 433:78] - wire [3:0] buf_age_1 = {_T_2492,_T_2488,_T_2484,_T_2480}; // @[Cat.scala 29:58] - wire _T_2581 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2583 = _T_2581 & _T_26; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2575 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2577 = _T_2575 & _T_19; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2563 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2565 = _T_2563 & _T_5; // @[el2_lsu_bus_buffer.scala 434:106] - wire [3:0] buf_age_younger_1 = {_T_2583,_T_2577,1'h0,_T_2565}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 523:60] + wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] buf_age_1 = {_T_2495,_T_2491,_T_2487,_T_2483}; // @[Cat.scala 29:58] + wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 437:106] + wire [3:0] buf_age_younger_1 = {_T_2586,_T_2580,1'h0,_T_2568}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_2473 = buf_ageQ_0[3] & _T_2529; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2469 = buf_ageQ_0[2] & _T_2525; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2465 = buf_ageQ_0[1] & _T_2521; // @[el2_lsu_bus_buffer.scala 433:78] - wire _T_2461 = buf_ageQ_0[0] & _T_2517; // @[el2_lsu_bus_buffer.scala 433:78] - wire [3:0] buf_age_0 = {_T_2473,_T_2469,_T_2465,_T_2461}; // @[Cat.scala 29:58] - wire _T_2554 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2556 = _T_2554 & _T_26; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2548 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2550 = _T_2548 & _T_19; // @[el2_lsu_bus_buffer.scala 434:106] - wire _T_2542 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 434:91] - wire _T_2544 = _T_2542 & _T_12; // @[el2_lsu_bus_buffer.scala 434:106] - wire [3:0] buf_age_younger_0 = {_T_2556,_T_2550,_T_2544,1'h0}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 523:60] + wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] buf_age_0 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] + wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 437:91] + wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 437:106] + wire [3:0] buf_age_younger_0 = {_T_2559,_T_2553,_T_2547,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 196:99] @@ -759,23 +759,23 @@ module el2_lsu_bus_buffer( wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 189:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4294; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 537:14] + reg [3:0] _T_4297; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_0 = {{28'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4295; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4295}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 537:14] + reg [3:0] _T_4298; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_1 = {{28'd0}, _T_4298}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4296; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 537:14] + reg [3:0] _T_4299; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_2 = {{28'd0}, _T_4299}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4297; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 537:14] + reg [3:0] _T_4300; // @[el2_lib.scala 491:16] + wire [31:0] buf_data_3 = {{28'd0}, _T_4300}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 214:123] @@ -928,8 +928,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 247:32] wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 241:34] wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 241:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 634:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 633:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 637:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 636:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 257:77] @@ -970,41 +970,41 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4347 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4348 = buf_write[3] & _T_4347; // @[el2_lsu_bus_buffer.scala 541:64] - wire _T_4349 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 541:91] - wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 541:89] - wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4341 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4342 = buf_write[2] & _T_4341; // @[el2_lsu_bus_buffer.scala 541:64] - wire _T_4343 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 541:91] - wire _T_4344 = _T_4342 & _T_4343; // @[el2_lsu_bus_buffer.scala 541:89] - wire [1:0] _T_4351 = _T_4350 + _T_4344; // @[el2_lsu_bus_buffer.scala 541:142] - wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4335 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4336 = buf_write[1] & _T_4335; // @[el2_lsu_bus_buffer.scala 541:64] - wire _T_4337 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 541:91] - wire _T_4338 = _T_4336 & _T_4337; // @[el2_lsu_bus_buffer.scala 541:89] - wire [1:0] _GEN_368 = {{1'd0}, _T_4338}; // @[el2_lsu_bus_buffer.scala 541:142] - wire [2:0] _T_4352 = _T_4351 + _GEN_368; // @[el2_lsu_bus_buffer.scala 541:142] - wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4329 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 541:79] - wire _T_4330 = buf_write[0] & _T_4329; // @[el2_lsu_bus_buffer.scala 541:64] - wire _T_4331 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 541:91] - wire _T_4332 = _T_4330 & _T_4331; // @[el2_lsu_bus_buffer.scala 541:89] - wire [2:0] _GEN_370 = {{2'd0}, _T_4332}; // @[el2_lsu_bus_buffer.scala 541:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4352 + _GEN_370; // @[el2_lsu_bus_buffer.scala 541:142] + wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4350 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4351 = buf_write[3] & _T_4350; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4352 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4353 = _T_4351 & _T_4352; // @[el2_lsu_bus_buffer.scala 544:89] + wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4344 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4345 = buf_write[2] & _T_4344; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4346 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4347 = _T_4345 & _T_4346; // @[el2_lsu_bus_buffer.scala 544:89] + wire [1:0] _T_4354 = _T_4353 + _T_4347; // @[el2_lsu_bus_buffer.scala 544:142] + wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4338 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4339 = buf_write[1] & _T_4338; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4340 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4341 = _T_4339 & _T_4340; // @[el2_lsu_bus_buffer.scala 544:89] + wire [1:0] _GEN_368 = {{1'd0}, _T_4341}; // @[el2_lsu_bus_buffer.scala 544:142] + wire [2:0] _T_4355 = _T_4354 + _GEN_368; // @[el2_lsu_bus_buffer.scala 544:142] + wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4332 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] + wire _T_4333 = buf_write[0] & _T_4332; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4334 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4335 = _T_4333 & _T_4334; // @[el2_lsu_bus_buffer.scala 544:89] + wire [2:0] _GEN_370 = {{2'd0}, _T_4335}; // @[el2_lsu_bus_buffer.scala 544:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4355 + _GEN_370; // @[el2_lsu_bus_buffer.scala 544:142] wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4369 = _T_4347 & _T_4349; // @[el2_lsu_bus_buffer.scala 542:73] - wire _T_4365 = _T_4341 & _T_4343; // @[el2_lsu_bus_buffer.scala 542:73] - wire [1:0] _T_4370 = _T_4369 + _T_4365; // @[el2_lsu_bus_buffer.scala 542:126] - wire _T_4361 = _T_4335 & _T_4337; // @[el2_lsu_bus_buffer.scala 542:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 542:126] - wire [2:0] _T_4371 = _T_4370 + _GEN_374; // @[el2_lsu_bus_buffer.scala 542:126] - wire _T_4357 = _T_4329 & _T_4331; // @[el2_lsu_bus_buffer.scala 542:73] - wire [2:0] _GEN_376 = {{2'd0}, _T_4357}; // @[el2_lsu_bus_buffer.scala 542:126] - wire [3:0] buf_numvld_cmd_any = _T_4371 + _GEN_376; // @[el2_lsu_bus_buffer.scala 542:126] + wire _T_4372 = _T_4350 & _T_4352; // @[el2_lsu_bus_buffer.scala 545:73] + wire _T_4368 = _T_4344 & _T_4346; // @[el2_lsu_bus_buffer.scala 545:73] + wire [1:0] _T_4373 = _T_4372 + _T_4368; // @[el2_lsu_bus_buffer.scala 545:126] + wire _T_4364 = _T_4338 & _T_4340; // @[el2_lsu_bus_buffer.scala 545:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 545:126] + wire [2:0] _T_4374 = _T_4373 + _GEN_374; // @[el2_lsu_bus_buffer.scala 545:126] + wire _T_4360 = _T_4332 & _T_4334; // @[el2_lsu_bus_buffer.scala 545:73] + wire [2:0] _GEN_376 = {{2'd0}, _T_4360}; // @[el2_lsu_bus_buffer.scala 545:126] + wire [3:0] buf_numvld_cmd_any = _T_4374 + _GEN_376; // @[el2_lsu_bus_buffer.scala 545:126] wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 293:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] @@ -1017,11 +1017,11 @@ module el2_lsu_bus_buffer( reg buf_nomerge_3; // @[Reg.scala 27:20] wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 294:31] wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 294:29] + reg _T_4239; // @[Reg.scala 27:20] reg _T_4236; // @[Reg.scala 27:20] reg _T_4233; // @[Reg.scala 27:20] reg _T_4230; // @[Reg.scala 27:20] - reg _T_4227; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4236,_T_4233,_T_4230,_T_4227}; // @[Cat.scala 29:58] + wire [3:0] buf_sideeffect = {_T_4239,_T_4236,_T_4233,_T_4230}; // @[Cat.scala 29:58] wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 295:5] wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 294:140] wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 297:58] @@ -1034,66 +1034,66 @@ module el2_lsu_bus_buffer( wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 296:79] wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4395 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 543:64] - wire _T_4398 = _T_4395 | _T_4347; // @[el2_lsu_bus_buffer.scala 543:74] - wire _T_4400 = _T_4398 & _T_4349; // @[el2_lsu_bus_buffer.scala 543:100] - wire _T_4388 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 543:64] - wire _T_4391 = _T_4388 | _T_4341; // @[el2_lsu_bus_buffer.scala 543:74] - wire _T_4393 = _T_4391 & _T_4343; // @[el2_lsu_bus_buffer.scala 543:100] - wire [1:0] _T_4401 = _T_4400 + _T_4393; // @[el2_lsu_bus_buffer.scala 543:153] - wire _T_4381 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 543:64] - wire _T_4384 = _T_4381 | _T_4335; // @[el2_lsu_bus_buffer.scala 543:74] - wire _T_4386 = _T_4384 & _T_4337; // @[el2_lsu_bus_buffer.scala 543:100] - wire [1:0] _GEN_383 = {{1'd0}, _T_4386}; // @[el2_lsu_bus_buffer.scala 543:153] - wire [2:0] _T_4402 = _T_4401 + _GEN_383; // @[el2_lsu_bus_buffer.scala 543:153] - wire _T_4374 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 543:64] - wire _T_4377 = _T_4374 | _T_4329; // @[el2_lsu_bus_buffer.scala 543:74] - wire _T_4379 = _T_4377 & _T_4331; // @[el2_lsu_bus_buffer.scala 543:100] - wire [2:0] _GEN_386 = {{2'd0}, _T_4379}; // @[el2_lsu_bus_buffer.scala 543:153] - wire [3:0] buf_numvld_pend_any = _T_4402 + _GEN_386; // @[el2_lsu_bus_buffer.scala 543:153] + wire _T_4398 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4401 = _T_4398 | _T_4350; // @[el2_lsu_bus_buffer.scala 546:74] + wire _T_4403 = _T_4401 & _T_4352; // @[el2_lsu_bus_buffer.scala 546:100] + wire _T_4391 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4394 = _T_4391 | _T_4344; // @[el2_lsu_bus_buffer.scala 546:74] + wire _T_4396 = _T_4394 & _T_4346; // @[el2_lsu_bus_buffer.scala 546:100] + wire [1:0] _T_4404 = _T_4403 + _T_4396; // @[el2_lsu_bus_buffer.scala 546:153] + wire _T_4384 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4387 = _T_4384 | _T_4338; // @[el2_lsu_bus_buffer.scala 546:74] + wire _T_4389 = _T_4387 & _T_4340; // @[el2_lsu_bus_buffer.scala 546:100] + wire [1:0] _GEN_383 = {{1'd0}, _T_4389}; // @[el2_lsu_bus_buffer.scala 546:153] + wire [2:0] _T_4405 = _T_4404 + _GEN_383; // @[el2_lsu_bus_buffer.scala 546:153] + wire _T_4377 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4380 = _T_4377 | _T_4332; // @[el2_lsu_bus_buffer.scala 546:74] + wire _T_4382 = _T_4380 & _T_4334; // @[el2_lsu_bus_buffer.scala 546:100] + wire [2:0] _GEN_386 = {{2'd0}, _T_4382}; // @[el2_lsu_bus_buffer.scala 546:153] + wire [3:0] buf_numvld_pend_any = _T_4405 + _GEN_386; // @[el2_lsu_bus_buffer.scala 546:153] wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 299:31] wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 299:61] wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4691 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 570:62] - wire _T_4693 = _T_4691 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 570:73] - wire _T_4694 = _T_4693 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 570:93] - wire _T_4695 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 570:62] - wire _T_4697 = _T_4695 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 570:73] - wire _T_4698 = _T_4697 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 570:93] - wire _T_4707 = _T_4694 | _T_4698; // @[el2_lsu_bus_buffer.scala 570:141] - wire _T_4699 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 570:62] - wire _T_4701 = _T_4699 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 570:73] - wire _T_4702 = _T_4701 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 570:93] - wire _T_4708 = _T_4707 | _T_4702; // @[el2_lsu_bus_buffer.scala 570:141] - wire _T_4703 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 570:62] - wire _T_4705 = _T_4703 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 570:73] - wire _T_4706 = _T_4705 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 570:93] - wire bus_sideeffect_pend = _T_4708 | _T_4706; // @[el2_lsu_bus_buffer.scala 570:141] + wire _T_4694 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4696 = _T_4694 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4697 = _T_4696 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire _T_4698 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4700 = _T_4698 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4701 = _T_4700 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire _T_4710 = _T_4697 | _T_4701; // @[el2_lsu_bus_buffer.scala 573:141] + wire _T_4702 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4704 = _T_4702 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4705 = _T_4704 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire _T_4711 = _T_4710 | _T_4705; // @[el2_lsu_bus_buffer.scala 573:141] + wire _T_4706 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4708 = _T_4706 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4709 = _T_4708 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire bus_sideeffect_pend = _T_4711 | _T_4709; // @[el2_lsu_bus_buffer.scala 573:141] wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 314:52] wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 314:50] wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1907 = _T_1905 & _T_2528; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1909 = _T_1907 & _T_4349; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 402:63] + wire _T_1909 = _T_1907 & _T_4352; // @[el2_lsu_bus_buffer.scala 402:88] wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1901 = _T_1899 & _T_2524; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1903 = _T_1901 & _T_4343; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 402:63] + wire _T_1903 = _T_1901 & _T_4346; // @[el2_lsu_bus_buffer.scala 402:88] wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1895 = _T_1893 & _T_2520; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1897 = _T_1895 & _T_4337; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 402:63] + wire _T_1897 = _T_1895 & _T_4340; // @[el2_lsu_bus_buffer.scala 402:88] wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1889 = _T_1887 & _T_2516; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1891 = _T_1889 & _T_4331; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 402:63] + wire _T_1891 = _T_1889 & _T_4334; // @[el2_lsu_bus_buffer.scala 402:88] wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 407:31] - wire _T_1034 = _T_2516 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] + wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 316:23] wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 316:21] @@ -1120,29 +1120,29 @@ module el2_lsu_bus_buffer( wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1953 = _T_1951 & _T_2528; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1955 = _T_1953 & _T_4349; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 403:98] + wire _T_1955 = _T_1953 & _T_4352; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 403:76] wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1942 = _T_1940 & _T_2524; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1944 = _T_1942 & _T_4343; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 403:98] + wire _T_1944 = _T_1942 & _T_4346; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 403:76] wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1931 = _T_1929 & _T_2520; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1933 = _T_1931 & _T_4337; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 403:98] + wire _T_1933 = _T_1931 & _T_4340; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 403:76] wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1920 = _T_1918 & _T_2516; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1922 = _T_1920 & _T_4331; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 403:98] + wire _T_1922 = _T_1920 & _T_4334; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 408:31] wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 317:181] @@ -1154,10 +1154,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4766 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 574:54] - wire _T_4767 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 574:75] - wire _T_4769 = _T_4766 ? _T_4767 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 574:39] - wire bus_cmd_ready = obuf_write ? _T_4769 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 574:23] + wire _T_4769 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 577:54] + wire _T_4770 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:75] + wire _T_4772 = _T_4769 ? _T_4770 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:39] + wire bus_cmd_ready = obuf_write ? _T_4772 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 577:23] wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 318:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1166,52 +1166,52 @@ module el2_lsu_bus_buffer( wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 318:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4714 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 572:56] - wire _T_4715 = obuf_valid & _T_4714; // @[el2_lsu_bus_buffer.scala 572:38] - wire _T_4717 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4718 = obuf_merge & _T_4717; // @[el2_lsu_bus_buffer.scala 572:114] - wire _T_4719 = _T_3468 | _T_4718; // @[el2_lsu_bus_buffer.scala 572:100] - wire _T_4720 = ~_T_4719; // @[el2_lsu_bus_buffer.scala 572:80] - wire _T_4721 = _T_4715 & _T_4720; // @[el2_lsu_bus_buffer.scala 572:78] - wire _T_4758 = _T_4691 & _T_4721; // @[Mux.scala 27:72] - wire _T_4726 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 572:56] - wire _T_4727 = obuf_valid & _T_4726; // @[el2_lsu_bus_buffer.scala 572:38] - wire _T_4729 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4730 = obuf_merge & _T_4729; // @[el2_lsu_bus_buffer.scala 572:114] - wire _T_4731 = _T_3661 | _T_4730; // @[el2_lsu_bus_buffer.scala 572:100] - wire _T_4732 = ~_T_4731; // @[el2_lsu_bus_buffer.scala 572:80] - wire _T_4733 = _T_4727 & _T_4732; // @[el2_lsu_bus_buffer.scala 572:78] - wire _T_4759 = _T_4695 & _T_4733; // @[Mux.scala 27:72] - wire _T_4762 = _T_4758 | _T_4759; // @[Mux.scala 27:72] - wire _T_4738 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 572:56] - wire _T_4739 = obuf_valid & _T_4738; // @[el2_lsu_bus_buffer.scala 572:38] - wire _T_4741 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4742 = obuf_merge & _T_4741; // @[el2_lsu_bus_buffer.scala 572:114] - wire _T_4743 = _T_3854 | _T_4742; // @[el2_lsu_bus_buffer.scala 572:100] - wire _T_4744 = ~_T_4743; // @[el2_lsu_bus_buffer.scala 572:80] - wire _T_4745 = _T_4739 & _T_4744; // @[el2_lsu_bus_buffer.scala 572:78] - wire _T_4760 = _T_4699 & _T_4745; // @[Mux.scala 27:72] - wire _T_4763 = _T_4762 | _T_4760; // @[Mux.scala 27:72] - wire _T_4750 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 572:56] - wire _T_4751 = obuf_valid & _T_4750; // @[el2_lsu_bus_buffer.scala 572:38] - wire _T_4753 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4754 = obuf_merge & _T_4753; // @[el2_lsu_bus_buffer.scala 572:114] - wire _T_4755 = _T_4047 | _T_4754; // @[el2_lsu_bus_buffer.scala 572:100] - wire _T_4756 = ~_T_4755; // @[el2_lsu_bus_buffer.scala 572:80] - wire _T_4757 = _T_4751 & _T_4756; // @[el2_lsu_bus_buffer.scala 572:78] - wire _T_4761 = _T_4703 & _T_4757; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4763 | _T_4761; // @[Mux.scala 27:72] + wire _T_4717 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4718 = obuf_valid & _T_4717; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4720 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4721 = obuf_merge & _T_4720; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4722 = _T_3471 | _T_4721; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4723 = ~_T_4722; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4724 = _T_4718 & _T_4723; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4761 = _T_4694 & _T_4724; // @[Mux.scala 27:72] + wire _T_4729 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4730 = obuf_valid & _T_4729; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4732 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4733 = obuf_merge & _T_4732; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4734 = _T_3664 | _T_4733; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4735 = ~_T_4734; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4736 = _T_4730 & _T_4735; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4762 = _T_4698 & _T_4736; // @[Mux.scala 27:72] + wire _T_4765 = _T_4761 | _T_4762; // @[Mux.scala 27:72] + wire _T_4741 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4742 = obuf_valid & _T_4741; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4744 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4745 = obuf_merge & _T_4744; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4746 = _T_3857 | _T_4745; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4747 = ~_T_4746; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4748 = _T_4742 & _T_4747; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4763 = _T_4702 & _T_4748; // @[Mux.scala 27:72] + wire _T_4766 = _T_4765 | _T_4763; // @[Mux.scala 27:72] + wire _T_4753 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4754 = obuf_valid & _T_4753; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4756 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4757 = obuf_merge & _T_4756; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4758 = _T_4050 | _T_4757; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4759 = ~_T_4758; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4760 = _T_4754 & _T_4759; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4764 = _T_4706 & _T_4760; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4766 | _T_4764; // @[Mux.scala 27:72] wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 318:116] wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 575:39] - wire _T_4773 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 577:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 576:39] - wire _T_4774 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 577:70] - wire _T_4775 = _T_4773 & _T_4774; // @[el2_lsu_bus_buffer.scala 577:52] - wire _T_4776 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 577:111] - wire bus_cmd_sent = _T_4775 | _T_4776; // @[el2_lsu_bus_buffer.scala 577:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 578:39] + wire _T_4776 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 580:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 579:39] + wire _T_4777 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 580:70] + wire _T_4778 = _T_4776 & _T_4777; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4779 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 580:111] + wire bus_cmd_sent = _T_4778 | _T_4779; // @[el2_lsu_bus_buffer.scala 580:89] wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 320:33] wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 320:63] @@ -1249,7 +1249,7 @@ module el2_lsu_bus_buffer( wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 353:20] wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 353:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 379:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 578:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 581:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:55] wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 353:90] wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 353:70] @@ -1397,46 +1397,46 @@ module el2_lsu_bus_buffer( wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:83] wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 395:72] wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 395:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 521:63] - wire _T_2652 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 435:104] - wire _T_2653 = buf_rspageQ_0[3] & _T_2652; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2649 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 435:104] - wire _T_2650 = buf_rspageQ_0[2] & _T_2649; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2646 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 435:104] - wire _T_2647 = buf_rspageQ_0[1] & _T_2646; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2643 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 435:104] - wire _T_2644 = buf_rspageQ_0[0] & _T_2643; // @[el2_lsu_bus_buffer.scala 435:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2653,_T_2650,_T_2647,_T_2644}; // @[Cat.scala 29:58] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 524:63] + wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2656,_T_2653,_T_2650,_T_2647}; // @[Cat.scala 29:58] wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 406:65] wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1961 = _T_1959 & _T_2643; // @[el2_lsu_bus_buffer.scala 406:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 521:63] - wire _T_2668 = buf_rspageQ_1[3] & _T_2652; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2665 = buf_rspageQ_1[2] & _T_2649; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2662 = buf_rspageQ_1[1] & _T_2646; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2659 = buf_rspageQ_1[0] & _T_2643; // @[el2_lsu_bus_buffer.scala 435:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2668,_T_2665,_T_2662,_T_2659}; // @[Cat.scala 29:58] + wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 406:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 524:63] + wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2671,_T_2668,_T_2665,_T_2662}; // @[Cat.scala 29:58] wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 406:65] wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1965 = _T_1963 & _T_2646; // @[el2_lsu_bus_buffer.scala 406:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 521:63] - wire _T_2683 = buf_rspageQ_2[3] & _T_2652; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2680 = buf_rspageQ_2[2] & _T_2649; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2677 = buf_rspageQ_2[1] & _T_2646; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2674 = buf_rspageQ_2[0] & _T_2643; // @[el2_lsu_bus_buffer.scala 435:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2683,_T_2680,_T_2677,_T_2674}; // @[Cat.scala 29:58] + wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 406:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 524:63] + wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2686,_T_2683,_T_2680,_T_2677}; // @[Cat.scala 29:58] wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 406:65] wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1969 = _T_1967 & _T_2649; // @[el2_lsu_bus_buffer.scala 406:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 521:63] - wire _T_2698 = buf_rspageQ_3[3] & _T_2652; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2695 = buf_rspageQ_3[2] & _T_2649; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2692 = buf_rspageQ_3[1] & _T_2646; // @[el2_lsu_bus_buffer.scala 435:89] - wire _T_2689 = buf_rspageQ_3[0] & _T_2643; // @[el2_lsu_bus_buffer.scala 435:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2698,_T_2695,_T_2692,_T_2689}; // @[Cat.scala 29:58] + wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 406:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 524:63] + wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2701,_T_2698,_T_2695,_T_2692}; // @[Cat.scala 29:58] wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 406:65] wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1973 = _T_1971 & _T_2652; // @[el2_lsu_bus_buffer.scala 406:70] + wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 406:70] wire [7:0] _T_1979 = {4'h0,_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] wire _T_1982 = _T_1979[4] | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 410:42] wire _T_1984 = _T_1982 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 410:48] @@ -1447,1083 +1447,1084 @@ module el2_lsu_bus_buffer( wire _T_1996 = _T_1979[1] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 410:92] wire _T_1998 = _T_1996 | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 410:98] wire _T_2000 = _T_1998 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 410:104] - wire [1:0] _T_2001 = {_T_1986,_T_1993}; // @[Cat.scala 29:58] - wire [7:0] _T_2027 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire _T_2030 = _T_2027[4] | _T_2027[5]; // @[el2_lsu_bus_buffer.scala 410:42] - wire _T_2032 = _T_2030 | _T_2027[6]; // @[el2_lsu_bus_buffer.scala 410:48] - wire _T_2034 = _T_2032 | _T_2027[7]; // @[el2_lsu_bus_buffer.scala 410:54] - wire _T_2037 = _T_2027[2] | _T_2027[3]; // @[el2_lsu_bus_buffer.scala 410:67] - wire _T_2039 = _T_2037 | _T_2027[6]; // @[el2_lsu_bus_buffer.scala 410:73] - wire _T_2041 = _T_2039 | _T_2027[7]; // @[el2_lsu_bus_buffer.scala 410:79] - wire _T_2044 = _T_2027[1] | _T_2027[3]; // @[el2_lsu_bus_buffer.scala 410:92] - wire _T_2046 = _T_2044 | _T_2027[5]; // @[el2_lsu_bus_buffer.scala 410:98] - wire _T_2048 = _T_2046 | _T_2027[7]; // @[el2_lsu_bus_buffer.scala 410:104] - wire [2:0] RspPtr = {_T_2034,_T_2041,_T_2048}; // @[Cat.scala 29:58] - wire _T_3438 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:77] - wire _T_3439 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 464:97] - wire _T_3440 = _T_3438 & _T_3439; // @[el2_lsu_bus_buffer.scala 464:95] - wire _T_3441 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 464:117] - wire _T_3442 = _T_3440 & _T_3441; // @[el2_lsu_bus_buffer.scala 464:112] - wire _T_3443 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:144] - wire _T_3444 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 464:166] - wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 464:161] - wire _T_3446 = _T_3442 | _T_3445; // @[el2_lsu_bus_buffer.scala 464:132] - wire _T_3447 = _T_766 & _T_3446; // @[el2_lsu_bus_buffer.scala 464:63] - wire _T_3448 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 464:206] - wire _T_3449 = ibuf_drain_vld & _T_3448; // @[el2_lsu_bus_buffer.scala 464:201] - wire _T_3450 = _T_3447 | _T_3449; // @[el2_lsu_bus_buffer.scala 464:183] - wire _T_3460 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 471:46] - wire _T_3495 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 579:38] - wire _T_3540 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3541 = bus_rsp_write & _T_3540; // @[el2_lsu_bus_buffer.scala 489:52] - wire _T_3542 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 490:46] - reg _T_4213; // @[Reg.scala 27:20] - reg _T_4211; // @[Reg.scala 27:20] - reg _T_4209; // @[Reg.scala 27:20] - reg _T_4207; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4213,_T_4211,_T_4209,_T_4207}; // @[Cat.scala 29:58] + wire [2:0] _T_2002 = {_T_1986,_T_1993,_T_2000}; // @[Cat.scala 29:58] + wire [7:0] _T_2029 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] + wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 410:42] + wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 410:48] + wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 410:54] + wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 410:67] + wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 410:73] + wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 410:79] + wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 410:92] + wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 410:98] + wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 410:104] + wire [2:0] _T_2052 = {_T_2036,_T_2043,_T_2050}; // @[Cat.scala 29:58] + wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 467:77] + wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 467:97] + wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 467:95] + wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] + wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 467:144] + wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] + wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 467:161] + wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 467:132] + wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 467:63] + wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] + wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 467:201] + wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:46] + wire _T_3498 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 582:38] + wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 492:73] + wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 492:52] + wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 493:46] + reg _T_4216; // @[Reg.scala 27:20] + reg _T_4214; // @[Reg.scala 27:20] + reg _T_4212; // @[Reg.scala 27:20] + reg _T_4210; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4216,_T_4214,_T_4212,_T_4210}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3544 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3545 = buf_ldfwd[0] & _T_3544; // @[el2_lsu_bus_buffer.scala 491:27] - wire _T_3546 = _T_3542 | _T_3545; // @[el2_lsu_bus_buffer.scala 490:77] - wire _T_3547 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 492:26] - wire _T_3550 = _T_3547 & _T_1130; // @[el2_lsu_bus_buffer.scala 492:42] - wire _T_3551 = _T_3550 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3547 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 494:27] + wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 493:77] + wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 495:26] + wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 495:42] + wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3552 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3553 = _T_3551 & _T_3552; // @[el2_lsu_bus_buffer.scala 492:74] - wire _T_3554 = _T_3546 | _T_3553; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_3555 = bus_rsp_read & _T_3554; // @[el2_lsu_bus_buffer.scala 490:25] - wire _T_3556 = _T_3541 | _T_3555; // @[el2_lsu_bus_buffer.scala 489:105] - wire _GEN_42 = _T_3495 & _T_3556; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3461 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3457 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3434 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3582 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3592 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 504:21] + wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3555 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 495:74] + wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 494:71] + wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 493:25] + wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 492:105] + wire _GEN_42 = _T_3498 & _T_3559; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3464 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3460 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3585 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 507:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 504:58] - wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3594 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3595 = _T_3592[0] & _T_3594; // @[el2_lsu_bus_buffer.scala 504:38] - wire _T_3596 = _T_3552 | _T_3595; // @[el2_lsu_bus_buffer.scala 503:95] - wire _T_3597 = bus_rsp_read & _T_3596; // @[el2_lsu_bus_buffer.scala 503:45] - wire _GEN_36 = _T_3582 & _T_3597; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3495 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3461 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3457 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3434 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3474 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_3475 = _T_3474 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:70] - wire _T_3600 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3603 = RspPtr == 3'h0; // @[el2_lsu_bus_buffer.scala 509:37] - wire _T_3604 = _GEN_388 == RspPtr; // @[el2_lsu_bus_buffer.scala 509:98] - wire _T_3605 = buf_dual_0 & _T_3604; // @[el2_lsu_bus_buffer.scala 509:80] - wire _T_3606 = _T_3603 | _T_3605; // @[el2_lsu_bus_buffer.scala 509:65] - wire _T_3607 = _T_3606 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:112] - wire _T_3608 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3600 ? _T_3607 : _T_3608; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3582 ? _T_3475 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3495 ? _T_3475 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3461 ? _T_3475 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3457 ? _T_3460 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3434 ? _T_3450 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2051 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 427:94] - wire _T_2052 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 428:20] - wire _T_2055 = _T_2516 & _T_4331; // @[el2_lsu_bus_buffer.scala 428:57] - wire _T_2056 = _T_2052 | _T_2055; // @[el2_lsu_bus_buffer.scala 428:31] - wire _T_2057 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 429:23] - wire _T_2059 = _T_2057 & _T_3438; // @[el2_lsu_bus_buffer.scala 429:41] - wire _T_2061 = _T_2059 & _T_1782; // @[el2_lsu_bus_buffer.scala 429:71] - wire _T_2063 = _T_2061 & _T_1780; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2064 = _T_2056 | _T_2063; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2065 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 430:17] - wire _T_2066 = _T_2065 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 430:35] - wire _T_2068 = _T_2066 & _T_1785; // @[el2_lsu_bus_buffer.scala 430:52] - wire _T_2070 = _T_2068 & _T_1782; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2071 = _T_2064 | _T_2070; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2072 = _T_2051 & _T_2071; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2074 = _T_2072 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2077 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 428:20] - wire _T_2080 = _T_2520 & _T_4337; // @[el2_lsu_bus_buffer.scala 428:57] - wire _T_2081 = _T_2077 | _T_2080; // @[el2_lsu_bus_buffer.scala 428:31] - wire _T_2088 = _T_2061 & _T_1791; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2089 = _T_2081 | _T_2088; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2095 = _T_2068 & _T_1793; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2096 = _T_2089 | _T_2095; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2097 = _T_2051 & _T_2096; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2099 = _T_2097 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2102 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 428:20] - wire _T_2105 = _T_2524 & _T_4343; // @[el2_lsu_bus_buffer.scala 428:57] - wire _T_2106 = _T_2102 | _T_2105; // @[el2_lsu_bus_buffer.scala 428:31] - wire _T_2113 = _T_2061 & _T_1802; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2114 = _T_2106 | _T_2113; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2120 = _T_2068 & _T_1804; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2121 = _T_2114 | _T_2120; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2122 = _T_2051 & _T_2121; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2124 = _T_2122 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2127 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 428:20] - wire _T_2130 = _T_2528 & _T_4349; // @[el2_lsu_bus_buffer.scala 428:57] - wire _T_2131 = _T_2127 | _T_2130; // @[el2_lsu_bus_buffer.scala 428:31] - wire _T_2138 = _T_2061 & _T_1813; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2139 = _T_2131 | _T_2138; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2145 = _T_2068 & _T_1815; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2146 = _T_2139 | _T_2145; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2147 = _T_2051 & _T_2146; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2149 = _T_2147 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 430:97] - wire [2:0] _T_2151 = {_T_2149,_T_2124,_T_2099}; // @[Cat.scala 29:58] - wire _T_3634 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 464:117] - wire _T_3635 = _T_3440 & _T_3634; // @[el2_lsu_bus_buffer.scala 464:112] - wire _T_3637 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 464:166] - wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 464:161] - wire _T_3639 = _T_3635 | _T_3638; // @[el2_lsu_bus_buffer.scala 464:132] - wire _T_3640 = _T_766 & _T_3639; // @[el2_lsu_bus_buffer.scala 464:63] - wire _T_3641 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 464:206] - wire _T_3642 = ibuf_drain_vld & _T_3641; // @[el2_lsu_bus_buffer.scala 464:201] - wire _T_3643 = _T_3640 | _T_3642; // @[el2_lsu_bus_buffer.scala 464:183] - wire _T_3688 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3733 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3734 = bus_rsp_write & _T_3733; // @[el2_lsu_bus_buffer.scala 489:52] - wire _T_3735 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 490:46] - wire [2:0] _GEN_392 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3737 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3738 = buf_ldfwd[1] & _T_3737; // @[el2_lsu_bus_buffer.scala 491:27] - wire _T_3739 = _T_3735 | _T_3738; // @[el2_lsu_bus_buffer.scala 490:77] - wire _T_3740 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 492:26] - wire _T_3742 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 492:44] - wire _T_3743 = _T_3740 & _T_3742; // @[el2_lsu_bus_buffer.scala 492:42] - wire _T_3744 = _T_3743 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3597 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 507:38] + wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 506:95] + wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 506:45] + wire _GEN_36 = _T_3585 & _T_3600; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3498 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3464 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3460 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_3603 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 418:10] + wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 512:37] + wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] + wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 512:80] + wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 512:65] + wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_3611 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3603 ? _T_3610 : _T_3611; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3585 ? _T_3478 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3498 ? _T_3478 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3464 ? _T_3478 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3460 ? _T_3463 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3437 ? _T_3453 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 430:94] + wire _T_2055 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] + wire _T_2058 = _T_2519 & _T_4334; // @[el2_lsu_bus_buffer.scala 431:57] + wire _T_2059 = _T_2055 | _T_2058; // @[el2_lsu_bus_buffer.scala 431:31] + wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 432:23] + wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 432:41] + wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:71] + wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2067 = _T_2059 | _T_2066; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 433:17] + wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 433:35] + wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 433:52] + wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2080 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] + wire _T_2083 = _T_2523 & _T_4340; // @[el2_lsu_bus_buffer.scala 431:57] + wire _T_2084 = _T_2080 | _T_2083; // @[el2_lsu_bus_buffer.scala 431:31] + wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2092 = _T_2084 | _T_2091; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2105 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] + wire _T_2108 = _T_2527 & _T_4346; // @[el2_lsu_bus_buffer.scala 431:57] + wire _T_2109 = _T_2105 | _T_2108; // @[el2_lsu_bus_buffer.scala 431:31] + wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2117 = _T_2109 | _T_2116; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2130 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] + wire _T_2133 = _T_2531 & _T_4352; // @[el2_lsu_bus_buffer.scala 431:57] + wire _T_2134 = _T_2130 | _T_2133; // @[el2_lsu_bus_buffer.scala 431:31] + wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2142 = _T_2134 | _T_2141; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire [2:0] _T_2154 = {_T_2152,_T_2127,_T_2102}; // @[Cat.scala 29:58] + wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] + wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] + wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 467:161] + wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 467:132] + wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 467:63] + wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] + wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 467:201] + wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_3691 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 492:73] + wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 492:52] + wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 493:46] + wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3740 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 494:27] + wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 493:77] + wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 495:26] + wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 495:44] + wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 495:42] + wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_393 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3745 = io_lsu_axi_rid == _GEN_393; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3746 = _T_3744 & _T_3745; // @[el2_lsu_bus_buffer.scala 492:74] - wire _T_3747 = _T_3739 | _T_3746; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_3748 = bus_rsp_read & _T_3747; // @[el2_lsu_bus_buffer.scala 490:25] - wire _T_3749 = _T_3734 | _T_3748; // @[el2_lsu_bus_buffer.scala 489:105] - wire _GEN_118 = _T_3688 & _T_3749; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3654 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3650 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3627 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3775 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3785 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 504:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 504:58] - wire [2:0] _GEN_395 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3787 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3788 = _T_3785[0] & _T_3787; // @[el2_lsu_bus_buffer.scala 504:38] - wire _T_3789 = _T_3745 | _T_3788; // @[el2_lsu_bus_buffer.scala 503:95] - wire _T_3790 = bus_rsp_read & _T_3789; // @[el2_lsu_bus_buffer.scala 503:45] - wire _GEN_112 = _T_3775 & _T_3790; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3688 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3654 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3650 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3627 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3667 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_3668 = _T_3667 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:70] - wire _T_3793 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3796 = RspPtr == 3'h1; // @[el2_lsu_bus_buffer.scala 509:37] - wire _T_3797 = _GEN_393 == RspPtr; // @[el2_lsu_bus_buffer.scala 509:98] - wire _T_3798 = buf_dual_1 & _T_3797; // @[el2_lsu_bus_buffer.scala 509:80] - wire _T_3799 = _T_3796 | _T_3798; // @[el2_lsu_bus_buffer.scala 509:65] - wire _T_3800 = _T_3799 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:112] - wire _T_3801 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3793 ? _T_3800 : _T_3801; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3775 ? _T_3668 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3688 ? _T_3668 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3654 ? _T_3668 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3650 ? _T_3460 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3627 ? _T_3643 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2153 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 427:94] - wire _T_2163 = _T_2059 & _T_1793; // @[el2_lsu_bus_buffer.scala 429:71] - wire _T_2165 = _T_2163 & _T_1780; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2166 = _T_2056 | _T_2165; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2170 = _T_2066 & _T_1796; // @[el2_lsu_bus_buffer.scala 430:52] - wire _T_2172 = _T_2170 & _T_1782; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2173 = _T_2166 | _T_2172; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2174 = _T_2153 & _T_2173; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2176 = _T_2174 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2190 = _T_2163 & _T_1791; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2191 = _T_2081 | _T_2190; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2197 = _T_2170 & _T_1793; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2198 = _T_2191 | _T_2197; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2199 = _T_2153 & _T_2198; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2201 = _T_2199 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2215 = _T_2163 & _T_1802; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2216 = _T_2106 | _T_2215; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2222 = _T_2170 & _T_1804; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2223 = _T_2216 | _T_2222; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2224 = _T_2153 & _T_2223; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2226 = _T_2224 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2240 = _T_2163 & _T_1813; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2241 = _T_2131 | _T_2240; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2247 = _T_2170 & _T_1815; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2248 = _T_2241 | _T_2247; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2249 = _T_2153 & _T_2248; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2251 = _T_2249 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 430:97] - wire [2:0] _T_2253 = {_T_2251,_T_2226,_T_2201}; // @[Cat.scala 29:58] - wire _T_3827 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 464:117] - wire _T_3828 = _T_3440 & _T_3827; // @[el2_lsu_bus_buffer.scala 464:112] - wire _T_3830 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 464:166] - wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 464:161] - wire _T_3832 = _T_3828 | _T_3831; // @[el2_lsu_bus_buffer.scala 464:132] - wire _T_3833 = _T_766 & _T_3832; // @[el2_lsu_bus_buffer.scala 464:63] - wire _T_3834 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 464:206] - wire _T_3835 = ibuf_drain_vld & _T_3834; // @[el2_lsu_bus_buffer.scala 464:201] - wire _T_3836 = _T_3833 | _T_3835; // @[el2_lsu_bus_buffer.scala 464:183] - wire _T_3881 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3926 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3927 = bus_rsp_write & _T_3926; // @[el2_lsu_bus_buffer.scala 489:52] - wire _T_3928 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 490:46] - wire [2:0] _GEN_397 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3930 = io_lsu_axi_rid == _GEN_397; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3931 = buf_ldfwd[2] & _T_3930; // @[el2_lsu_bus_buffer.scala 491:27] - wire _T_3932 = _T_3928 | _T_3931; // @[el2_lsu_bus_buffer.scala 490:77] - wire _T_3933 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 492:26] - wire _T_3935 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 492:44] - wire _T_3936 = _T_3933 & _T_3935; // @[el2_lsu_bus_buffer.scala 492:42] - wire _T_3937 = _T_3936 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3748 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 495:74] + wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 494:71] + wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 493:25] + wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 492:105] + wire _GEN_118 = _T_3691 & _T_3752; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3657 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3653 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3778 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 507:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3790 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 507:38] + wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 506:95] + wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 506:45] + wire _GEN_112 = _T_3778 & _T_3793; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3691 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3657 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3653 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_3796 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 512:37] + wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] + wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 512:80] + wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 512:65] + wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_3804 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3796 ? _T_3803 : _T_3804; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3778 ? _T_3671 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3691 ? _T_3671 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3657 ? _T_3671 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3653 ? _T_3463 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3630 ? _T_3646 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 430:94] + wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:71] + wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2169 = _T_2059 | _T_2168; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 433:52] + wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2194 = _T_2084 | _T_2193; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2219 = _T_2109 | _T_2218; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2244 = _T_2134 | _T_2243; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire [2:0] _T_2256 = {_T_2254,_T_2229,_T_2204}; // @[Cat.scala 29:58] + wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] + wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] + wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 467:161] + wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 467:132] + wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 467:63] + wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] + wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 467:201] + wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_3884 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 492:73] + wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 492:52] + wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 493:46] + wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3933 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 494:27] + wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 493:77] + wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 495:26] + wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 495:44] + wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 495:42] + wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_398 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3938 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_3939 = _T_3937 & _T_3938; // @[el2_lsu_bus_buffer.scala 492:74] - wire _T_3940 = _T_3932 | _T_3939; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_3941 = bus_rsp_read & _T_3940; // @[el2_lsu_bus_buffer.scala 490:25] - wire _T_3942 = _T_3927 | _T_3941; // @[el2_lsu_bus_buffer.scala 489:105] - wire _GEN_194 = _T_3881 & _T_3942; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3847 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3843 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3820 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_3968 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3978 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 504:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 504:58] - wire [2:0] _GEN_400 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3980 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_3981 = _T_3978[0] & _T_3980; // @[el2_lsu_bus_buffer.scala 504:38] - wire _T_3982 = _T_3938 | _T_3981; // @[el2_lsu_bus_buffer.scala 503:95] - wire _T_3983 = bus_rsp_read & _T_3982; // @[el2_lsu_bus_buffer.scala 503:45] - wire _GEN_188 = _T_3968 & _T_3983; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3881 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3847 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3843 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3820 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3860 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_3861 = _T_3860 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:70] - wire _T_3986 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3989 = RspPtr == 3'h2; // @[el2_lsu_bus_buffer.scala 509:37] - wire _T_3990 = _GEN_398 == RspPtr; // @[el2_lsu_bus_buffer.scala 509:98] - wire _T_3991 = buf_dual_2 & _T_3990; // @[el2_lsu_bus_buffer.scala 509:80] - wire _T_3992 = _T_3989 | _T_3991; // @[el2_lsu_bus_buffer.scala 509:65] - wire _T_3993 = _T_3992 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:112] - wire _T_3994 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_3986 ? _T_3993 : _T_3994; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_3968 ? _T_3861 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3881 ? _T_3861 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3847 ? _T_3861 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3843 ? _T_3460 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3820 ? _T_3836 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2255 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 427:94] - wire _T_2265 = _T_2059 & _T_1804; // @[el2_lsu_bus_buffer.scala 429:71] - wire _T_2267 = _T_2265 & _T_1780; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2268 = _T_2056 | _T_2267; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2272 = _T_2066 & _T_1807; // @[el2_lsu_bus_buffer.scala 430:52] - wire _T_2274 = _T_2272 & _T_1782; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2275 = _T_2268 | _T_2274; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2276 = _T_2255 & _T_2275; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2278 = _T_2276 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2292 = _T_2265 & _T_1791; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2293 = _T_2081 | _T_2292; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2299 = _T_2272 & _T_1793; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2300 = _T_2293 | _T_2299; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2301 = _T_2255 & _T_2300; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2303 = _T_2301 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2317 = _T_2265 & _T_1802; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2318 = _T_2106 | _T_2317; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2324 = _T_2272 & _T_1804; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2325 = _T_2318 | _T_2324; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2326 = _T_2255 & _T_2325; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2328 = _T_2326 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2342 = _T_2265 & _T_1813; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2343 = _T_2131 | _T_2342; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2349 = _T_2272 & _T_1815; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2350 = _T_2343 | _T_2349; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2351 = _T_2255 & _T_2350; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2353 = _T_2351 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 430:97] - wire [2:0] _T_2355 = {_T_2353,_T_2328,_T_2303}; // @[Cat.scala 29:58] - wire _T_4020 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 464:117] - wire _T_4021 = _T_3440 & _T_4020; // @[el2_lsu_bus_buffer.scala 464:112] - wire _T_4023 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 464:166] - wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 464:161] - wire _T_4025 = _T_4021 | _T_4024; // @[el2_lsu_bus_buffer.scala 464:132] - wire _T_4026 = _T_766 & _T_4025; // @[el2_lsu_bus_buffer.scala 464:63] - wire _T_4027 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 464:206] - wire _T_4028 = ibuf_drain_vld & _T_4027; // @[el2_lsu_bus_buffer.scala 464:201] - wire _T_4029 = _T_4026 | _T_4028; // @[el2_lsu_bus_buffer.scala 464:183] - wire _T_4074 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4119 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_4120 = bus_rsp_write & _T_4119; // @[el2_lsu_bus_buffer.scala 489:52] - wire _T_4121 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 490:46] - wire [2:0] _GEN_402 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_4123 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_4124 = buf_ldfwd[3] & _T_4123; // @[el2_lsu_bus_buffer.scala 491:27] - wire _T_4125 = _T_4121 | _T_4124; // @[el2_lsu_bus_buffer.scala 490:77] - wire _T_4126 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 492:26] - wire _T_4128 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 492:44] - wire _T_4129 = _T_4126 & _T_4128; // @[el2_lsu_bus_buffer.scala 492:42] - wire _T_4130 = _T_4129 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3941 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 495:74] + wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 494:71] + wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 493:25] + wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 492:105] + wire _GEN_194 = _T_3884 & _T_3945; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3850 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3846 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_3971 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 507:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3983 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 507:38] + wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 506:95] + wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 506:45] + wire _GEN_188 = _T_3971 & _T_3986; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3884 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3850 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3846 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_3989 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 512:37] + wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] + wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 512:80] + wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 512:65] + wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_3997 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_3989 ? _T_3996 : _T_3997; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_3971 ? _T_3864 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3884 ? _T_3864 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3850 ? _T_3864 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3846 ? _T_3463 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3823 ? _T_3839 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 430:94] + wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:71] + wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2271 = _T_2059 | _T_2270; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 433:52] + wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2296 = _T_2084 | _T_2295; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2321 = _T_2109 | _T_2320; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2346 = _T_2134 | _T_2345; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire [2:0] _T_2358 = {_T_2356,_T_2331,_T_2306}; // @[Cat.scala 29:58] + wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] + wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] + wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 467:161] + wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 467:132] + wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 467:63] + wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] + wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 467:201] + wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_4077 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 492:73] + wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 492:52] + wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 493:46] + wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_4126 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 494:27] + wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 493:77] + wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 495:26] + wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 495:44] + wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 495:42] + wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_403 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_4131 = io_lsu_axi_rid == _GEN_403; // @[el2_lsu_bus_buffer.scala 492:94] - wire _T_4132 = _T_4130 & _T_4131; // @[el2_lsu_bus_buffer.scala 492:74] - wire _T_4133 = _T_4125 | _T_4132; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_4134 = bus_rsp_read & _T_4133; // @[el2_lsu_bus_buffer.scala 490:25] - wire _T_4135 = _T_4120 | _T_4134; // @[el2_lsu_bus_buffer.scala 489:105] - wire _GEN_270 = _T_4074 & _T_4135; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4040 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4036 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4013 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4161 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4171 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 504:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 504:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 504:58] - wire [2:0] _GEN_405 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_4173 = io_lsu_axi_rid == _GEN_405; // @[el2_lsu_bus_buffer.scala 504:58] - wire _T_4174 = _T_4171[0] & _T_4173; // @[el2_lsu_bus_buffer.scala 504:38] - wire _T_4175 = _T_4131 | _T_4174; // @[el2_lsu_bus_buffer.scala 503:95] - wire _T_4176 = bus_rsp_read & _T_4175; // @[el2_lsu_bus_buffer.scala 503:45] - wire _GEN_264 = _T_4161 & _T_4176; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4074 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4040 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4036 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4013 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4053 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_4054 = _T_4053 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:70] - wire _T_4179 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4182 = RspPtr == 3'h3; // @[el2_lsu_bus_buffer.scala 509:37] - wire _T_4183 = _GEN_403 == RspPtr; // @[el2_lsu_bus_buffer.scala 509:98] - wire _T_4184 = buf_dual_3 & _T_4183; // @[el2_lsu_bus_buffer.scala 509:80] - wire _T_4185 = _T_4182 | _T_4184; // @[el2_lsu_bus_buffer.scala 509:65] - wire _T_4186 = _T_4185 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:112] - wire _T_4187 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4179 ? _T_4186 : _T_4187; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4161 ? _T_4054 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4074 ? _T_4054 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4040 ? _T_4054 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4036 ? _T_3460 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4013 ? _T_4029 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2357 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 427:94] - wire _T_2367 = _T_2059 & _T_1815; // @[el2_lsu_bus_buffer.scala 429:71] - wire _T_2369 = _T_2367 & _T_1780; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2370 = _T_2056 | _T_2369; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2374 = _T_2066 & _T_1818; // @[el2_lsu_bus_buffer.scala 430:52] - wire _T_2376 = _T_2374 & _T_1782; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2377 = _T_2370 | _T_2376; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2378 = _T_2357 & _T_2377; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2380 = _T_2378 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2394 = _T_2367 & _T_1791; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2395 = _T_2081 | _T_2394; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2401 = _T_2374 & _T_1793; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2402 = _T_2395 | _T_2401; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2403 = _T_2357 & _T_2402; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2405 = _T_2403 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2419 = _T_2367 & _T_1802; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2420 = _T_2106 | _T_2419; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2426 = _T_2374 & _T_1804; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2427 = _T_2420 | _T_2426; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2428 = _T_2357 & _T_2427; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2430 = _T_2428 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 430:97] - wire _T_2444 = _T_2367 & _T_1813; // @[el2_lsu_bus_buffer.scala 429:92] - wire _T_2445 = _T_2131 | _T_2444; // @[el2_lsu_bus_buffer.scala 428:86] - wire _T_2451 = _T_2374 & _T_1815; // @[el2_lsu_bus_buffer.scala 430:73] - wire _T_2452 = _T_2445 | _T_2451; // @[el2_lsu_bus_buffer.scala 429:114] - wire _T_2453 = _T_2357 & _T_2452; // @[el2_lsu_bus_buffer.scala 427:113] - wire _T_2455 = _T_2453 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 430:97] - wire [2:0] _T_2457 = {_T_2455,_T_2430,_T_2405}; // @[Cat.scala 29:58] - wire _T_2705 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 438:49] - wire _T_2706 = _T_1779 | _T_2705; // @[el2_lsu_bus_buffer.scala 438:34] - wire _T_2707 = ~_T_2706; // @[el2_lsu_bus_buffer.scala 438:8] - wire _T_2715 = _T_2707 | _T_2063; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2722 = _T_2715 | _T_2070; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2723 = _T_2051 & _T_2722; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2727 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 438:49] - wire _T_2728 = _T_1790 | _T_2727; // @[el2_lsu_bus_buffer.scala 438:34] - wire _T_2729 = ~_T_2728; // @[el2_lsu_bus_buffer.scala 438:8] - wire _T_2737 = _T_2729 | _T_2088; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2744 = _T_2737 | _T_2095; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2745 = _T_2051 & _T_2744; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2749 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 438:49] - wire _T_2750 = _T_1801 | _T_2749; // @[el2_lsu_bus_buffer.scala 438:34] - wire _T_2751 = ~_T_2750; // @[el2_lsu_bus_buffer.scala 438:8] - wire _T_2759 = _T_2751 | _T_2113; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2766 = _T_2759 | _T_2120; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2767 = _T_2051 & _T_2766; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2771 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 438:49] - wire _T_2772 = _T_1812 | _T_2771; // @[el2_lsu_bus_buffer.scala 438:34] - wire _T_2773 = ~_T_2772; // @[el2_lsu_bus_buffer.scala 438:8] - wire _T_2781 = _T_2773 | _T_2138; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2788 = _T_2781 | _T_2145; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2789 = _T_2051 & _T_2788; // @[el2_lsu_bus_buffer.scala 437:114] - wire [3:0] buf_rspage_set_0 = {_T_2789,_T_2767,_T_2745,_T_2723}; // @[Cat.scala 29:58] - wire _T_2806 = _T_2707 | _T_2165; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2813 = _T_2806 | _T_2172; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2814 = _T_2153 & _T_2813; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2828 = _T_2729 | _T_2190; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2835 = _T_2828 | _T_2197; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2836 = _T_2153 & _T_2835; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2850 = _T_2751 | _T_2215; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2857 = _T_2850 | _T_2222; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2858 = _T_2153 & _T_2857; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2872 = _T_2773 | _T_2240; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2879 = _T_2872 | _T_2247; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2880 = _T_2153 & _T_2879; // @[el2_lsu_bus_buffer.scala 437:114] - wire [3:0] buf_rspage_set_1 = {_T_2880,_T_2858,_T_2836,_T_2814}; // @[Cat.scala 29:58] - wire _T_2897 = _T_2707 | _T_2267; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2904 = _T_2897 | _T_2274; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2905 = _T_2255 & _T_2904; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2919 = _T_2729 | _T_2292; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2926 = _T_2919 | _T_2299; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2927 = _T_2255 & _T_2926; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2941 = _T_2751 | _T_2317; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2948 = _T_2941 | _T_2324; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2949 = _T_2255 & _T_2948; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_2963 = _T_2773 | _T_2342; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2970 = _T_2963 | _T_2349; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2971 = _T_2255 & _T_2970; // @[el2_lsu_bus_buffer.scala 437:114] - wire [3:0] buf_rspage_set_2 = {_T_2971,_T_2949,_T_2927,_T_2905}; // @[Cat.scala 29:58] - wire _T_2988 = _T_2707 | _T_2369; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_2995 = _T_2988 | _T_2376; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_2996 = _T_2357 & _T_2995; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_3010 = _T_2729 | _T_2394; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_3017 = _T_3010 | _T_2401; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3018 = _T_2357 & _T_3017; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_3032 = _T_2751 | _T_2419; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_3039 = _T_3032 | _T_2426; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3040 = _T_2357 & _T_3039; // @[el2_lsu_bus_buffer.scala 437:114] - wire _T_3054 = _T_2773 | _T_2444; // @[el2_lsu_bus_buffer.scala 438:61] - wire _T_3061 = _T_3054 | _T_2451; // @[el2_lsu_bus_buffer.scala 439:112] - wire _T_3062 = _T_2357 & _T_3061; // @[el2_lsu_bus_buffer.scala 437:114] - wire [3:0] buf_rspage_set_3 = {_T_3062,_T_3040,_T_3018,_T_2996}; // @[Cat.scala 29:58] - wire _T_3147 = _T_2771 | _T_1812; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3148 = ~_T_3147; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_3149 = buf_rspageQ_0[3] & _T_3148; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3141 = _T_2749 | _T_1801; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3142 = ~_T_3141; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_3143 = buf_rspageQ_0[2] & _T_3142; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3135 = _T_2727 | _T_1790; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3136 = ~_T_3135; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_3137 = buf_rspageQ_0[1] & _T_3136; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3129 = _T_2705 | _T_1779; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3130 = ~_T_3129; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_3131 = buf_rspageQ_0[0] & _T_3130; // @[el2_lsu_bus_buffer.scala 442:84] - wire [3:0] buf_rspage_0 = {_T_3149,_T_3143,_T_3137,_T_3131}; // @[Cat.scala 29:58] - wire _T_3068 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3071 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3074 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3077 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 441:90] - wire [2:0] _T_3079 = {_T_3077,_T_3074,_T_3071}; // @[Cat.scala 29:58] - wire _T_3176 = buf_rspageQ_1[3] & _T_3148; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3170 = buf_rspageQ_1[2] & _T_3142; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3164 = buf_rspageQ_1[1] & _T_3136; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3158 = buf_rspageQ_1[0] & _T_3130; // @[el2_lsu_bus_buffer.scala 442:84] - wire [3:0] buf_rspage_1 = {_T_3176,_T_3170,_T_3164,_T_3158}; // @[Cat.scala 29:58] - wire _T_3083 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3086 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3089 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3092 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 441:90] - wire [2:0] _T_3094 = {_T_3092,_T_3089,_T_3086}; // @[Cat.scala 29:58] - wire _T_3203 = buf_rspageQ_2[3] & _T_3148; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3197 = buf_rspageQ_2[2] & _T_3142; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3191 = buf_rspageQ_2[1] & _T_3136; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3185 = buf_rspageQ_2[0] & _T_3130; // @[el2_lsu_bus_buffer.scala 442:84] - wire [3:0] buf_rspage_2 = {_T_3203,_T_3197,_T_3191,_T_3185}; // @[Cat.scala 29:58] - wire _T_3098 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3101 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3104 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3107 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 441:90] - wire [2:0] _T_3109 = {_T_3107,_T_3104,_T_3101}; // @[Cat.scala 29:58] - wire _T_3230 = buf_rspageQ_3[3] & _T_3148; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3224 = buf_rspageQ_3[2] & _T_3142; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3218 = buf_rspageQ_3[1] & _T_3136; // @[el2_lsu_bus_buffer.scala 442:84] - wire _T_3212 = buf_rspageQ_3[0] & _T_3130; // @[el2_lsu_bus_buffer.scala 442:84] - wire [3:0] buf_rspage_3 = {_T_3230,_T_3224,_T_3218,_T_3212}; // @[Cat.scala 29:58] - wire _T_3113 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3116 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3119 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 441:90] - wire _T_3122 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 441:90] - wire [2:0] _T_3124 = {_T_3122,_T_3119,_T_3116}; // @[Cat.scala 29:58] - wire _T_3235 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 447:65] - wire _T_3237 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 447:65] - wire _T_3239 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 447:65] - wire _T_3241 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 447:65] - wire [3:0] ibuf_drainvec_vld = {_T_3241,_T_3239,_T_3237,_T_3235}; // @[Cat.scala 29:58] - wire _T_3249 = _T_3443 & _T_1785; // @[el2_lsu_bus_buffer.scala 448:123] - wire [3:0] _T_3252 = _T_3249 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 448:96] - wire [3:0] _T_3253 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3252; // @[el2_lsu_bus_buffer.scala 448:48] - wire _T_3258 = _T_3443 & _T_1796; // @[el2_lsu_bus_buffer.scala 448:123] - wire [3:0] _T_3261 = _T_3258 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 448:96] - wire [3:0] _T_3262 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3261; // @[el2_lsu_bus_buffer.scala 448:48] - wire _T_3267 = _T_3443 & _T_1807; // @[el2_lsu_bus_buffer.scala 448:123] - wire [3:0] _T_3270 = _T_3267 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 448:96] - wire [3:0] _T_3271 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3270; // @[el2_lsu_bus_buffer.scala 448:48] - wire _T_3276 = _T_3443 & _T_1818; // @[el2_lsu_bus_buffer.scala 448:123] - wire [3:0] _T_3279 = _T_3276 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 448:96] - wire [3:0] _T_3280 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3279; // @[el2_lsu_bus_buffer.scala 448:48] - wire _T_3306 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 450:47] - wire _T_3308 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 450:47] - wire _T_3310 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 450:47] - wire _T_3312 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 450:47] - wire [3:0] buf_dual_in = {_T_3312,_T_3310,_T_3308,_T_3306}; // @[Cat.scala 29:58] - wire _T_3317 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 451:49] - wire _T_3319 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 451:49] - wire _T_3321 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 451:49] - wire _T_3323 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 451:49] - wire [3:0] buf_samedw_in = {_T_3323,_T_3321,_T_3319,_T_3317}; // @[Cat.scala 29:58] - wire _T_3328 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 452:86] - wire _T_3329 = ibuf_drainvec_vld[0] ? _T_3328 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 452:50] - wire _T_3332 = ibuf_drainvec_vld[1] ? _T_3328 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 452:50] - wire _T_3335 = ibuf_drainvec_vld[2] ? _T_3328 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 452:50] - wire _T_3338 = ibuf_drainvec_vld[3] ? _T_3328 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 452:50] - wire [3:0] buf_nomerge_in = {_T_3338,_T_3335,_T_3332,_T_3329}; // @[Cat.scala 29:58] - wire _T_3346 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3249; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3351 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3258; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3356 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3267; // @[el2_lsu_bus_buffer.scala 453:49] - wire _T_3361 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3276; // @[el2_lsu_bus_buffer.scala 453:49] - wire [3:0] buf_dualhi_in = {_T_3361,_T_3356,_T_3351,_T_3346}; // @[Cat.scala 29:58] - wire _T_3390 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 455:53] - wire _T_3392 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 455:53] - wire _T_3394 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 455:53] - wire _T_3396 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 455:53] - wire [3:0] buf_sideeffect_in = {_T_3396,_T_3394,_T_3392,_T_3390}; // @[Cat.scala 29:58] - wire _T_3401 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3403 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3405 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3407 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 456:49] - wire [3:0] buf_unsign_in = {_T_3407,_T_3405,_T_3403,_T_3401}; // @[Cat.scala 29:58] - wire _T_3424 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 458:48] - wire _T_3426 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 458:48] - wire _T_3428 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 458:48] - wire _T_3430 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 458:48] - wire [3:0] buf_write_in = {_T_3430,_T_3428,_T_3426,_T_3424}; // @[Cat.scala 29:58] - wire [31:0] _T_3456 = _T_3449 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 467:30] - wire _T_3463 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_3465 = _T_3463 & _T_1277; // @[el2_lsu_bus_buffer.scala 474:104] - wire _T_3478 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 479:44] - wire _T_3479 = _T_3478 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 479:60] - wire _T_3481 = _T_3479 & _T_1259; // @[el2_lsu_bus_buffer.scala 479:74] - wire _T_3484 = _T_3474 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:67] - wire _T_3485 = _T_3484 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 481:81] - wire _T_4782 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 583:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4782; // @[el2_lsu_bus_buffer.scala 583:38] - wire _T_3488 = _T_3484 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 482:82] - wire [31:0] _T_3493 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_3563 = bus_rsp_read_error & _T_3542; // @[el2_lsu_bus_buffer.scala 496:91] - wire _T_3565 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 497:31] - wire _T_3567 = _T_3565 & _T_3544; // @[el2_lsu_bus_buffer.scala 497:46] - wire _T_3568 = _T_3563 | _T_3567; // @[el2_lsu_bus_buffer.scala 496:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4782; // @[el2_lsu_bus_buffer.scala 582:40] - wire _T_3571 = bus_rsp_write_error & _T_3540; // @[el2_lsu_bus_buffer.scala 498:53] - wire _T_3572 = _T_3568 | _T_3571; // @[el2_lsu_bus_buffer.scala 497:88] - wire _T_3573 = _T_3474 & _T_3572; // @[el2_lsu_bus_buffer.scala 496:68] - wire _GEN_46 = _T_3495 & _T_3573; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3461 ? _T_3488 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3457 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3434 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3494 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3493; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3498 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3499 = buf_write[0] & _T_3498; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_3500 = io_dec_tlu_force_halt | _T_3499; // @[el2_lsu_bus_buffer.scala 486:55] - wire _T_3502 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 487:30] - wire _T_3503 = buf_dual_0 & _T_3502; // @[el2_lsu_bus_buffer.scala 487:28] - wire _T_3506 = _T_3503 & _T_1130; // @[el2_lsu_bus_buffer.scala 487:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3507 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3508 = _T_3506 & _T_3507; // @[el2_lsu_bus_buffer.scala 487:61] - wire _T_4408 = _T_2652 | _T_2649; // @[el2_lsu_bus_buffer.scala 544:93] - wire _T_4409 = _T_4408 | _T_2646; // @[el2_lsu_bus_buffer.scala 544:93] - wire any_done_wait_state = _T_4409 | _T_2643; // @[el2_lsu_bus_buffer.scala 544:93] - wire _T_3510 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:31] - wire _T_3516 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3518 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3520 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3522 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3524 = _T_3516 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3525 = _T_3518 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3526 = _T_3520 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3527 = _T_3522 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3528 = _T_3524 | _T_3525; // @[Mux.scala 27:72] - wire _T_3529 = _T_3528 | _T_3526; // @[Mux.scala 27:72] - wire _T_3530 = _T_3529 | _T_3527; // @[Mux.scala 27:72] - wire _T_3532 = _T_3506 & _T_3530; // @[el2_lsu_bus_buffer.scala 488:101] - wire _T_3533 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 488:167] - wire _T_3534 = _T_3532 & _T_3533; // @[el2_lsu_bus_buffer.scala 488:138] - wire _T_3535 = _T_3534 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:187] - wire _T_3536 = _T_3510 | _T_3535; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_3559 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:47] - wire _T_3560 = _T_3559 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 495:62] - wire _T_3574 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 499:50] - wire _T_3575 = buf_state_en_0 & _T_3574; // @[el2_lsu_bus_buffer.scala 499:48] - wire [31:0] _T_3581 = _T_3575 ? _T_3493 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 499:30] - wire _T_3587 = buf_ldfwd[0] | _T_3592[0]; // @[el2_lsu_bus_buffer.scala 502:90] - wire _T_3588 = _T_3587 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:118] - wire _GEN_29 = _T_3608 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3600 ? 1'h0 : _T_3608; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3600 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3582 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3582 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3495 & _T_3560; // @[Conditional.scala 39:67] - wire [31:0] _GEN_47 = _T_3495 ? _T_3581 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3495 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3495 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3461 ? _T_3481 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3461 ? _T_3485 : _GEN_45; // @[Conditional.scala 39:67] - wire [31:0] _GEN_60 = _T_3461 ? _T_3494 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3461 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3457 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3457 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire [31:0] _GEN_72 = _T_3457 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3457 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3434 & buf_state_en_0; // @[Conditional.scala 40:58] - wire [31:0] _GEN_79 = _T_3434 ? _T_3456 : _GEN_72; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3434 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3434 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3649 = _T_3642 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 467:30] - wire _T_3671 = buf_state_en_1 & _T_3742; // @[el2_lsu_bus_buffer.scala 479:44] - wire _T_3672 = _T_3671 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 479:60] - wire _T_3674 = _T_3672 & _T_1259; // @[el2_lsu_bus_buffer.scala 479:74] - wire _T_3677 = _T_3667 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:67] - wire _T_3678 = _T_3677 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 481:81] - wire _T_3681 = _T_3677 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 482:82] - wire [31:0] _T_3686 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_3756 = bus_rsp_read_error & _T_3735; // @[el2_lsu_bus_buffer.scala 496:91] - wire _T_3758 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 497:31] - wire _T_3760 = _T_3758 & _T_3737; // @[el2_lsu_bus_buffer.scala 497:46] - wire _T_3761 = _T_3756 | _T_3760; // @[el2_lsu_bus_buffer.scala 496:143] - wire _T_3764 = bus_rsp_write_error & _T_3733; // @[el2_lsu_bus_buffer.scala 498:53] - wire _T_3765 = _T_3761 | _T_3764; // @[el2_lsu_bus_buffer.scala 497:88] - wire _T_3766 = _T_3667 & _T_3765; // @[el2_lsu_bus_buffer.scala 496:68] - wire _GEN_122 = _T_3688 & _T_3766; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3654 ? _T_3681 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3650 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3627 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3687 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3686; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3692 = buf_write[1] & _T_3498; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_3693 = io_dec_tlu_force_halt | _T_3692; // @[el2_lsu_bus_buffer.scala 486:55] - wire _T_3695 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 487:30] - wire _T_3696 = buf_dual_1 & _T_3695; // @[el2_lsu_bus_buffer.scala 487:28] - wire _T_3699 = _T_3696 & _T_3742; // @[el2_lsu_bus_buffer.scala 487:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3700 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3701 = _T_3699 & _T_3700; // @[el2_lsu_bus_buffer.scala 487:61] - wire _T_3703 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:31] - wire _T_3709 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3711 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3713 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3715 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3717 = _T_3709 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3718 = _T_3711 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3719 = _T_3713 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3720 = _T_3715 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3721 = _T_3717 | _T_3718; // @[Mux.scala 27:72] - wire _T_3722 = _T_3721 | _T_3719; // @[Mux.scala 27:72] - wire _T_3723 = _T_3722 | _T_3720; // @[Mux.scala 27:72] - wire _T_3725 = _T_3699 & _T_3723; // @[el2_lsu_bus_buffer.scala 488:101] - wire _T_3726 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 488:167] - wire _T_3727 = _T_3725 & _T_3726; // @[el2_lsu_bus_buffer.scala 488:138] - wire _T_3728 = _T_3727 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:187] - wire _T_3729 = _T_3703 | _T_3728; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_3752 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:47] - wire _T_3753 = _T_3752 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 495:62] - wire _T_3767 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 499:50] - wire _T_3768 = buf_state_en_1 & _T_3767; // @[el2_lsu_bus_buffer.scala 499:48] - wire [31:0] _T_3774 = _T_3768 ? _T_3686 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 499:30] - wire _T_3780 = buf_ldfwd[1] | _T_3785[0]; // @[el2_lsu_bus_buffer.scala 502:90] - wire _T_3781 = _T_3780 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:118] - wire _GEN_105 = _T_3801 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3793 ? 1'h0 : _T_3801; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3793 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3775 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3775 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3688 & _T_3753; // @[Conditional.scala 39:67] - wire [31:0] _GEN_123 = _T_3688 ? _T_3774 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3688 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3688 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3654 ? _T_3674 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3654 ? _T_3678 : _GEN_121; // @[Conditional.scala 39:67] - wire [31:0] _GEN_136 = _T_3654 ? _T_3687 : _GEN_123; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3654 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3650 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3650 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire [31:0] _GEN_148 = _T_3650 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3650 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3627 & buf_state_en_1; // @[Conditional.scala 40:58] - wire [31:0] _GEN_155 = _T_3627 ? _T_3649 : _GEN_148; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3627 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3627 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3842 = _T_3835 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 467:30] - wire _T_3864 = buf_state_en_2 & _T_3935; // @[el2_lsu_bus_buffer.scala 479:44] - wire _T_3865 = _T_3864 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 479:60] - wire _T_3867 = _T_3865 & _T_1259; // @[el2_lsu_bus_buffer.scala 479:74] - wire _T_3870 = _T_3860 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:67] - wire _T_3871 = _T_3870 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 481:81] - wire _T_3874 = _T_3870 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 482:82] - wire [31:0] _T_3879 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_3949 = bus_rsp_read_error & _T_3928; // @[el2_lsu_bus_buffer.scala 496:91] - wire _T_3951 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 497:31] - wire _T_3953 = _T_3951 & _T_3930; // @[el2_lsu_bus_buffer.scala 497:46] - wire _T_3954 = _T_3949 | _T_3953; // @[el2_lsu_bus_buffer.scala 496:143] - wire _T_3957 = bus_rsp_write_error & _T_3926; // @[el2_lsu_bus_buffer.scala 498:53] - wire _T_3958 = _T_3954 | _T_3957; // @[el2_lsu_bus_buffer.scala 497:88] - wire _T_3959 = _T_3860 & _T_3958; // @[el2_lsu_bus_buffer.scala 496:68] - wire _GEN_198 = _T_3881 & _T_3959; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3847 ? _T_3874 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3843 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3820 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3880 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3879; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3885 = buf_write[2] & _T_3498; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_3886 = io_dec_tlu_force_halt | _T_3885; // @[el2_lsu_bus_buffer.scala 486:55] - wire _T_3888 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 487:30] - wire _T_3889 = buf_dual_2 & _T_3888; // @[el2_lsu_bus_buffer.scala 487:28] - wire _T_3892 = _T_3889 & _T_3935; // @[el2_lsu_bus_buffer.scala 487:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3893 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_3894 = _T_3892 & _T_3893; // @[el2_lsu_bus_buffer.scala 487:61] - wire _T_3896 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:31] - wire _T_3902 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3904 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3906 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3908 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3910 = _T_3902 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3911 = _T_3904 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3912 = _T_3906 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3913 = _T_3908 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3914 = _T_3910 | _T_3911; // @[Mux.scala 27:72] - wire _T_3915 = _T_3914 | _T_3912; // @[Mux.scala 27:72] - wire _T_3916 = _T_3915 | _T_3913; // @[Mux.scala 27:72] - wire _T_3918 = _T_3892 & _T_3916; // @[el2_lsu_bus_buffer.scala 488:101] - wire _T_3919 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 488:167] - wire _T_3920 = _T_3918 & _T_3919; // @[el2_lsu_bus_buffer.scala 488:138] - wire _T_3921 = _T_3920 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:187] - wire _T_3922 = _T_3896 | _T_3921; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_3945 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:47] - wire _T_3946 = _T_3945 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 495:62] - wire _T_3960 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 499:50] - wire _T_3961 = buf_state_en_2 & _T_3960; // @[el2_lsu_bus_buffer.scala 499:48] - wire [31:0] _T_3967 = _T_3961 ? _T_3879 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 499:30] - wire _T_3973 = buf_ldfwd[2] | _T_3978[0]; // @[el2_lsu_bus_buffer.scala 502:90] - wire _T_3974 = _T_3973 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:118] - wire _GEN_181 = _T_3994 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_3986 ? 1'h0 : _T_3994; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_3986 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_3968 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_3968 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3881 & _T_3946; // @[Conditional.scala 39:67] - wire [31:0] _GEN_199 = _T_3881 ? _T_3967 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3881 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3881 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3847 ? _T_3867 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3847 ? _T_3871 : _GEN_197; // @[Conditional.scala 39:67] - wire [31:0] _GEN_212 = _T_3847 ? _T_3880 : _GEN_199; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3847 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3843 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3843 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire [31:0] _GEN_224 = _T_3843 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3843 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3820 & buf_state_en_2; // @[Conditional.scala 40:58] - wire [31:0] _GEN_231 = _T_3820 ? _T_3842 : _GEN_224; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3820 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3820 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_4035 = _T_4028 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 467:30] - wire _T_4057 = buf_state_en_3 & _T_4128; // @[el2_lsu_bus_buffer.scala 479:44] - wire _T_4058 = _T_4057 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 479:60] - wire _T_4060 = _T_4058 & _T_1259; // @[el2_lsu_bus_buffer.scala 479:74] - wire _T_4063 = _T_4053 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 481:67] - wire _T_4064 = _T_4063 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 481:81] - wire _T_4067 = _T_4063 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 482:82] - wire [31:0] _T_4072 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 483:73] - wire _T_4142 = bus_rsp_read_error & _T_4121; // @[el2_lsu_bus_buffer.scala 496:91] - wire _T_4144 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 497:31] - wire _T_4146 = _T_4144 & _T_4123; // @[el2_lsu_bus_buffer.scala 497:46] - wire _T_4147 = _T_4142 | _T_4146; // @[el2_lsu_bus_buffer.scala 496:143] - wire _T_4150 = bus_rsp_write_error & _T_4119; // @[el2_lsu_bus_buffer.scala 498:53] - wire _T_4151 = _T_4147 | _T_4150; // @[el2_lsu_bus_buffer.scala 497:88] - wire _T_4152 = _T_4053 & _T_4151; // @[el2_lsu_bus_buffer.scala 496:68] - wire _GEN_274 = _T_4074 & _T_4152; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4040 ? _T_4067 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4036 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4013 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4073 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4072; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_4078 = buf_write[3] & _T_3498; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_4079 = io_dec_tlu_force_halt | _T_4078; // @[el2_lsu_bus_buffer.scala 486:55] - wire _T_4081 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 487:30] - wire _T_4082 = buf_dual_3 & _T_4081; // @[el2_lsu_bus_buffer.scala 487:28] - wire _T_4085 = _T_4082 & _T_4128; // @[el2_lsu_bus_buffer.scala 487:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 487:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_4086 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 487:90] - wire _T_4087 = _T_4085 & _T_4086; // @[el2_lsu_bus_buffer.scala 487:61] - wire _T_4089 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:31] - wire _T_4095 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4097 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4099 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4101 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4103 = _T_4095 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4104 = _T_4097 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4105 = _T_4099 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4106 = _T_4101 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4107 = _T_4103 | _T_4104; // @[Mux.scala 27:72] - wire _T_4108 = _T_4107 | _T_4105; // @[Mux.scala 27:72] - wire _T_4109 = _T_4108 | _T_4106; // @[Mux.scala 27:72] - wire _T_4111 = _T_4085 & _T_4109; // @[el2_lsu_bus_buffer.scala 488:101] - wire _T_4112 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 488:167] - wire _T_4113 = _T_4111 & _T_4112; // @[el2_lsu_bus_buffer.scala 488:138] - wire _T_4114 = _T_4113 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 488:187] - wire _T_4115 = _T_4089 | _T_4114; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_4138 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:47] - wire _T_4139 = _T_4138 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 495:62] - wire _T_4153 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 499:50] - wire _T_4154 = buf_state_en_3 & _T_4153; // @[el2_lsu_bus_buffer.scala 499:48] - wire [31:0] _T_4160 = _T_4154 ? _T_4072 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 499:30] - wire _T_4166 = buf_ldfwd[3] | _T_4171[0]; // @[el2_lsu_bus_buffer.scala 502:90] - wire _T_4167 = _T_4166 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:118] - wire _GEN_257 = _T_4187 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4179 ? 1'h0 : _T_4187; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4179 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4161 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4161 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4074 & _T_4139; // @[Conditional.scala 39:67] - wire [31:0] _GEN_275 = _T_4074 ? _T_4160 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4074 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4074 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4040 ? _T_4060 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4040 ? _T_4064 : _GEN_273; // @[Conditional.scala 39:67] - wire [31:0] _GEN_288 = _T_4040 ? _T_4073 : _GEN_275; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4040 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4036 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4036 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire [31:0] _GEN_300 = _T_4036 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4036 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4013 & buf_state_en_3; // @[Conditional.scala 40:58] - wire [31:0] _GEN_307 = _T_4013 ? _T_4035 : _GEN_300; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4013 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4013 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4242; // @[Reg.scala 27:20] + wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_4134 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 495:74] + wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 494:71] + wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 493:25] + wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 492:105] + wire _GEN_270 = _T_4077 & _T_4138; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4043 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4039 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4164 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 507:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 507:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_4176 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 507:38] + wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 506:95] + wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 506:45] + wire _GEN_264 = _T_4164 & _T_4179; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4077 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4043 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4039 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_4182 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 512:37] + wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] + wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 512:80] + wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 512:65] + wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_4190 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4182 ? _T_4189 : _T_4190; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4164 ? _T_4057 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4077 ? _T_4057 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4043 ? _T_4057 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4039 ? _T_3463 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4016 ? _T_4032 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 430:94] + wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:71] + wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2373 = _T_2059 | _T_2372; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 433:52] + wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2398 = _T_2084 | _T_2397; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2423 = _T_2109 | _T_2422; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] + wire _T_2448 = _T_2134 | _T_2447; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] + wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 430:113] + wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire [2:0] _T_2460 = {_T_2458,_T_2433,_T_2408}; // @[Cat.scala 29:58] + wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] + wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 441:34] + wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 441:8] + wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] + wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 441:34] + wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 441:8] + wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] + wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 441:34] + wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 441:8] + wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] + wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 441:34] + wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 441:8] + wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 440:114] + wire [3:0] buf_rspage_set_0 = {_T_2792,_T_2770,_T_2748,_T_2726}; // @[Cat.scala 29:58] + wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 440:114] + wire [3:0] buf_rspage_set_1 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] + wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 440:114] + wire [3:0] buf_rspage_set_2 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] + wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 441:61] + wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 442:112] + wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 440:114] + wire [3:0] buf_rspage_set_3 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] + wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 445:86] + wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 445:86] + wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 445:86] + wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 445:86] + wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire [3:0] buf_rspage_0 = {_T_3152,_T_3146,_T_3140,_T_3134}; // @[Cat.scala 29:58] + wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire [2:0] _T_3082 = {_T_3080,_T_3077,_T_3074}; // @[Cat.scala 29:58] + wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire [3:0] buf_rspage_1 = {_T_3179,_T_3173,_T_3167,_T_3161}; // @[Cat.scala 29:58] + wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire [2:0] _T_3097 = {_T_3095,_T_3092,_T_3089}; // @[Cat.scala 29:58] + wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire [3:0] buf_rspage_2 = {_T_3206,_T_3200,_T_3194,_T_3188}; // @[Cat.scala 29:58] + wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire [2:0] _T_3112 = {_T_3110,_T_3107,_T_3104}; // @[Cat.scala 29:58] + wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire [3:0] buf_rspage_3 = {_T_3233,_T_3227,_T_3221,_T_3215}; // @[Cat.scala 29:58] + wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire [2:0] _T_3127 = {_T_3125,_T_3122,_T_3119}; // @[Cat.scala 29:58] + wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 450:65] + wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 450:65] + wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 450:65] + wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 450:65] + wire [3:0] ibuf_drainvec_vld = {_T_3244,_T_3242,_T_3240,_T_3238}; // @[Cat.scala 29:58] + wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 451:123] + wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] + wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 451:48] + wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 451:123] + wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] + wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 451:48] + wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 451:123] + wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] + wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 451:48] + wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 451:123] + wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] + wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 451:48] + wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] + wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] + wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] + wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] + wire [3:0] buf_dual_in = {_T_3315,_T_3313,_T_3311,_T_3309}; // @[Cat.scala 29:58] + wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] + wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] + wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] + wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] + wire [3:0] buf_samedw_in = {_T_3326,_T_3324,_T_3322,_T_3320}; // @[Cat.scala 29:58] + wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 455:86] + wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] + wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] + wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] + wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] + wire [3:0] buf_nomerge_in = {_T_3341,_T_3338,_T_3335,_T_3332}; // @[Cat.scala 29:58] + wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 456:49] + wire [3:0] buf_dualhi_in = {_T_3364,_T_3359,_T_3354,_T_3349}; // @[Cat.scala 29:58] + wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] + wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] + wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] + wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] + wire [3:0] buf_sideeffect_in = {_T_3399,_T_3397,_T_3395,_T_3393}; // @[Cat.scala 29:58] + wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] + wire [3:0] buf_unsign_in = {_T_3410,_T_3408,_T_3406,_T_3404}; // @[Cat.scala 29:58] + wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] + wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] + wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] + wire [3:0] buf_write_in = {_T_3433,_T_3431,_T_3429,_T_3427}; // @[Cat.scala 29:58] + wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] + wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:89] + wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 477:104] + wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 482:44] + wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] + wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] + wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] + wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] + wire _T_4785 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 586:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4785; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] + wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 499:91] + wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 500:31] + wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 499:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4785; // @[el2_lsu_bus_buffer.scala 585:40] + wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 501:53] + wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 500:88] + wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 499:68] + wire _GEN_46 = _T_3498 & _T_3576; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3464 ? _T_3491 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3460 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3437 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 486:30] + wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 489:73] + wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] + wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 489:55] + wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 490:30] + wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 490:28] + wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 490:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 490:61] + wire _T_4411 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 547:93] + wire _T_4412 = _T_4411 | _T_2649; // @[el2_lsu_bus_buffer.scala 547:93] + wire any_done_wait_state = _T_4412 | _T_2646; // @[el2_lsu_bus_buffer.scala 547:93] + wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] + wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3527 = _T_3519 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3528 = _T_3521 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3529 = _T_3523 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3530 = _T_3525 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3531 = _T_3527 | _T_3528; // @[Mux.scala 27:72] + wire _T_3532 = _T_3531 | _T_3529; // @[Mux.scala 27:72] + wire _T_3533 = _T_3532 | _T_3530; // @[Mux.scala 27:72] + wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 491:101] + wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] + wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 491:138] + wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] + wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 491:53] + wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] + wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] + wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 502:50] + wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 502:48] + wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] + wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 505:90] + wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _GEN_29 = _T_3611 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3603 ? 1'h0 : _T_3611; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3603 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3585 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3585 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3498 & _T_3563; // @[Conditional.scala 39:67] + wire [31:0] _GEN_47 = _T_3498 ? _T_3584 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3498 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3498 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3464 ? _T_3484 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3464 ? _T_3488 : _GEN_45; // @[Conditional.scala 39:67] + wire [31:0] _GEN_60 = _T_3464 ? _T_3497 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3464 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3460 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3460 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire [31:0] _GEN_72 = _T_3460 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3460 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3437 & buf_state_en_0; // @[Conditional.scala 40:58] + wire [31:0] _GEN_79 = _T_3437 ? _T_3459 : _GEN_72; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3437 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3437 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] + wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 482:44] + wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] + wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] + wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] + wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] + wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] + wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 499:91] + wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 500:31] + wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 499:143] + wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 501:53] + wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 500:88] + wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 499:68] + wire _GEN_122 = _T_3691 & _T_3769; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3657 ? _T_3684 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3653 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3630 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 486:30] + wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] + wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 489:55] + wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 490:30] + wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 490:28] + wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 490:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 490:61] + wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] + wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3720 = _T_3712 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3721 = _T_3714 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3722 = _T_3716 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3723 = _T_3718 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3724 = _T_3720 | _T_3721; // @[Mux.scala 27:72] + wire _T_3725 = _T_3724 | _T_3722; // @[Mux.scala 27:72] + wire _T_3726 = _T_3725 | _T_3723; // @[Mux.scala 27:72] + wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 491:101] + wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] + wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 491:138] + wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] + wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 491:53] + wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] + wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] + wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 502:50] + wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 502:48] + wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] + wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 505:90] + wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _GEN_105 = _T_3804 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3796 ? 1'h0 : _T_3804; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3796 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3778 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3778 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3691 & _T_3756; // @[Conditional.scala 39:67] + wire [31:0] _GEN_123 = _T_3691 ? _T_3777 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3691 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3691 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3657 ? _T_3677 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3657 ? _T_3681 : _GEN_121; // @[Conditional.scala 39:67] + wire [31:0] _GEN_136 = _T_3657 ? _T_3690 : _GEN_123; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3657 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3653 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3653 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire [31:0] _GEN_148 = _T_3653 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3653 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3630 & buf_state_en_1; // @[Conditional.scala 40:58] + wire [31:0] _GEN_155 = _T_3630 ? _T_3652 : _GEN_148; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3630 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3630 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] + wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 482:44] + wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] + wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] + wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] + wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] + wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] + wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 499:91] + wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 500:31] + wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 499:143] + wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 501:53] + wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 500:88] + wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 499:68] + wire _GEN_198 = _T_3884 & _T_3962; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3850 ? _T_3877 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3846 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3823 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 486:30] + wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] + wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 489:55] + wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 490:30] + wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 490:28] + wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 490:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 490:61] + wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] + wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3913 = _T_3905 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3914 = _T_3907 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3915 = _T_3909 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3916 = _T_3911 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3917 = _T_3913 | _T_3914; // @[Mux.scala 27:72] + wire _T_3918 = _T_3917 | _T_3915; // @[Mux.scala 27:72] + wire _T_3919 = _T_3918 | _T_3916; // @[Mux.scala 27:72] + wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 491:101] + wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] + wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 491:138] + wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] + wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 491:53] + wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] + wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] + wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 502:50] + wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 502:48] + wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] + wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 505:90] + wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _GEN_181 = _T_3997 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_3989 ? 1'h0 : _T_3997; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_3989 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_3971 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_3971 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3884 & _T_3949; // @[Conditional.scala 39:67] + wire [31:0] _GEN_199 = _T_3884 ? _T_3970 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3884 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3884 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3850 ? _T_3870 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3850 ? _T_3874 : _GEN_197; // @[Conditional.scala 39:67] + wire [31:0] _GEN_212 = _T_3850 ? _T_3883 : _GEN_199; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3850 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3846 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3846 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire [31:0] _GEN_224 = _T_3846 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3846 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3823 & buf_state_en_2; // @[Conditional.scala 40:58] + wire [31:0] _GEN_231 = _T_3823 ? _T_3845 : _GEN_224; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3823 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3823 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] + wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 482:44] + wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] + wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] + wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] + wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] + wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] + wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 499:91] + wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 500:31] + wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 499:143] + wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 501:53] + wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 500:88] + wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 499:68] + wire _GEN_274 = _T_4077 & _T_4155; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4043 ? _T_4070 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4039 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4016 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 486:30] + wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] + wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 489:55] + wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 490:30] + wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 490:28] + wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 490:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 490:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] + wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 490:61] + wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] + wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4106 = _T_4098 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4107 = _T_4100 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4108 = _T_4102 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4109 = _T_4104 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4110 = _T_4106 | _T_4107; // @[Mux.scala 27:72] + wire _T_4111 = _T_4110 | _T_4108; // @[Mux.scala 27:72] + wire _T_4112 = _T_4111 | _T_4109; // @[Mux.scala 27:72] + wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 491:101] + wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] + wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 491:138] + wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] + wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 491:53] + wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] + wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] + wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 502:50] + wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 502:48] + wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] + wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 505:90] + wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _GEN_257 = _T_4190 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4182 ? 1'h0 : _T_4190; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4182 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4164 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4164 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4077 & _T_4142; // @[Conditional.scala 39:67] + wire [31:0] _GEN_275 = _T_4077 ? _T_4163 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4077 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4077 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4043 ? _T_4063 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4043 ? _T_4067 : _GEN_273; // @[Conditional.scala 39:67] + wire [31:0] _GEN_288 = _T_4043 ? _T_4076 : _GEN_275; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4043 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4039 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4039 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire [31:0] _GEN_300 = _T_4039 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4039 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4016 & buf_state_en_3; // @[Conditional.scala 40:58] + wire [31:0] _GEN_307 = _T_4016 ? _T_4038 : _GEN_300; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4016 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4016 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] reg _T_4245; // @[Reg.scala 27:20] reg _T_4248; // @[Reg.scala 27:20] reg _T_4251; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4251,_T_4248,_T_4245,_T_4242}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3253[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 448:19] - wire [2:0] buf_byteen_in_1 = _T_3262[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 448:19] - wire [2:0] buf_byteen_in_2 = _T_3271[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 448:19] - wire [2:0] buf_byteen_in_3 = _T_3280[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 448:19] - reg _T_4317; // @[el2_lsu_bus_buffer.scala 538:82] - reg _T_4312; // @[el2_lsu_bus_buffer.scala 538:82] - reg _T_4307; // @[el2_lsu_bus_buffer.scala 538:82] - reg _T_4302; // @[el2_lsu_bus_buffer.scala 538:82] - wire [3:0] buf_error = {_T_4317,_T_4312,_T_4307,_T_4302}; // @[Cat.scala 29:58] - wire _T_4299 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 538:86] - wire _T_4300 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 538:128] - wire _T_4304 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 538:86] - wire _T_4305 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 538:128] - wire _T_4309 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 538:86] - wire _T_4310 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 538:128] - wire _T_4314 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 538:86] - wire _T_4315 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 538:128] - wire [1:0] _T_4325 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 540:96] - wire [1:0] _GEN_411 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 540:96] - wire [2:0] _T_4326 = _T_4325 + _GEN_411; // @[el2_lsu_bus_buffer.scala 540:96] - wire [2:0] _GEN_412 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 540:96] - wire [3:0] buf_numvld_any = _T_4326 + _GEN_412; // @[el2_lsu_bus_buffer.scala 540:96] - wire _T_4412 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 546:52] - wire _T_4413 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 546:92] - wire _T_4414 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 546:119] - wire _T_4416 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 547:52] - wire _T_4417 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 547:52] - wire _T_4418 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 547:52] - wire _T_4419 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 547:52] - wire _T_4420 = _T_4416 | _T_4417; // @[el2_lsu_bus_buffer.scala 547:65] - wire _T_4421 = _T_4420 | _T_4418; // @[el2_lsu_bus_buffer.scala 547:65] - wire _T_4422 = _T_4421 | _T_4419; // @[el2_lsu_bus_buffer.scala 547:65] - wire _T_4423 = ~_T_4422; // @[el2_lsu_bus_buffer.scala 547:34] - wire _T_4425 = _T_4423 & _T_765; // @[el2_lsu_bus_buffer.scala 547:70] - wire _T_4428 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 549:51] - wire _T_4429 = _T_4428 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 549:72] - wire _T_4430 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 549:94] - wire _T_4431 = _T_4429 & _T_4430; // @[el2_lsu_bus_buffer.scala 549:92] - wire _T_4432 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 549:111] - wire _T_4434 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 552:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 636:66] - wire _T_4452 = _T_2705 & _T_1130; // @[Mux.scala 27:72] - wire _T_4453 = _T_2727 & _T_3742; // @[Mux.scala 27:72] - wire _T_4454 = _T_2749 & _T_3935; // @[Mux.scala 27:72] - wire _T_4455 = _T_2771 & _T_4128; // @[Mux.scala 27:72] - wire _T_4456 = _T_4452 | _T_4453; // @[Mux.scala 27:72] - wire _T_4457 = _T_4456 | _T_4454; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4457 | _T_4455; // @[Mux.scala 27:72] - wire _T_4463 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 555:108] - wire _T_4468 = buf_error[1] & _T_3742; // @[el2_lsu_bus_buffer.scala 555:108] - wire _T_4473 = buf_error[2] & _T_3935; // @[el2_lsu_bus_buffer.scala 555:108] - wire _T_4478 = buf_error[3] & _T_4128; // @[el2_lsu_bus_buffer.scala 555:108] - wire _T_4479 = _T_2705 & _T_4463; // @[Mux.scala 27:72] - wire _T_4480 = _T_2727 & _T_4468; // @[Mux.scala 27:72] - wire _T_4481 = _T_2749 & _T_4473; // @[Mux.scala 27:72] - wire _T_4482 = _T_2771 & _T_4478; // @[Mux.scala 27:72] - wire _T_4483 = _T_4479 | _T_4480; // @[Mux.scala 27:72] - wire _T_4484 = _T_4483 | _T_4481; // @[Mux.scala 27:72] - wire _T_4491 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 556:109] - wire _T_4492 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 556:124] - wire _T_4493 = _T_4491 | _T_4492; // @[el2_lsu_bus_buffer.scala 556:122] - wire _T_4494 = _T_4452 & _T_4493; // @[el2_lsu_bus_buffer.scala 556:106] - wire _T_4499 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 556:109] - wire _T_4500 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 556:124] - wire _T_4501 = _T_4499 | _T_4500; // @[el2_lsu_bus_buffer.scala 556:122] - wire _T_4502 = _T_4453 & _T_4501; // @[el2_lsu_bus_buffer.scala 556:106] - wire _T_4507 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 556:109] - wire _T_4508 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 556:124] - wire _T_4509 = _T_4507 | _T_4508; // @[el2_lsu_bus_buffer.scala 556:122] - wire _T_4510 = _T_4454 & _T_4509; // @[el2_lsu_bus_buffer.scala 556:106] - wire _T_4515 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 556:109] - wire _T_4516 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 556:124] - wire _T_4517 = _T_4515 | _T_4516; // @[el2_lsu_bus_buffer.scala 556:122] - wire _T_4518 = _T_4455 & _T_4517; // @[el2_lsu_bus_buffer.scala 556:106] - wire [1:0] _T_4521 = _T_4510 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4522 = _T_4518 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_413 = {{1'd0}, _T_4502}; // @[Mux.scala 27:72] - wire [1:0] _T_4524 = _GEN_413 | _T_4521; // @[Mux.scala 27:72] - wire [31:0] _T_4559 = _T_4494 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4560 = _T_4502 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4561 = _T_4510 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4562 = _T_4518 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4563 = _T_4559 | _T_4560; // @[Mux.scala 27:72] - wire [31:0] _T_4564 = _T_4563 | _T_4561; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4564 | _T_4562; // @[Mux.scala 27:72] - wire _T_4570 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 558:120] - wire _T_4571 = _T_4452 & _T_4570; // @[el2_lsu_bus_buffer.scala 558:105] - wire _T_4576 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 558:120] - wire _T_4577 = _T_4453 & _T_4576; // @[el2_lsu_bus_buffer.scala 558:105] - wire _T_4582 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 558:120] - wire _T_4583 = _T_4454 & _T_4582; // @[el2_lsu_bus_buffer.scala 558:105] - wire _T_4588 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 558:120] - wire _T_4589 = _T_4455 & _T_4588; // @[el2_lsu_bus_buffer.scala 558:105] - wire [31:0] _T_4590 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4591 = _T_4577 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4592 = _T_4583 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4593 = _T_4589 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4594 = _T_4590 | _T_4591; // @[Mux.scala 27:72] - wire [31:0] _T_4595 = _T_4594 | _T_4592; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4595 | _T_4593; // @[Mux.scala 27:72] - wire _T_4597 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4598 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4599 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4600 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4601 = _T_4597 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4602 = _T_4598 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4603 = _T_4599 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4604 = _T_4600 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4605 = _T_4601 | _T_4602; // @[Mux.scala 27:72] - wire [31:0] _T_4606 = _T_4605 | _T_4603; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_addr_offset = _T_4606 | _T_4604; // @[Mux.scala 27:72] - wire [1:0] _T_4612 = _T_4597 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4613 = _T_4598 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4614 = _T_4599 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4615 = _T_4600 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4616 = _T_4612 | _T_4613; // @[Mux.scala 27:72] - wire [1:0] _T_4617 = _T_4616 | _T_4614; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4617 | _T_4615; // @[Mux.scala 27:72] - wire _T_4627 = _T_4597 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4628 = _T_4598 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4629 = _T_4599 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4630 = _T_4600 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4631 = _T_4627 | _T_4628; // @[Mux.scala 27:72] - wire _T_4632 = _T_4631 | _T_4629; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4632 | _T_4630; // @[Mux.scala 27:72] - wire [63:0] _T_4652 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [35:0] _T_4653 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 563:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4652 >> _T_4653; // @[el2_lsu_bus_buffer.scala 563:92] - wire _T_4654 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 564:69] - wire _T_4656 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 565:81] - wire _T_4657 = lsu_nonblock_unsign & _T_4656; // @[el2_lsu_bus_buffer.scala 565:63] - wire [31:0] _T_4659 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4660 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 566:45] - wire _T_4661 = lsu_nonblock_unsign & _T_4660; // @[el2_lsu_bus_buffer.scala 566:26] - wire [31:0] _T_4663 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4664 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 567:6] - wire _T_4666 = _T_4664 & _T_4656; // @[el2_lsu_bus_buffer.scala 567:27] - wire [23:0] _T_4669 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4671 = {_T_4669,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4674 = _T_4664 & _T_4660; // @[el2_lsu_bus_buffer.scala 568:27] - wire [15:0] _T_4677 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4679 = {_T_4677,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4680 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 569:21] - wire [31:0] _T_4681 = _T_4657 ? _T_4659 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4682 = _T_4661 ? _T_4663 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4683 = _T_4666 ? _T_4671 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4684 = _T_4674 ? _T_4679 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4685 = _T_4680 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4686 = _T_4681 | _T_4682; // @[Mux.scala 27:72] - wire [31:0] _T_4687 = _T_4686 | _T_4683; // @[Mux.scala 27:72] - wire [31:0] _T_4688 = _T_4687 | _T_4684; // @[Mux.scala 27:72] - wire [63:0] _GEN_414 = {{32'd0}, _T_4688}; // @[Mux.scala 27:72] - wire [63:0] _T_4689 = _GEN_414 | _T_4685; // @[Mux.scala 27:72] - wire _T_4784 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 587:36] - wire _T_4785 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 587:51] - wire _T_4786 = _T_4784 & _T_4785; // @[el2_lsu_bus_buffer.scala 587:49] - wire [31:0] _T_4790 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4792 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4797 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 599:50] - wire _T_4798 = _T_4784 & _T_4797; // @[el2_lsu_bus_buffer.scala 599:48] - wire [7:0] _T_4802 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4805 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 604:36] - wire _T_4807 = _T_4805 & _T_1275; // @[el2_lsu_bus_buffer.scala 604:50] - wire _T_4819 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4821 = _T_4819 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 617:129] - wire _T_4824 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4826 = _T_4824 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 617:129] - wire _T_4829 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4831 = _T_4829 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 617:129] - wire _T_4834 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4836 = _T_4834 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 617:129] - wire _T_4837 = _T_2705 & _T_4821; // @[Mux.scala 27:72] - wire _T_4838 = _T_2727 & _T_4826; // @[Mux.scala 27:72] - wire _T_4839 = _T_2749 & _T_4831; // @[Mux.scala 27:72] - wire _T_4840 = _T_2771 & _T_4836; // @[Mux.scala 27:72] - wire _T_4841 = _T_4837 | _T_4838; // @[Mux.scala 27:72] - wire _T_4842 = _T_4841 | _T_4839; // @[Mux.scala 27:72] - wire _T_4852 = _T_2727 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 618:98] - wire lsu_imprecise_error_store_tag = _T_4852 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 618:113] - wire _T_4858 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 620:72] - wire _T_4860 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4862 = _T_4860 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4863 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4864 = _T_4862 | _T_4863; // @[Mux.scala 27:72] - wire _T_4881 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 627:68] - wire _T_4884 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 628:48] - wire _T_4887 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 631:48] - wire _T_4888 = io_lsu_axi_awvalid & _T_4887; // @[el2_lsu_bus_buffer.scala 631:46] - wire _T_4889 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 631:92] - wire _T_4890 = io_lsu_axi_wvalid & _T_4889; // @[el2_lsu_bus_buffer.scala 631:90] - wire _T_4891 = _T_4888 | _T_4890; // @[el2_lsu_bus_buffer.scala 631:69] - wire _T_4892 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 631:136] - wire _T_4893 = io_lsu_axi_arvalid & _T_4892; // @[el2_lsu_bus_buffer.scala 631:134] - wire _T_4897 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 635:75] - wire _T_4898 = io_lsu_busreq_m & _T_4897; // @[el2_lsu_bus_buffer.scala 635:73] - reg _T_4901; // @[el2_lsu_bus_buffer.scala 635:56] + reg _T_4254; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4254,_T_4251,_T_4248,_T_4245}; // @[Cat.scala 29:58] + wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] + wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] + wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] + wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] + reg _T_4320; // @[el2_lsu_bus_buffer.scala 541:82] + reg _T_4315; // @[el2_lsu_bus_buffer.scala 541:82] + reg _T_4310; // @[el2_lsu_bus_buffer.scala 541:82] + reg _T_4305; // @[el2_lsu_bus_buffer.scala 541:82] + wire [3:0] buf_error = {_T_4320,_T_4315,_T_4310,_T_4305}; // @[Cat.scala 29:58] + wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 541:86] + wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 541:128] + wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 541:86] + wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 541:128] + wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 541:86] + wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 541:128] + wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 541:86] + wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 541:128] + wire [1:0] _T_4328 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 543:96] + wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 543:96] + wire [2:0] _T_4329 = _T_4328 + _GEN_407; // @[el2_lsu_bus_buffer.scala 543:96] + wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 543:96] + wire [3:0] buf_numvld_any = _T_4329 + _GEN_408; // @[el2_lsu_bus_buffer.scala 543:96] + wire _T_4415 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4416 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 549:92] + wire _T_4417 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 549:119] + wire _T_4419 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4420 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4421 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4422 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4423 = _T_4419 | _T_4420; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4424 = _T_4423 | _T_4421; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4425 = _T_4424 | _T_4422; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4426 = ~_T_4425; // @[el2_lsu_bus_buffer.scala 550:34] + wire _T_4428 = _T_4426 & _T_765; // @[el2_lsu_bus_buffer.scala 550:70] + wire _T_4431 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 552:51] + wire _T_4432 = _T_4431 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 552:72] + wire _T_4433 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 552:94] + wire _T_4434 = _T_4432 & _T_4433; // @[el2_lsu_bus_buffer.scala 552:92] + wire _T_4435 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 552:111] + wire _T_4437 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 555:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 639:66] + wire _T_4455 = _T_2708 & _T_1130; // @[Mux.scala 27:72] + wire _T_4456 = _T_2730 & _T_3745; // @[Mux.scala 27:72] + wire _T_4457 = _T_2752 & _T_3938; // @[Mux.scala 27:72] + wire _T_4458 = _T_2774 & _T_4131; // @[Mux.scala 27:72] + wire _T_4459 = _T_4455 | _T_4456; // @[Mux.scala 27:72] + wire _T_4460 = _T_4459 | _T_4457; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4460 | _T_4458; // @[Mux.scala 27:72] + wire _T_4466 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4471 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4476 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4481 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4482 = _T_2708 & _T_4466; // @[Mux.scala 27:72] + wire _T_4483 = _T_2730 & _T_4471; // @[Mux.scala 27:72] + wire _T_4484 = _T_2752 & _T_4476; // @[Mux.scala 27:72] + wire _T_4485 = _T_2774 & _T_4481; // @[Mux.scala 27:72] + wire _T_4486 = _T_4482 | _T_4483; // @[Mux.scala 27:72] + wire _T_4487 = _T_4486 | _T_4484; // @[Mux.scala 27:72] + wire _T_4494 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4495 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4496 = _T_4494 | _T_4495; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4497 = _T_4455 & _T_4496; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4502 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4503 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4504 = _T_4502 | _T_4503; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4505 = _T_4456 & _T_4504; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4510 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4511 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4512 = _T_4510 | _T_4511; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4513 = _T_4457 & _T_4512; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4518 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4519 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4520 = _T_4518 | _T_4519; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4521 = _T_4458 & _T_4520; // @[el2_lsu_bus_buffer.scala 559:106] + wire [1:0] _T_4524 = _T_4513 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4525 = _T_4521 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_409 = {{1'd0}, _T_4505}; // @[Mux.scala 27:72] + wire [1:0] _T_4527 = _GEN_409 | _T_4524; // @[Mux.scala 27:72] + wire [31:0] _T_4562 = _T_4497 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4563 = _T_4505 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4564 = _T_4513 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4565 = _T_4521 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4566 = _T_4562 | _T_4563; // @[Mux.scala 27:72] + wire [31:0] _T_4567 = _T_4566 | _T_4564; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4567 | _T_4565; // @[Mux.scala 27:72] + wire _T_4573 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4574 = _T_4455 & _T_4573; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4579 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4580 = _T_4456 & _T_4579; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4585 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4586 = _T_4457 & _T_4585; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4591 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4592 = _T_4458 & _T_4591; // @[el2_lsu_bus_buffer.scala 561:105] + wire [31:0] _T_4593 = _T_4574 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4594 = _T_4580 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4595 = _T_4586 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4596 = _T_4592 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4597 = _T_4593 | _T_4594; // @[Mux.scala 27:72] + wire [31:0] _T_4598 = _T_4597 | _T_4595; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4598 | _T_4596; // @[Mux.scala 27:72] + wire _T_4600 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4601 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4602 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4603 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4604 = _T_4600 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4605 = _T_4601 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4606 = _T_4602 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4607 = _T_4603 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4608 = _T_4604 | _T_4605; // @[Mux.scala 27:72] + wire [31:0] _T_4609 = _T_4608 | _T_4606; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_addr_offset = _T_4609 | _T_4607; // @[Mux.scala 27:72] + wire [1:0] _T_4615 = _T_4600 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4616 = _T_4601 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4617 = _T_4602 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4618 = _T_4603 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4619 = _T_4615 | _T_4616; // @[Mux.scala 27:72] + wire [1:0] _T_4620 = _T_4619 | _T_4617; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4620 | _T_4618; // @[Mux.scala 27:72] + wire _T_4630 = _T_4600 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4631 = _T_4601 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4632 = _T_4602 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4633 = _T_4603 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4634 = _T_4630 | _T_4631; // @[Mux.scala 27:72] + wire _T_4635 = _T_4634 | _T_4632; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4635 | _T_4633; // @[Mux.scala 27:72] + wire [63:0] _T_4655 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [35:0] _T_4656 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 566:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4655 >> _T_4656; // @[el2_lsu_bus_buffer.scala 566:92] + wire _T_4657 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 567:69] + wire _T_4659 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 568:81] + wire _T_4660 = lsu_nonblock_unsign & _T_4659; // @[el2_lsu_bus_buffer.scala 568:63] + wire [31:0] _T_4662 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4663 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 569:45] + wire _T_4664 = lsu_nonblock_unsign & _T_4663; // @[el2_lsu_bus_buffer.scala 569:26] + wire [31:0] _T_4666 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4667 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 570:6] + wire _T_4669 = _T_4667 & _T_4659; // @[el2_lsu_bus_buffer.scala 570:27] + wire [23:0] _T_4672 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4674 = {_T_4672,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4677 = _T_4667 & _T_4663; // @[el2_lsu_bus_buffer.scala 571:27] + wire [15:0] _T_4680 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4682 = {_T_4680,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4683 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 572:21] + wire [31:0] _T_4684 = _T_4660 ? _T_4662 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4685 = _T_4664 ? _T_4666 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4686 = _T_4669 ? _T_4674 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4687 = _T_4677 ? _T_4682 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4688 = _T_4683 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4689 = _T_4684 | _T_4685; // @[Mux.scala 27:72] + wire [31:0] _T_4690 = _T_4689 | _T_4686; // @[Mux.scala 27:72] + wire [31:0] _T_4691 = _T_4690 | _T_4687; // @[Mux.scala 27:72] + wire [63:0] _GEN_410 = {{32'd0}, _T_4691}; // @[Mux.scala 27:72] + wire [63:0] _T_4692 = _GEN_410 | _T_4688; // @[Mux.scala 27:72] + wire _T_4787 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 590:36] + wire _T_4788 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 590:51] + wire _T_4789 = _T_4787 & _T_4788; // @[el2_lsu_bus_buffer.scala 590:49] + wire [31:0] _T_4793 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4795 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4800 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 602:50] + wire _T_4801 = _T_4787 & _T_4800; // @[el2_lsu_bus_buffer.scala 602:48] + wire [7:0] _T_4805 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4808 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 607:36] + wire _T_4810 = _T_4808 & _T_1275; // @[el2_lsu_bus_buffer.scala 607:50] + wire _T_4822 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4824 = _T_4822 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4827 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4829 = _T_4827 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4832 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4834 = _T_4832 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4837 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4839 = _T_4837 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4840 = _T_2708 & _T_4824; // @[Mux.scala 27:72] + wire _T_4841 = _T_2730 & _T_4829; // @[Mux.scala 27:72] + wire _T_4842 = _T_2752 & _T_4834; // @[Mux.scala 27:72] + wire _T_4843 = _T_2774 & _T_4839; // @[Mux.scala 27:72] + wire _T_4844 = _T_4840 | _T_4841; // @[Mux.scala 27:72] + wire _T_4845 = _T_4844 | _T_4842; // @[Mux.scala 27:72] + wire _T_4855 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 621:98] + wire lsu_imprecise_error_store_tag = _T_4855 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 621:113] + wire _T_4861 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 623:72] + wire _T_4863 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4865 = _T_4863 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4866 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4867 = _T_4865 | _T_4866; // @[Mux.scala 27:72] + wire _T_4884 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 630:68] + wire _T_4887 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 631:48] + wire _T_4890 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 634:48] + wire _T_4891 = io_lsu_axi_awvalid & _T_4890; // @[el2_lsu_bus_buffer.scala 634:46] + wire _T_4892 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 634:92] + wire _T_4893 = io_lsu_axi_wvalid & _T_4892; // @[el2_lsu_bus_buffer.scala 634:90] + wire _T_4894 = _T_4891 | _T_4893; // @[el2_lsu_bus_buffer.scala 634:69] + wire _T_4895 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 634:136] + wire _T_4896 = io_lsu_axi_arvalid & _T_4895; // @[el2_lsu_bus_buffer.scala 634:134] + wire _T_4900 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 638:75] + wire _T_4901 = io_lsu_busreq_m & _T_4900; // @[el2_lsu_bus_buffer.scala 638:73] + reg _T_4904; // @[el2_lsu_bus_buffer.scala 638:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2596,59 +2597,59 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4901; // @[el2_lsu_bus_buffer.scala 635:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 545:30] - assign io_lsu_bus_buffer_full_any = _T_4412 ? _T_4413 : _T_4414; // @[el2_lsu_bus_buffer.scala 546:30] - assign io_lsu_bus_buffer_empty_any = _T_4425 & _T_1157; // @[el2_lsu_bus_buffer.scala 547:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 624:23] + assign io_lsu_busreq_r = _T_4904; // @[el2_lsu_bus_buffer.scala 638:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 548:30] + assign io_lsu_bus_buffer_full_any = _T_4415 ? _T_4416 : _T_4417; // @[el2_lsu_bus_buffer.scala 549:30] + assign io_lsu_bus_buffer_empty_any = _T_4428 & _T_1157; // @[el2_lsu_bus_buffer.scala 550:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 627:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4858; // @[el2_lsu_bus_buffer.scala 620:35] - assign io_lsu_imprecise_error_store_any = _T_4842 | _T_4840; // @[el2_lsu_bus_buffer.scala 617:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4864 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 621:35] - assign io_lsu_nonblock_load_valid_m = _T_4431 & _T_4432; // @[el2_lsu_bus_buffer.scala 549:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 550:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4434; // @[el2_lsu_bus_buffer.scala 552:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 553:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4654; // @[el2_lsu_bus_buffer.scala 564:35] - assign io_lsu_nonblock_load_data_error = _T_4484 | _T_4482; // @[el2_lsu_bus_buffer.scala 555:35] - assign io_lsu_nonblock_load_data_tag = _T_4524 | _T_4522; // @[el2_lsu_bus_buffer.scala 556:33] - assign io_lsu_nonblock_load_data = _T_4689[31:0]; // @[el2_lsu_bus_buffer.scala 565:29] - assign io_lsu_pmu_bus_trxn = _T_4881 | _T_4776; // @[el2_lsu_bus_buffer.scala 627:23] - assign io_lsu_pmu_bus_misaligned = _T_4884 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 628:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 629:24] - assign io_lsu_pmu_bus_busy = _T_4891 | _T_4893; // @[el2_lsu_bus_buffer.scala 631:23] - assign io_lsu_axi_awvalid = _T_4786 & _T_1165; // @[el2_lsu_bus_buffer.scala 587:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 588:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4790; // @[el2_lsu_bus_buffer.scala 589:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 593:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 594:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4792 : 3'h2; // @[el2_lsu_bus_buffer.scala 590:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 595:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 597:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 592:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 591:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 596:20] - assign io_lsu_axi_wvalid = _T_4798 & _T_1165; // @[el2_lsu_bus_buffer.scala 599:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 601:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4802; // @[el2_lsu_bus_buffer.scala 600:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 602:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 615:21] - assign io_lsu_axi_arvalid = _T_4807 & _T_1165; // @[el2_lsu_bus_buffer.scala 604:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 605:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4790; // @[el2_lsu_bus_buffer.scala 606:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 610:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 611:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4792 : 3'h3; // @[el2_lsu_bus_buffer.scala 607:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 612:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 614:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 609:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 608:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 613:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 616:21] - assign io_test = {_T_2001,_T_2000}; // @[el2_lsu_bus_buffer.scala 413:11] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4861; // @[el2_lsu_bus_buffer.scala 623:35] + assign io_lsu_imprecise_error_store_any = _T_4845 | _T_4843; // @[el2_lsu_bus_buffer.scala 620:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4867 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 624:35] + assign io_lsu_nonblock_load_valid_m = _T_4434 & _T_4435; // @[el2_lsu_bus_buffer.scala 552:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 553:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4437; // @[el2_lsu_bus_buffer.scala 555:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 556:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4657; // @[el2_lsu_bus_buffer.scala 567:35] + assign io_lsu_nonblock_load_data_error = _T_4487 | _T_4485; // @[el2_lsu_bus_buffer.scala 558:35] + assign io_lsu_nonblock_load_data_tag = _T_4527 | _T_4525; // @[el2_lsu_bus_buffer.scala 559:33] + assign io_lsu_nonblock_load_data = _T_4692[31:0]; // @[el2_lsu_bus_buffer.scala 568:29] + assign io_lsu_pmu_bus_trxn = _T_4884 | _T_4779; // @[el2_lsu_bus_buffer.scala 630:23] + assign io_lsu_pmu_bus_misaligned = _T_4887 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 631:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 632:24] + assign io_lsu_pmu_bus_busy = _T_4894 | _T_4896; // @[el2_lsu_bus_buffer.scala 634:23] + assign io_lsu_axi_awvalid = _T_4789 & _T_1165; // @[el2_lsu_bus_buffer.scala 590:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 591:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4793; // @[el2_lsu_bus_buffer.scala 592:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 596:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 597:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4795 : 3'h2; // @[el2_lsu_bus_buffer.scala 593:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 598:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 600:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 595:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 594:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 599:20] + assign io_lsu_axi_wvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 602:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 604:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4805; // @[el2_lsu_bus_buffer.scala 603:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 605:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 618:21] + assign io_lsu_axi_arvalid = _T_4810 & _T_1165; // @[el2_lsu_bus_buffer.scala 607:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 608:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4793; // @[el2_lsu_bus_buffer.scala 609:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 613:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 614:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4795 : 3'h3; // @[el2_lsu_bus_buffer.scala 610:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 615:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 617:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 612:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 611:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 616:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 619:21] + assign io_test = _T_2002[1:0]; // @[el2_lsu_bus_buffer.scala 416:11] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] @@ -2662,28 +2663,28 @@ module el2_lsu_bus_buffer( assign rvclkhdr_3_io_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3434 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3437 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3627 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3630 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3820 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3823 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4013 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4016 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3434 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3437 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3627 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3630 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3820 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3823 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4013 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4016 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2723,13 +2724,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4266 = _RAND_1[0:0]; + _T_4269 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4263 = _RAND_2[0:0]; + _T_4266 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4260 = _RAND_3[0:0]; + _T_4263 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4257 = _RAND_4[0:0]; + _T_4260 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2745,13 +2746,13 @@ initial begin _RAND_11 = {1{`RANDOM}}; buf_state_3 = _RAND_11[2:0]; _RAND_12 = {1{`RANDOM}}; - _T_4293 = _RAND_12[2:0]; + _T_4296 = _RAND_12[2:0]; _RAND_13 = {1{`RANDOM}}; - _T_4291 = _RAND_13[2:0]; + _T_4294 = _RAND_13[2:0]; _RAND_14 = {1{`RANDOM}}; - _T_4289 = _RAND_14[2:0]; + _T_4292 = _RAND_14[2:0]; _RAND_15 = {1{`RANDOM}}; - _T_4287 = _RAND_15[2:0]; + _T_4290 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; @@ -2779,13 +2780,13 @@ initial begin _RAND_28 = {1{`RANDOM}}; buf_ageQ_0 = _RAND_28[3:0]; _RAND_29 = {1{`RANDOM}}; - _T_4294 = _RAND_29[3:0]; + _T_4297 = _RAND_29[3:0]; _RAND_30 = {1{`RANDOM}}; - _T_4295 = _RAND_30[3:0]; + _T_4298 = _RAND_30[3:0]; _RAND_31 = {1{`RANDOM}}; - _T_4296 = _RAND_31[3:0]; + _T_4299 = _RAND_31[3:0]; _RAND_32 = {1{`RANDOM}}; - _T_4297 = _RAND_32[3:0]; + _T_4300 = _RAND_32[3:0]; _RAND_33 = {1{`RANDOM}}; ibuf_timer = _RAND_33[2:0]; _RAND_34 = {1{`RANDOM}}; @@ -2821,13 +2822,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4236 = _RAND_50[0:0]; + _T_4239 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4233 = _RAND_51[0:0]; + _T_4236 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4230 = _RAND_52[0:0]; + _T_4233 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4227 = _RAND_53[0:0]; + _T_4230 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -2891,13 +2892,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4213 = _RAND_85[0:0]; + _T_4216 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4211 = _RAND_86[0:0]; + _T_4214 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4209 = _RAND_87[0:0]; + _T_4212 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4207 = _RAND_88[0:0]; + _T_4210 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -2915,29 +2916,32 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4242 = _RAND_97[0:0]; + _T_4245 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4245 = _RAND_98[0:0]; + _T_4248 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4248 = _RAND_99[0:0]; + _T_4251 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4251 = _RAND_100[0:0]; + _T_4254 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4317 = _RAND_101[0:0]; + _T_4320 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4312 = _RAND_102[0:0]; + _T_4315 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4307 = _RAND_103[0:0]; + _T_4310 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4302 = _RAND_104[0:0]; + _T_4305 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4901 = _RAND_106[0:0]; + _T_4904 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end + if (reset) begin + _T_4269 = 1'h0; + end if (reset) begin _T_4266 = 1'h0; end @@ -2947,9 +2951,6 @@ initial begin if (reset) begin _T_4260 = 1'h0; end - if (reset) begin - _T_4257 = 1'h0; - end if (reset) begin buf_state_0 = 3'h0; end @@ -2972,16 +2973,16 @@ initial begin buf_state_3 = 3'h0; end if (reset) begin - _T_4293 = 3'h0; + _T_4296 = 3'h0; end if (reset) begin - _T_4291 = 3'h0; + _T_4294 = 3'h0; end if (reset) begin - _T_4289 = 3'h0; + _T_4292 = 3'h0; end if (reset) begin - _T_4287 = 3'h0; + _T_4290 = 3'h0; end if (reset) begin buf_ageQ_3 = 4'h0; @@ -3022,18 +3023,18 @@ initial begin if (reset) begin buf_ageQ_0 = 4'h0; end - if (reset) begin - _T_4294 = 4'h0; - end - if (reset) begin - _T_4295 = 4'h0; - end - if (reset) begin - _T_4296 = 4'h0; - end if (reset) begin _T_4297 = 4'h0; end + if (reset) begin + _T_4298 = 4'h0; + end + if (reset) begin + _T_4299 = 4'h0; + end + if (reset) begin + _T_4300 = 4'h0; + end if (reset) begin ibuf_timer = 3'h0; end @@ -3085,6 +3086,9 @@ initial begin if (reset) begin buf_nomerge_3 = 1'h0; end + if (reset) begin + _T_4239 = 1'h0; + end if (reset) begin _T_4236 = 1'h0; end @@ -3094,9 +3098,6 @@ initial begin if (reset) begin _T_4230 = 1'h0; end - if (reset) begin - _T_4227 = 1'h0; - end if (reset) begin buf_dual_3 = 1'h0; end @@ -3191,16 +3192,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4213 = 1'h0; + _T_4216 = 1'h0; end if (reset) begin - _T_4211 = 1'h0; + _T_4214 = 1'h0; end if (reset) begin - _T_4209 = 1'h0; + _T_4212 = 1'h0; end if (reset) begin - _T_4207 = 1'h0; + _T_4210 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3226,9 +3227,6 @@ initial begin if (reset) begin buf_dualtag_3 = 2'h0; end - if (reset) begin - _T_4242 = 1'h0; - end if (reset) begin _T_4245 = 1'h0; end @@ -3239,22 +3237,25 @@ initial begin _T_4251 = 1'h0; end if (reset) begin - _T_4317 = 1'h0; + _T_4254 = 1'h0; end if (reset) begin - _T_4312 = 1'h0; + _T_4320 = 1'h0; end if (reset) begin - _T_4307 = 1'h0; + _T_4315 = 1'h0; end if (reset) begin - _T_4302 = 1'h0; + _T_4310 = 1'h0; + end + if (reset) begin + _T_4305 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4901 = 1'h0; + _T_4904 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3267,7 +3268,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3249) begin + end else if (_T_3252) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3275,75 +3276,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4266 <= 1'h0; + _T_4269 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4266 <= buf_write_in[3]; + _T_4269 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4266 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4266 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4263 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4263 <= buf_write_in[2]; + end else if (buf_wr_en_1) begin + _T_4263 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4260 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4260 <= buf_write_in[1]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4257 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4257 <= buf_write_in[0]; + _T_4260 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3434) begin + if (_T_3437) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3457) begin + end else if (_T_3460) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3461) begin + end else if (_T_3464) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3465) begin + end else if (_T_3468) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3495) begin - if (_T_3500) begin + end else if (_T_3498) begin + if (_T_3503) begin buf_state_0 <= 3'h0; - end else if (_T_3508) begin + end else if (_T_3511) begin buf_state_0 <= 3'h4; - end else if (_T_3536) begin + end else if (_T_3539) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3582) begin + end else if (_T_3585) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3588) begin + end else if (_T_3591) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3600) begin + end else if (_T_3603) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3359,7 +3360,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3258) begin + end else if (_T_3261) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3369,45 +3370,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3627) begin + if (_T_3630) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3650) begin + end else if (_T_3653) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3654) begin + end else if (_T_3657) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3465) begin + end else if (_T_3468) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3688) begin - if (_T_3693) begin + end else if (_T_3691) begin + if (_T_3696) begin buf_state_1 <= 3'h0; - end else if (_T_3701) begin + end else if (_T_3704) begin buf_state_1 <= 3'h4; - end else if (_T_3729) begin + end else if (_T_3732) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3775) begin + end else if (_T_3778) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3781) begin + end else if (_T_3784) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3793) begin + end else if (_T_3796) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3423,7 +3424,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3267) begin + end else if (_T_3270) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3433,45 +3434,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3820) begin + if (_T_3823) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3843) begin + end else if (_T_3846) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3847) begin + end else if (_T_3850) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3465) begin + end else if (_T_3468) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3881) begin - if (_T_3886) begin + end else if (_T_3884) begin + if (_T_3889) begin buf_state_2 <= 3'h0; - end else if (_T_3894) begin + end else if (_T_3897) begin buf_state_2 <= 3'h4; - end else if (_T_3922) begin + end else if (_T_3925) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3968) begin + end else if (_T_3971) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3974) begin + end else if (_T_3977) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3986) begin + end else if (_T_3989) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3487,7 +3488,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3276) begin + end else if (_T_3279) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3497,45 +3498,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4013) begin + if (_T_4016) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4036) begin + end else if (_T_4039) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4040) begin + end else if (_T_4043) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3465) begin + end else if (_T_3468) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4074) begin - if (_T_4079) begin + end else if (_T_4077) begin + if (_T_4082) begin buf_state_3 <= 3'h0; - end else if (_T_4087) begin + end else if (_T_4090) begin buf_state_3 <= 3'h4; - end else if (_T_4115) begin + end else if (_T_4118) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4161) begin + end else if (_T_4164) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4167) begin + end else if (_T_4170) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4179) begin + end else if (_T_4182) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3548,37 +3549,37 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4293 <= 3'h0; + _T_4296 <= 3'h0; end else if (buf_wr_en_3) begin - _T_4293 <= buf_byteen_in_3; + _T_4296 <= buf_byteen_in_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4291 <= 3'h0; + _T_4294 <= 3'h0; end else if (buf_wr_en_2) begin - _T_4291 <= buf_byteen_in_2; + _T_4294 <= buf_byteen_in_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4289 <= 3'h0; + _T_4292 <= 3'h0; end else if (buf_wr_en_1) begin - _T_4289 <= buf_byteen_in_1; + _T_4292 <= buf_byteen_in_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4287 <= 3'h0; + _T_4290 <= 3'h0; end else if (buf_wr_en_0) begin - _T_4287 <= buf_byteen_in_0; + _T_4290 <= buf_byteen_in_0; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2457,_T_2380}; + buf_ageQ_3 <= {_T_2460,_T_2383}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin @@ -3664,49 +3665,49 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2355,_T_2278}; + buf_ageQ_2 <= {_T_2358,_T_2281}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2253,_T_2176}; + buf_ageQ_1 <= {_T_2256,_T_2179}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2151,_T_2074}; + buf_ageQ_0 <= {_T_2154,_T_2077}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - _T_4294 <= 4'h0; + _T_4297 <= 4'h0; end else begin - _T_4294 <= _GEN_79[3:0]; + _T_4297 <= _GEN_79[3:0]; end end always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin - _T_4295 <= 4'h0; + _T_4298 <= 4'h0; end else begin - _T_4295 <= _GEN_155[3:0]; + _T_4298 <= _GEN_155[3:0]; end end always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin - _T_4296 <= 4'h0; + _T_4299 <= 4'h0; end else begin - _T_4296 <= _GEN_231[3:0]; + _T_4299 <= _GEN_231[3:0]; end end always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin - _T_4297 <= 4'h0; + _T_4300 <= 4'h0; end else begin - _T_4297 <= _GEN_307[3:0]; + _T_4300 <= _GEN_307[3:0]; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin @@ -3856,30 +3857,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4236 <= 1'h0; + _T_4239 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4236 <= buf_sideeffect_in[3]; + _T_4239 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4236 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4236 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4233 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4233 <= buf_sideeffect_in[2]; + end else if (buf_wr_en_1) begin + _T_4233 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4230 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4230 <= buf_sideeffect_in[1]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4227 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4227 <= buf_sideeffect_in[0]; + _T_4230 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -3953,14 +3954,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1231 & _T_4773; + obuf_cmd_done <= _T_1231 & _T_4776; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1231 & _T_4774; + obuf_data_done <= _T_1231 & _T_4777; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4105,79 +4106,79 @@ end // initial if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3079,_T_3068}; + buf_rspageQ_0 <= {_T_3082,_T_3071}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3094,_T_3083}; + buf_rspageQ_1 <= {_T_3097,_T_3086}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3109,_T_3098}; + buf_rspageQ_2 <= {_T_3112,_T_3101}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3124,_T_3113}; + buf_rspageQ_3 <= {_T_3127,_T_3116}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4213 <= 1'h0; + _T_4216 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4013) begin - _T_4213 <= 1'h0; - end else if (_T_4036) begin - _T_4213 <= 1'h0; + if (_T_4016) begin + _T_4216 <= 1'h0; + end else if (_T_4039) begin + _T_4216 <= 1'h0; end else begin - _T_4213 <= _T_4040; + _T_4216 <= _T_4043; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4211 <= 1'h0; + _T_4214 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3820) begin - _T_4211 <= 1'h0; - end else if (_T_3843) begin - _T_4211 <= 1'h0; + if (_T_3823) begin + _T_4214 <= 1'h0; + end else if (_T_3846) begin + _T_4214 <= 1'h0; end else begin - _T_4211 <= _T_3847; + _T_4214 <= _T_3850; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4209 <= 1'h0; + _T_4212 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3627) begin - _T_4209 <= 1'h0; - end else if (_T_3650) begin - _T_4209 <= 1'h0; + if (_T_3630) begin + _T_4212 <= 1'h0; + end else if (_T_3653) begin + _T_4212 <= 1'h0; end else begin - _T_4209 <= _T_3654; + _T_4212 <= _T_3657; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4207 <= 1'h0; + _T_4210 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3434) begin - _T_4207 <= 1'h0; - end else if (_T_3457) begin - _T_4207 <= 1'h0; + if (_T_3437) begin + _T_4210 <= 1'h0; + end else if (_T_3460) begin + _T_4210 <= 1'h0; end else begin - _T_4207 <= _T_3461; + _T_4210 <= _T_3464; end end end @@ -4185,11 +4186,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3434) begin + if (_T_3437) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3457) begin + end else if (_T_3460) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3461) begin + end else if (_T_3464) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4202,7 +4203,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3249) begin + end else if (_T_3252) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4213,11 +4214,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4013) begin + if (_T_4016) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4036) begin + end else if (_T_4039) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4040) begin + end else if (_T_4043) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4228,11 +4229,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3820) begin + if (_T_3823) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3843) begin + end else if (_T_3846) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3847) begin + end else if (_T_3850) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4243,11 +4244,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3627) begin + if (_T_3630) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3650) begin + end else if (_T_3653) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3654) begin + end else if (_T_3657) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4260,7 +4261,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3258) begin + end else if (_T_3261) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4273,7 +4274,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3267) begin + end else if (_T_3270) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4286,67 +4287,67 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3276) begin + end else if (_T_3279) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4242 <= 1'h0; - end else if (buf_wr_en_0) begin - _T_4242 <= buf_unsign_in[0]; - end - end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4245 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4245 <= buf_unsign_in[1]; + end else if (buf_wr_en_0) begin + _T_4245 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4248 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4248 <= buf_unsign_in[2]; + end else if (buf_wr_en_1) begin + _T_4248 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4251 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4251 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4254 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4251 <= buf_unsign_in[3]; + _T_4254 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4317 <= 1'h0; + _T_4320 <= 1'h0; end else begin - _T_4317 <= _T_4314 & _T_4315; + _T_4320 <= _T_4317 & _T_4318; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4312 <= 1'h0; + _T_4315 <= 1'h0; end else begin - _T_4312 <= _T_4309 & _T_4310; + _T_4315 <= _T_4312 & _T_4313; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4307 <= 1'h0; + _T_4310 <= 1'h0; end else begin - _T_4307 <= _T_4304 & _T_4305; + _T_4310 <= _T_4307 & _T_4308; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4302 <= 1'h0; + _T_4305 <= 1'h0; end else begin - _T_4302 <= _T_4299 & _T_4300; + _T_4305 <= _T_4302 & _T_4303; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4358,9 +4359,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4901 <= 1'h0; + _T_4904 <= 1'h0; end else begin - _T_4901 <= _T_4898 & _T_4432; + _T_4904 <= _T_4901 & _T_4435; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 5a5e8926..68d9d854 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -409,10 +409,13 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { def Enc8x3(in: UInt) : UInt = Cat(in(4)|in(5)|in(6)|in(7), in(2)|in(3)|in(6)|in(7), in(1)|in(3)|in(5)|in(7)) - val CmdPtr0 = Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr0Dec)) + val CmdPtr0 = WireInit(UInt(DEPTH_LOG2.W), 0.U) + val CmdPtr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) + val RspPtr = WireInit(UInt(DEPTH_LOG2.W), 0.U) + CmdPtr0 := Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr0Dec)) io.test := CmdPtr0 - val CmdPtr1 = Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr1Dec)) - val RspPtr = Enc8x3(Cat(Fill(8-DEPTH, 0.U),RspPtrDec)) + CmdPtr1 := Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr1Dec)) + RspPtr := Enc8x3(Cat(Fill(8-DEPTH, 0.U),RspPtrDec)) val buf_state_en = Wire(Vec(DEPTH, Bool())) buf_state_en := buf_state_en.map(i=> false.B) val buf_rspageQ = Wire(Vec(DEPTH, UInt(DEPTH.W))) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 3c66e68f5597f9cc894ed54a817ff9497d622afe..a18937bc2ba432537cbe10180c4b360eff8eb01b 100644 GIT binary patch delta 103 zcmcaFcVBLU1UF;TWJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-`?4Ejt>lePIOfHF(?b^`!)qaTL= delta 103 zcmcaFcVBLU1UF;-WJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnmtryrG;83>-|24Ejv zjuShvvv_x6JByvzN$f1n;%ttcIC0|aaW*HD#gjNrCYj0RfA*Pd|L@efOWoTAPc!53 z&rh6t>eQ)IRbQQ|I(575Fa5{Ie$uk6!&@&2t6^?=r7b^~&f;%&a%CC+&CKLWQA-GG z`_#R{a(=F}t*}temlkq!ZA1Cl+|=XY;`GW~K5B)9)#Qkb<(F0prF>@j@xs(temP%` zTGhfz&J`wEdtowaMTON^S=6EBsoY#nSUZLk(N@aO%;l%bZR5lqFU&6F$}6ROpRlSY zAD8pX;^cosM9ai%uD`z}8Vz-%64kNjYABP+^q)-aYHEs$Ns$OQ?Td%2s~SThndH2$c@|Kw}6gjCAQP!;kNjcWXu#!uDT^5JMihD0Kj%cuh5C~yYOljh|}p!D=|7?Imo$FA#_wqIgp&!OB@vnqG62Ibz?_@f&CuFWSqQ`tmf^0i(uI;;sU1>L&z{4&e#K|~ zJsLk1BYEZ@)AcJp>wk@{U)dA)>^WugRr@oaIxKol)00Y&JnI?K^o%OL8W_<_8b6iv z^k3HWj4D3sxvue3($jxiYPUrYBYB z*6`$>Yr19CKGT-*73McGQneTYiXow6|p0lF~9x-ZrPQ_=>$$aUJu-qtnRPsR4 z4a{dCZuBZr&&v9h2W9<-wR(*zJ~v#C#!q=3Gk{;0-3r}0x; zz4jc^_@f&C67$*qZ6we3U)JRnpY6Y{%PY^#^0r6k_Sg8fM`!)YbF;kd(OF*O+a8_e zmFH%8+oQ9*#<41XJw$t|LEU);i$M)!~UwL%qKhf)}_zuv$geCU$Dj{ZjdMbE{ zJw5YnPp|mw>6vePdX`t7p82+?XL;q(nQwb~me=^Ur)PQP(OKU1^enIOHBY~!dHR^` z=~>>J0b;hNXZ@Z>k7=HMN%QnE+tahW^5|@j?de&+#d&C@Swo<3%KdY1QQfSB#+S-(o> z=ILX$rzgNIv8Pvni`kxD@!8We-}dw@uRJ>QHBY~!dHR^`>DhkIqsMGd&-xXg_1K=C z^{X{9^KDPh@*3aq^r|83wX*Z_fZ+fZ}t(_6)!Vd7eI| z%>YZhwq|*423XQ&fS5J|Eb-c!<)8OF))KF+nQzYkY>ziH#OxV>?NMuJmbYgBme=^& z46u~)W`LMB11xDXK+K*2Xogwh89>c2F>MA|(q@3zYjpdSr)PWY8G!B4_}UDxq|E>^ zZ3bA0{aqu*7S6*00R~ODS&#h-ovx60h~y(Ku^;Dp=I3 zHN8rR+cN+aEXEXH6%e;)0L8CYo}T&I3?Rn586d9B0HWUW^l@zl5MvtOo&i|D^7O1< zn*qd_Hv`1A89>x~o<6S40AftzYcqhT_hx{&HUo$;jjzoBqTciLaeD?JM6Gk_TLW`MXh1BiOh)5oR9y}Ik~L;>jOmKG*je@wvXonO}39?7TtzT+2bSU+3TQ z_!GLG2~W?w&d+-MS9E^PWJ-Q@>QH(o1Fv`;Q2oo z8*`zflV=af)q`81zw>-1musq;iFb_NZ|;0}qv102HCEltv~;9K`j2djH$C2sd^_5p*?LkTguD5&3pFW?42p}L+)b#F~~KY3x}#6RySo1ZA&NOHTlCGb)ndW z^ro`B-8B_%%556C-L>+{XsTmrL%b>)4v+R{`gew}ENwY>yQ_Gy_T|~yJDraU_4l{T zH}x(Ko_=v>F1Irkui4erF&+&^w~WKC)~XGWj@+Re-S;PUke$1#?p9|~Bh7s?`CfVU z5bSKIJ-90q**ke_cX@Sww5M2TICZXVW6#Qk^M|+0-{=e-JSwjp+SYR~dZK)`ZR_!s z!7kZ7JkhgjN+eoZZuK-&*R1Rc_xE3lUg*r_TJ}W*o<-Gj%NMo|%^tehJu@*@-jvI9 zEoQiVE^kaV4L-;gwo&ZI920@Idy&bhLk2;+-{#A z+8IOP4>fhq%+?Og zF5GO-kB`VbHMcwCn`^4Omo}+r?&JNLRQ^KklQVU<`|i%}ZoPJJ>*3i8wXKijL{GWU z(7Gybc9jPb)7NUkk*YJbuk31S84%HIvL$@8bNb}i^tEE+;l+IIfmL~{W4^F+v?F!1 z|5A0;u6Qoj8EWcizFxeZ?mT(^$&s4dN6?;KQM6}Q^tmDQS69{D_`Z(#?Vjmt$Txb+ z%eRN}HKrfVKE1H#;Euz0&z^aS^26@DWA}}NnZ*3;)hD|T-s!!YO&qv4e?6T(Sv%cb z3p?*Pc5aa^VT_lPi32^PZ}{o9Ewk6t^Hg4H!Yl7NRo>y{3k^?>3=g+Y-#B!tWwNEW z>iI-;5Yg|Ma7V7Y?GDD_$lU5&Q}-PDb+G95>!!hm=2c1M+yw5nb>7WKjy;mpFSnYV zeraq8(|8W|)ZS@d*dK3-Z@{=M*XFMS_b+dbHyv%yRNbGboxWCgvlHWGzGw8gP%V!a z=%>>f2oxj#M|+Fb?9$ zc+1YMJ^7Q7*0aMC?aQfsEjK2)T{5E?Q8PRC1pc8tKQJa&hqj}3? z3MajI=h+D~AnzXops>9Wqtap}XgzXwT{K zkplpH?{fD1lQRpqJK%`e{=J_3=o<1z>hAO`UwE3FJ@g#xyyn>{V&teVT&o2j&hBo$ zK7YM)Ie%gk0N9z&JMvj=!i|#r^6E%)dOllw8xuj#BpiVr&oA4|`|;&Ugc`qn{kl#ECK%CRhkOox*~p^Dgy24dhE+dkBU9{y8i- zXkqZe-n!>{fcs%*LGXCLkZM9~!$Rit)#gVBZe!t+9$Fo~-ANAP=z`}^>d9fqiEdsP zaQ~7+T<{#8PpA;&T2rE`7BaUnh5aBK_u7y6HT`Z2+|j`Pm+wPBjzX0?9M z?Hd`|(@y9wxojvQ+&-o}Kw`Cy0V$2|RR`))pNr&IY}{fqVTY+F-x zGP%cF(6o56L`X)o{;aTYG{%ihFr{n-4#NNcQrV4&9P|0UmqrY6jRfel{zV>kUk zQ|~HpMiWn@)th+O?{ohxkJq1S**Tf;`~XiZYC;~KpoJQxC%ts`%bX5BbaD5SS@?%Y zyQg2Xzhn7ELm3-~fvw)S^6ZCw;(_a2YULMC*4&3kg5E}-sKCCR+b;!bC|5TOlU=gbl|cr}r#s&vDZ@szBNCEL=X36Yd=d~mjQpek~^Nz@>a5K8VU zpFOzs_~x3__R*!Gfx~!B<>*W(Cz1o9$z02}$aHFZD%3yF89iCwk-6H5$9*>_Jzf_d z6=N@q?%TP4XUop!RDARC%cB>g;pEg|ZuA*JW#GxsmIc4n&gW%iHnIkPL$!GA-EROsrik>vT8 zufCW%7}`8~;mV8kFW%i28Hhm7m5oRC?|R_qVL4k*lh|;+DYXlBE!87}REI#)Bo_Xu z?U|)ab#?NE{RpmAU3t(yeQkb3M91s#_xjFI-LBTNhi{neg(!cyE2Z2?p!Jr+LC&L4RiaW0W8GlMn%owi89JRHaR}_jp&v>zdWM?R}Gl2HDboqi^=)2+U?U#FdV?0^Q_Euqt_|OAFN{BZ5ZxvG3u?^g zcW*`F{k1oHS578|&b8g_EMneXJJgsf5G1K{T-tnHwPX>ryjJl z>^z(VUaqBXiNyGkxodTII;JSF(lXfW5eAD|4#V1Ho7&G1b(j7WIOYF z8f0UJ)=j*@DvXaEzCM2g>o4Gh)@9T_MOv5LJkU++v+y7`3fI-f59_bKRc!3>Hx=5W zug}QNtz}xLg!Y;FE#YD4X-vOVXuvvx+IJ^yc)AzEqh@}lx(V&0+7W?(u9f+Q=2_LA zkrp#Ql<08RmDjuM^s9MdJllHJ2KE4zlTmu%cW6CK z>yZ7khca=pAM44El?xoOo0$kDG76XJ*4g5u&HnIwcc&aRa5;o_F$Zo|FzfIRU64phfnQvP{h3a zY90pO;uLV{E$5#0?QPrEH*>OK(_U=kL;KA9mheH%hL<9hp3;nVgvqM-^_(x+8@9#Q@gUiXc)OIYDf?JvkSAe zgF-63H~Zk%DL=d!;gJFqyUW0*dOp&2qkCrX4)om?b;>VKbSzw`KlTWCYzZIbahSCO zI2Z>@Y8>=Fxpru4@4XAqi*jn+a&`_W9B+5~{}lXm7se&p8~scHkXp1CjR(g63)kA3 zx@rHU>hprCPw#yMSZ9Y3pe!i6fp3h@wr!m=7Z6CFZ|YOyf^a+t;0nd-w!87 zupdFYvtAx&te3}Qrkds%pC2VZ-B8_BQ$5f>Fq7QhdbC9(5ZF?FkOEux+7KYVaf0xN zc|y%kn8$dYD2zu^mHKXVwSc=ZBY7U*;e#xv+5_WtGvidPiw|Zv-w<#!4qWEE`HXRk`GWSr zBI3?-Iv+Tr`f^}$oC3Wn4l%IhkVqQ&gj<%Q`Rf4TR_U_?Wbk*@u3xp+3o0-K{%o){FI7pAijtfwZ@IEX<0TfLK~ z(+6mL_yTi76Qb@$ee*f&UzJ@5NVSBUQoJ6CV7#kw34e|DK_Ji>r;$Uq+LyzpIRI$S zcj)&gyRi;R`So=#o;)vUU7)RVrdCxs`G*Cjj@0n;w=?&^I0Xw^`5i+fLrhDy_2_apn!P+{%^3mcpZ4yQND)w$8xyK z|BUrtO07E*Loo!po&Lj8c9i*d5Rci~6WYQ!dz8mKjDD}*%H@AQSIFYLj*P{diO!vFAzO+6SF%I~6_ z4XT{$nxBb?dS&m((Ak4GJ7<8_3lJJjczoiS?_pRj5ytEtb zcRk<#!rr#w_GOpf@A2yt{|2`!^vpvq`bX8=Kh{vHbzZ}Z=pS}LG#|PPAm+Ro3)-x@3JJPx+Y?r6vMT4To?tj8D%}3mRjB7PN zY~%J@8K?P(^dGhBxqA-%06!i|EOEa$`-GRVUKc6W>)6%vu;BI|ug9`ivESqV`#5$DwV+043TRR^){V^Mv!+1GH<45(+#0j$d zFxI>NXE(ny|Jd>mdYc;;XdS!V=_e_;zT5V07!;dn9v-^gw=kb>rGo(4_fdQ~v|r7~ zJr8eTy@7q(^4TM_@9B9dQ;qcv=D~@pbF+2Wk2w7BFwgrBjvPLR`OMLSb<|^JH`d`i z4kAlSYTRHv%R61bHRcDk-dSEfbh~r;VuR#$w6k77|Kvuy^SQB2u|1;t)UEoea~HQa zIqQt_cs=%UTMy5i9kbUN{_&Vn2Zi>5CF+NOzD!Lu(>&I>Ix>--Imv#dCA`nI6Z-`r z`GD~lt)B_Ui)x*N{KW|7|BU~c{IV-QHbmrB`Z>KY z*x$Oht|mq6b~<2P7<{@-?XM^vO8ceo6=kQ24+QoY*JtV62@xTeH1y83*&Uk1EqM4h-oat1+YY zBipV4XB;Pj-{pQ*2US~g13fg~&tRQ+e-Q06srq|)bzuSro{=2mulGqeox5N^q2j(2 zKb~vqS;;?rdA4n9@9d2e#~L#ciubA)GNvcSHa(haJ~orzinu83K1ll-UPoU&)YLaU z`ZRofxVaPS0lB2wo%inx17pq61=SxokjFX+`yAv`?F#w6&^G^bOSpw`Nc(^mt_RIq z+BkC7pYAwn#ETPZeVaM0>K$13$_~c6eo(3WUWVJnUT0yy>VL-mJ4EAT+g==0tM&B7 z=djK@uJ$A462CX3a4$uA|5@`s&IpG!u?9~;;>qu`=8;zxqb>a`E2h2%)i`T z2Z29|N7bl(32<=7>mTe(&|k+C-hr2uOtmN}|E2J#_LDU4)bseKd@rny+_w9J_4E8t zPw_CZfpMzVU1uncruI45SE_jSjrKD9K_l&RW}o(*Q*nTi1GBZX59D@JaS3^|r%3y# zLn5KtSE}_;5`jq!nTw#z3^{!rC# z6SseFp7!yQ`~lh#>yQNVp|{`BtMJwmK5dW3`b`uU;eF?AvC*f8_orA_S2Nz}+%PiA z?M8k8A!gfteZCSE;}ZRB%W-_NS>fN7v)3p6FR68nPwuus?hMP><4x^%X4U$K>!a3B zG)~-cq1H8NweQt%JN1fcpE|CuvmT;#8tc*5X+q0KdRqL?=vOlbb>o{z`E9Zr8z{B9rirTh$hY}q5&@6fuJUfg0F@VYvDkmZy=0S@XI2j!JWhV_qJ z#=eI0+xx>Uw%zvri`JXUFQ{?rtPAY*X1wiu)fucm5FZ}o^>+VDSAn0J;S&e$VLqD7 zW8cv}oD8ShaOywV8M(SM9}W);^rX7C#^q!v5pP);$9X|?-_@#fhqi8Y=Rdp%(ReV6 zbxnso|3xW{4m)ATvTeG=uIhWes zjeR+-pUD2x7i!_(2gr|NpHTC{%-P6|j(yFYqlNSG)V`Z(Ctkqg`&N2R=~eLp6(3w0 zuf4rx{-%n*AE~2#M?Lh5$oOnO>4&~hBG*#iGrg2+J{1lPRHecLV&`N-crFyh`Gkm( z-%jr6?FhFtU8&uQ{QV)@uhYJT{W{XqIHzcNu>C06wUoKiyVQbpAl74QKH~Mqe#}Gu zXY_}PV+~F8E|J~)S)SsZh<`|&t8iS5pAje8+826Gt#=W>j2y!`1zv2#xKQ|nzk&am zQR70zkEs3~P8=(#*3Wi1ypFZgopq1Whw;Me9u+GRbsPJU{5t8kxP4I?cvDQ!{rOu_wzZp_ddkj_7kC& zfWF!LkgN8;=W$?1PZ{wGid$h_=;gQh@c;3^dl?kZwqHop-s_-vD#mf3{diyb057s) zzZU8Y*hBtj{(4_=AbpJHE7d;-Zgnpq4!#BJ+Pmt#jEQzTu0wI?C8dA-NY!4%3-JOl z{OI=H#XQ#YDlUX|QcYY~Y3I^`(~lPC3R8vhKyiMtFqgl$SS}P7mRtRg19Q3M<)~GI z8wS?T7q#kywb77SSeu=ki@B)>x!HVcf3Y~%8nx<$RToH#TAOfHfl4>zyE3>?M!qc& zQKYao1@gCE%}+sMtFWqw5>{(aV5U@@C;j={!sX&n0ar8R=Azbis4}Gp>v@v`*r8>9 zURWC_v(DAp%c-?1a;-33z8AG#5LT_9C#+rfa}RTEbGe0CTpRJQ_#odloG;%iPM^yy zOwZ+)TZf9p2P=zFYd7?-FB-M>3M<0$XiDuWiPqA?sksODrb}q3{lbd7kLW+Y*fcJ) zfY2dfMN1FWLxm=~v0`}{LLEwz{Rr#KH)FK6<`#+ztsPP8Fs`#$rb{Z?E|v1r`I**C zsZ?CeEH4+S1CIzx>Zwty2lruUA~0kjH(ywo9Vp^@jYs9y`P@{g*a|D!hnyaBFWz9u zwh=u8IxAnA$xYGS7@~)*fpOj&e3%w za4cRy;mRrPb+(ETV@uNH7>V6 z6@{68F53-Rp9WW!xCzS04FP1*!@{Gozs0iog*98+wK+*yr*q|;lHNd1N{hNYh7>5> zbJH`c)7gUmky5?vlvqdEm25dT>xt(vkV;B_JzYst0*p%e+;mp)b?7OpfEHJZ3_}|_ z&k6-jHZFQ4H;1xpl&GRH5XlUMR)1AC<`y1jJ*?WT<(6h+EafjvY4;jIJw{al%Vl)A z>XhkH4$cusdpI|(%y+s*wSh8}#)0=V4YSn~3P*$I=JV65>baJl7mD-w(rn%nAaS6b znpg?Yv(v&b7a9uF`8<5%RN2e4vapQXcGNQur)NVw(R|w36FMSaG zZx0Q3KnJxEgcVva`(_^(R~BaTa1(LWn(Q(XinjZNA0FoB3TR&%JlvdAb$#&oxl~3{ zX>rDh@<@!S7y+8e2I}ysVh+>U6#RE~y0A=M0UfD(8<-by^aR}y(tIqqA+Qq5#_*dcH^i)RjVEp1{r`XSR#CGP-!#8 z(i99Kl%ib4@(|-_D;Iz|R9Yo70BMBl&y<~&t6>nkR=QL!btu~u*-j)kxdTrwO$RL7 zzHFmWmF5l(t_n9ZBUhz!KS`HnkgG>!wR+}~?7YPlRGv+z%vtqpD{x%~>%*gCG&emp zyfzG-L}!phRpRnXSfDAXm3fT%>}rXoNjMl`!O39^Guvg>6p6c9%G%AWoPs^jv6>l} zpI$7N+U@bJcg_Ztk$Vu?sY?=$F6Ez{2bzD;;m)JiFmRu)J&XAT+e)q@B~3mCqLh#I z%FU7>T5twKb2^J!uyv}&R42b<<#DANQu!079evrc{7|lzvXlEqOL0Z)VVzW#FBF$m z$(x-E%%&E~rEKvbmPT{MRa|gJgH_>WK3AT)r|iU_Rr3@^F`1TMKs&^gHZ=yErpF3G z0i|9gX>}!7OT~177@>xtK4uebw6Z2`Y^Y40vt}iLe!D8j?p0LWP3U0gs(fTD%|>>+ zta`?CCpzgWORdVHu*`Wd5iDm{6@zP>@=K^(b&BWs5UMBZ;a1i}O4BqebEjj5@Fr;> zN`K6%fzL`fk7788REsojUr(bdCax@@rop7CXg9l-PVEm5rP93)ayUG;xoug7IeMc~ zgRlg57Cm!18?E^k?iAI6)G`XWJkw&xD-+as8^yfj7>};xs;WN6xMfR$6VAZeoeZQJ zNVHue7N(MiQ?69XJ%*|)&$`m&jfYyarvvNG;M$qip?WcC$AAu68LNd0rd(8GV163T zyZuyt%6;fi4|N26g@%IK9HTa4%Zrdzsf20D$36=b>Ji~|6s$%KE!&l!Qa%ovP#_vn ztz7sCW0c&pT@Wn++zwy?yEmK^-br{`Z0D-HZ4)^PY~d#7ic=4;jK;cBITV_Tnk&;( zciZmAkX0qqeqpUNT2wUp)GD7*oS9K|s`Dk01KH@~IFm@q$0gJS)hbI?a7*JXu(_SY z3-gPm{1mQm0e9MPKIoaz1epp zHwUz;NC4!L{pT)cGpA0CWzP-jPeT_`o=O`V%iPG?o9J5NoIMBO%=o!1a4c>D!VYtM z@Fp5EMvqJ;`!DzF%AF@xPEWe>8j|k6oH?64b8+Yts^C7ewoUX*N$Kq1$f?uUl@Yd> zVsxlFsBHE#734jq1L3}55w!b z5808-<-w~+2WvcgY3wpJ;F-*oq08{(3U`@-fz#vTs%_vG#CZcBty>`r*HpplH1J?e zgM3$`cmg6&DXV0#h|_SK#m7#Pm>XGSh$`v)(NV|rkQqU)Hx zart!i+TbY)RWV1|Z*y3|>45=9B&jlS*8pbf>5QI(b?`XhGFivS6*dG$u#U0QX9q8i zI3|r}PK~*=8qTap*aN=L!;+Tg=g?+ST@eo9xVr$IYu3t#?7f7Dcyt6?D3+-cIy)n$2Xr zR7YuZhDNgEaJ7z+suy7>TB^>LAQq}e{c3YAjtrr;PL}b3kyF_rw7)07PR+4o{Bq_p zycJj7$wl7SuDR1}#PTZcbR2Q1A)HQuDVH(>7Zk&feN@R|Pz_PM^XO@fGgFNZR0jf1 zyYUm(P*omjoX@dE|G3Jo4i@&-3GzT=L_UT=L_UT-a&g!cqg5{CK4X z^5d0U^5Z&}d?)$wATQXSBo}N?;sx82c)|80Ua&oh7i>@Bk$poKGpDkbFJ`ZdpUz$y zx-t%1qC?|X((rOv41n@*<2=~7aUKqEJtlHP4$G zxtL|V(_eC+u;S9-KsG&aV_*mmHT2M*fwi>6!`e(eD{U$Xt~h(`;@By84VBLs8cL4c zgOa;^@p5K}95;H7RJhMhE7Xpi#-i^sM!daLij&#)7)shSH$}pJ;+C)vTuz^b{?nL- z>gn=xJ}+zKKWO8Fd$qN;I?e3cr*WM7(pesh+D&`)WP7>3L3{!yfxIs)tt?Qmr)|7k zTA9M->bbdr+}zwa_To|TQ<(oIi$$CalyZy0dW&B6I_G)%b^vM-V9`0gjF%rT=GRZu z&9}2U2KGtu)8c0m;*;W2brJEiIBd!-F3uq`)QU>KTJ4be)8gmrEld0YPFH6N3)52k zlK41j`(5OC@S(Ne_@#}H%YvMP6E82%~ z^eHBO3-bX^!4SO1!5uZjvg)i`T4!?iO7U6oJ7m`H!pVC(FFwf@zlScG&6l~qh4mV} zi|n?qRH?UV05MYhf%wCO_!_(Ut~PDNVDLZEIFVtfxA%*ipyCzxmZb&Q=4eQVk{#y-+;b(x57( zF|8!kp$SDavZYw4%AXzSc6Lye*>R+<7lub$8dRn9QNJ`EZD~*&sXuv0Ii45O?}mk9 zc6t?|iY&)$VPGBR6&-YtirIhyX;1^4`7+?y$pF%j0Z7<@gB&lMiQsB1v?ZVhQjQvs z1~q_$uUtGk89*8`02Ofpz~X~475H2rKa!pNAPxBe2Va4Bb~1o8WB?+38Sw060BOho z4CuoNM!pzO0SQM1NP`Lh!&fGroeUri8GsF620S|%jIc=q1$qX0wlfe}SwcDh5PEhp z7y*(-fBQ=Cpg>865&}?2dr%&IDiCtb}|@|a2VaI!=Ij= z491>6jP9&;rgKc=IA4i{o(7b7y-(r>GXuSU*z0{F4H?kI%0o{T=jZW~5)k)>K$#vM z4jb`sIDiLwc4}(G!{GoP=-J6&#KU29di^wBzA4YGWci%@9$t@$h29!4)x!y8G1NAI zlfxcPh^)-e6~GBS+olp}$PmCumxmJ~4H*JB>GE(wq#*-3UI$i}hY2DL83LH-@-RW9 zAp^SESAvItE+YcE0tldIruDk@GaL!@ zG(FoU5oyQ}=;Uwm5UDw{y)d z7MII-8PR@2G8T>ms_NmR$B2&}fMA0&;CUI7U5bU10W}_KD1*Vg0BU+X)DUUN0BA&K z=2n*PVGR@B7%0wzP>&HpJp>`V<2SQ1H>ch=osWeZ13ElZk#wa6M*$tfJ0<+83iRRk z9{M;SaT|0*8uS55c&XNV8y-J7Ku9UPGoZ%<-%%s@jt0O-&rZQc@Erxh>a}-+=?kNH z?Isou?A=MuQDg+=Q6R5E(Y-<}+!m;U2Uf~rXbM2h*LEHXj~Y>U6rl2D@bE@Sh7tmJ zJL=($NJ9qT$X9{~v7<(a9R+CU9cjFktX}+a8uc{+Js!*`$0kFR3up-{qDIB}Nv$u= z1-ZBr=mKq01;A`~oWSa&;T7pv81H)c)gq6p7PLt%VCByPhMNbpDGw0j&jW^=2ec^< zz~avXhMNbpDG%`C&jW^=2ec^!V4s>-L!qmPfbr?H+zakABl*3eb5F8yE zIEXgo34)_T0|(KDJQM}>*+vWp97LP)1i?W;Qa6to925)nm!pA$5>4e07MvJ3Cdao0 zRcKhD(5Xp95Gxchbxk#6g~FwNQ#GtmqN$u9Rw#t(mSe^WMN0kUXt1C}Q#nCcQ1sL- z#|#UKm-@@mU_pt7awuf#%R>yO9HLEmg0P@4s+-3Q3ksC_%h6y#iKcSU)&4vh4k&PH z$`ix^g-%`D%s8N6slOZz2b5?kCx`oZsDA80-5C;@Sb;~j1fC8uf zax@%JqM;lLnELV%!zqVoQ=T9WD4y!(G2?(DsQz*^98jXEoFEP;Z0eR{#sLLN{pDyl zphQzSK^#!1)Gf!11B#RS%h7N^iKcRbIH1_6TaFnA6bkj1qv3!OP2~h}K;coh95W6m zAnGfJ7)~Cd4dqZw)SpMg0VSI91aUxdQnws44k$9}FGs@xC7Q|!;((&1ZaHQgP<+&1 zj)ntDG?f#?0fkT9a?CiOfT_P64F{BHDkq2oik!OTm~lX{Qhzxb4k*!34uwm7d5GbZ zL$oPR5C;@Ob@P~UKtWS~IT{Wq(Ns)s=?!9qVyv$HW~@+D)o;Is z6-qReLxELa9%4A<5N*m6#0mvl-8^QjP{Es~2mk4g|Gm=%IkD zNecj?elj#u%IC9FX;xHG1r1UZs5L1HLW<(EZne#jqKK@&+8U%N(Nqo);m@PNg(9}5 zJVCfnpw_j`3>ON``pePaLWzcQC_3xQLky=JqD^^%ZA~FsH;)-E6qNOsqrrs|P355f z{dqKSP|((t2VL#Yqv3$!wx&Em98etAt&bT86l3+5qv3!OP2~h}Kyg>M95W6mxau!Q z!vQ6l$_e6tqN{E>W*kt!)K?BMoIFGu%Aw$>KaYk3N;Ks`S67wu%jH;AA(&f31VvO$ zxzXucoKQHzeKYxz`u#2ahCEh<-~9Q_(cnUnRg*#>BB)SBtd+v$ph68x6jn7U1YESY z`KDu4kAg}xa8an$qy+fcNOKTX)&5~-ZZ!*KuLPB8sG~5fNg05petbzkNw(W8KfhRh z%#HTCphgXo6sI+53}TYPvo2Q5n54+7zjHK9n!~c`_5dao!!AeBmA0kZ1DI3{H;)mM z>2`oE!3Q1l*_Fju)!Y5HDgjrA5t-?B;7hNcVz_yX;7hkV;Pd|y7e7wLp+wbtg9<(H zshp?Tuz!L601s>1}>8ms!jpiU38>2}Ztox!+o zT8sNC+LR{rv8^a}<3xs7QmOIg*^FV6ndv8r;m0F=J1+yHT}9?_e&I|cUsyUu}@QgUaQ&U3NDh0RpS?(enlE~ zDTm1(U{SMYskj`g9uKO~uxpO&rzw!H^%z}s6RW-+)T7~bzF&})wNrzyDa zR$&!CB%O>^-wmqKz)SLmqAAAjso_za52(@31k&a+fi#8vJvF!s82xfTs0K1l2b+%x z(sU}|YY6R_KqZ=L0LXdHKzZX*P>Y68^T9!y&I!D(EU`0K4eHS#N*PSn(7<<*USenP zB&bJ2sQG{)P3H-k9(D#_9@L{jlros?0ph$NQ?i`_elFl|W(}j}lZZ4OJb2CklQ}zs zuL)|>kV{!iCh5q{m6S7hOHhr5T=S7dnocFOn%U0aodHGKIflw%u!oKy<+Hr?UG?h*0)txCFaX4emeB6euDU`i}**YEU(wn52UzCW_&7kNLzTO$Q`C>$MXTm1wY@4n^Gec-0ls z%Hp33YSKVxK1WH@!HCkdIIn(Joh_FhVb1=gpeD_+n$J?wbTZ2)NBK|xYX3WPXX*wBEu?VLJ z=5hZm-#Qzs#;^T-`m`ezmC;}WouK&hXfBNsO?k+r>28INQ5@Wwk5tlhYU0nMVZ?l- zlBQD=b@iT8R}Q#U<7fPSRT^~6CoO3@Sn=o4phJnKY6hW0rz>tXL7VabDZT-M*f$*J z{|c6_0gBFIOqzm#qB9q_bTgpnxW!*f4N#P5C?|OSlGe^&6m7~A1Pz_JIJTM3U($52 z;vBYtZ&yqFJ9(sT^s z&!geRd`6O{gARWl4H@QBkTe~7`15GUpv03{S)9o3q!o+op@X%1g=L)f3}KAK5-%n*i$ zds&RSB9-pDycuA9X>Yu=OGf>+`|IaiT4UQGBZnewR3W(74OEHA@+4i@nZ1`=zK3@+ z_WGNzqBTQ{xSTZ=%!p$5`-@#yjf`|fj*t<+!RDYY{9u-@tZy*#TV^Gt>fw zD^Txbb9u;eg0mnD;8uC|UdgU9@ZkH-g#W3c0U}fm-3=Rt3e5&bA)4aodQGvedKoz# zIYSMD%lzs~5AzT4O$prRQz$=19AoMM5T;H~sQ5VZ)it#nD@9T3AyzevTKm#x>5lGH zkcAAFxS2+wy!3FA_JMT!dc?U%HsLZMdxe!>g&!(CEa6)$dE3J0_nxm9Qhb*YUlxph zJMk(aYuzX8ejy@8H`ejRa!jgw<*KO5v7OQFL2ux4EA7J9zE`nMM(#wi)B_YxC_S9d zEkD3#Wz@yq!s=ZYDsImzJ1c-wMY`Zcs0Y!i^h6zjOK0^$U@&em(hYXisxKF;@nkAe zMrI@Ts493BU?UBSsp7&+VRofNpAyK!GjuENSRNM$dpuQ!EAgsN z6%7!^uj?>u)CK7Ec=rpRo>?wU;o|kBER_bt&*uxXt5fCD9Dda|r(mxp0f$+}g;wep zT*R0|S8p=Z3Jv&ZjRyRGUPl>Oj+CjzSJ1C+OL4KV*5zoetHw`$Y6`a}OyQ@RXrE~s z-2k!2#Bh6=hbDq47;cn;_ZO7-Ij;-X!-pX)Ffj=0bzdS?-taY)>b8L)jjnDE(U^!( z4XGKT%kLq&HT3*m3~A>U zZu}q>W@z3|yPsQ%tzsKxKwN3Flc9C)rN_7lWO1dOr;kZN@l_dlf8^T|ko$I}7)}SD z@iHmqlvdoP*DSV^;_p<7ZMLphMn1$U5fh5=#ou@pq{33Z^IM7?;yF_Hee^R-{v?=R z+4r-u9}w1VRJE0y82zOy=)f24ZOt!Xy58%%Exm6aCf-|q-j{GaN!nYC zrd_r#R%ffK_70-G#q->eKPE*BQy;_E)S3FY>XVyNydYYsO@2y>U7YgMQtW2xQ&Q|< z>gS}`%hbVipenW~wO#PM=ZA^Vuigu=cSBeg% zeou-tQ-2^uCsUu3;xOy`BPqI=`n(iJnEHYg-7NQKsy64P=n+S$Hh&>SFH`?Riaw_P zN{VAl{f!jInfjs>Cz$#>DNZu=4^q5_seh6p!zKNT6#Y#7FDV9?`Zp;~G4&r(oK{rS zlHv?gAt}x>RVBqarXmvGVk7&aF^QYc6_t?U0#j0oA*O1j7-njN6eCR4OL38@O;TK9 zszHiTRsU$C6k|+nm13N!W+^T+wL^+4Og%5fRkmfP6xW#7D#dlCc1v-Csl8I%Wa>pJ zZZUO0irY*bl463Xb}8;Km6jsQnh#5Hmw88|$T8I;#UxX`QcN**Op0lyPDqhw>NQf# zFx4-`EK{eXxX08PDGE%Tlj1&8=<^3m4M{P_)QA-GOkI*uG z>r#}M!iZRA>b4YRrtV0w!g=pX@sN3wQmisHEyW|IW~6w`)IBL)V(PvWFEceK#S_-D zAjK=pTa@A{Qza?BjH$8|uVw0?6kpCc9!c>!re2cbD>(Iu6ko~IQz>50sjrpd4a|F; z6ko+C`AR9int5-K;*CswwG?k+>P=F74O3q$#n*CZ_9y-$jFG4*Xyd;?P-km4Je`VJ}H&D3{E z@gAl=EX8}7`W`91i8X(p6yMC$_e=3DO#Pq~-^$cSrFb7xKO)8Znffs)zKyAmN%8GW zeO!tUu;!nX;)6_mQi|_j>Sv_*PNsfVitl3T(^7nhsb7%d!%Y2>6yMF%uSoGdO#PY^ z-^=~+>r#9l^L|r`k8ruaEyedU?{}p50jB&IDL%&3|G>?tG-0UAXT6zBec*yWe!(-wxqR)t55`9MelISzymqect zza;vM_$5)hT}|$bKab;lJBJ(fc@xel=t^HoU=ho#$H?+h+iitxEd{6w8{%jV;Sn zar)f^c3V(=GK$r$)0N6M(3hlMwP2E@4@|M3Y5dp))3=shwOsdeRIjSeTimYGg4)Nc zUbSfYRF#IEbz*Cgy9Y@Oj~e;A9cG|SOE=AZA(-R#IYU@*6jBjvA%$-k>l z33)T9xr?DrNA;RQHW<_hF~b>}*e0*YHQOUFg{^75hrKlod$n24{VEuD(V9hhxHLKm z_ZwoY#@K_l%=)vE_Qf$)W%P@|50O2)=sJr}{nJ|%JXmM(85CV-@fj3dXYm;nU1#wb z6kTWW85CV-^Q4Qu>gK6Zc%98trSLkNr%GYFeT~jb!vfp9&gQ97^E#WSO5t@jPnE*! zY@T#s+7GO=c?ya?BYsKiGvb#-pAo+#`i%G`(PzXji9REKNpzidt@vOa`-L5bwFtg0 zN8hny0h3Fk&(HBE-B`xt(j1xfU790BQ>L0AOn#q)$nEnt@>tHa&I`zCD_GMMK+^UX z^;o58Zc;+thxS;|r^B@h z^QOABtoA+#sQT07mJHtwWXnvQ@Bf@2%b8|k_bY=eV(M}2DUr1dS)wYnE$LIgrM%15yBG`}0gOoUvo67e|tIs-y)6dvfb(76c!k7!w?V!3gx6H)?UZVj9bX1?a=I3tdQ3J>v4!L1 z+|+~CXzZ;x?{{CZSWlF`?-zS}JodKOJMc5vMO+bXS5n5_75l0L_}_q63!Fk%%7wYM z;gv@n=TOGG@d|@mhL)mKzBe9wPwboUs)zsEf@6iLduTr7{uX+>)tsmlye}U6*4X>; zG7igJD&?p1GsuTGE$ZxiHcyFvFdq9r>^pG#2P%6FAA4M+*9qyJfk7GjuGohXNW}{k z8(A4%vAfr{h?dID;%kVp@1cqqQk3HFi^sk<_L0bIEdC!}igFr*`Ysy#A#^RiUwExB zUA`w{KOA{aBKFbPkKpirv52?cs`s8cweOUS{aEbB6VQX-v}~ZyRnE22ePj#E_?;)- zjorO>aL{=%*sNm1Hsmg*4%V%5qH_s3zBXh8o+2OgXpg!X4MhjR0i)4Ab% zIfublE|wlgWB8C|ExvZ6@r3mT-2~sqI?v(t%DMb<>rk=yU}aJNZ0ECl0y zzU>+NOzhVav0sb*23qDfh1IrhLp%L{W@SP3eh0w!>DX`ATO#&ZbT_w=5gdc$SMt;2 z`6WR4cX7yKL;2N&6}o>f9{Vq`-zQM#7W!8TbJJ%^#d%@vgDx}JFcyU(sz+WWb$NoEp?P76pERWC2F4+E2SXXtN*?@2rzzsdi z1Y)erU&j8594~IbaD5F;1<@FOr?Z(SB-@DA`P@{g*qT=La%{hvpYmR$HNBK4tUeEm zkPf^=dE(V_8yrg7b126>-F}Cn?D7V+JA}ADTz~Qpv413g@=q8w|17LS>uGa9>S2fm z&Ht=NcKkrd*i@a;I;mbGi2b{?GEDuawE7hl7t$JFDlDy2OjV=vwW(dzNm^&EbC}rU zF=-7lm5|nXrlhnkFjXt9A*MD+YnZ8eX^k+o2{W0dn3{)vW8#6()HTw&XkDU`8>KbI z)K+PYv&Lp=U1n;Bw5~Aq{2HU#n_WByg7S}=M!reb1C3gyoWNq|s&$PlYn9dwrglr~ zCfm4ITDRDq7o~NZc?YC5!MsD#y2HG7X=RxLrq^r>x5T?F+a;|@rn;py#ne%0O)~|{ z@=P6<)(lf8r8Ub`Mq2ln8t`|tDnwcZ>poTLjIn&+%T(puou5or~f zcS%}{EH@^tC8jP*tHg3wrM1j**QHfv>ZY_-IQ6!)9y0Hav{sqAE3HSIIw`HkOifGc zC8lPi^)gfUr1gYz+?UoX%$t+eQ>GTA^<|v8D6Q8ruOzK6XR0i%*D>|*{|E|da1a!} zf&uVYTCZp7Wof;E%Y8*!U&Up7nY6x|+vUrp^+u+?LRxQP>h;q48m7KVT3^dK-YBiF zV=Z4Jt*>X^*GcQmEca$(JdL0a!%>fO?MCsXg0*1MSc zW@&u`>-$z|eIxVUFRgbo@7tyI9;QAht@kqZoznUyramOCZ)WPdrS&aLeXq2>m9>0C zTJK}t4@m3%O#P6wzKv6VSX$rC)Q?K*15Ew6v_8nxPe|)KnEHgYzLTk+lGb-I_0!V& z5L2I$)`yw;Ica@2Q$H`Q?_uf}rS-i`{j#*akEvgk)<>B7jI_R=so#*+4>0vx()vNB zJ}a#sV(NFL^--pNPg+0B)E`LeN0|DYw0@MSKa$puac_NIT0hRbFG%ZSO#PX(euCTJ z&!zQorvAIMKEc#qO6wv_8qH|DUvenyJ5+*3U5YkJ9=Sm-f%n`dOy_ zr?h^KsehH$r&;dbrSr1b|(?UB|WGPO@ypJQsjwEin=IVi0^VqTlH{+Ou_X?>ol zPHFuK%XLZX3ruxO>rXlLsI>l!sXl4_H%>h+tv_e#q_qBmsf@J#JIf77>whrsw6y+` zsk74hE2aje_18>Ykk;QYH7u>aW$L1|zR0aMDy{#I^^HsG?>O~}wEmuX*QE6i%)242 ze`M;GwEl^y32FT^Q(0kMd-mx}UxoxGH+NNM`#17%|Dya_0>1cvF$G`zuUsyC@xO6B z;EVsAdGN*m!4!P)e{w2bnzfjR1##r`!c@;A`~)}UtmD*{dLW0*asodE-RK(O@@WO1 zScxaf33%(>PBwStxm;W+P2~#2T^)7@jA@qj(Mjav8n<5 zlA@qp>I#TBN6*z;+?>iVOtwbAm}4k5k?uy&tyua9IAe7 zuR+jRfNNVmCuYGxm}!+8P5tf!0wW(t;0HK|wYn9`%YBvGK5S*RX5t06_;li%6F@q` zM#gphVTn)zEy)w_mmVr~L@tFHgDXN+JkQ5Q7zFUeYQ{O8^jH!=E5$AFJ z15zZI_d`-7S>F#!%owyTO#G-6HB9}u6t$fC6H?SM^$969FohqZq?p2wQR+DdevGn_ zDf}2^6Q};X6q}j)MfxRbu*>&K#Z|RGPW*PlI*|Cygw>`*3}GYn3s+~TsE%=wsh-?0 zmiznLXo12L^n0nQ!UFvf_=V_JyU*H)W!IdboveQ z(FAtlDZNje&`RRp5{Z9J;I_+V*9d$8kbg>FC_kh-vx@|neZaB#5(KTIm6t@gwUzHp z*zYw0eIpP7)waQfhsCKJO*WTvB?N3wKbp#`xhI+oA&BUh%#r(OvWmmHYNkLtVIX=c z?dc;KNk$XN>SRocpAa9%s7oe*Sx<~RB$~u5AK~Dj`ni5kpwH-6E2LzyHd&Vtf1TW5 zTb5f{&hsw=Jy~}w_sL{^a$_PHP9n-6-O9n=Mw1P~8bid{9VGwPNM;Y6cyqEbk=&BR zn)YJ6ftq6*0uwZ@Ldos+?AB0SuPgiEs+bwIbeckH6`{LySS0+^xn( za*q^$!;QQTBNnhYsCCGz_Avq*)k`b6Ir2XMsG{+AP2}Axw021G_go;Te_;x%GlBn9 zcA^j4H2&7QAYRC?5^Vhp6y|3jyLSJDg0JVcY&7rNd(-1N&W>CerRQ@Cx;uL=c^vL6 zi3OeLm&w<}PbaJ$$xN`+3PW)Ti{GvzK}L6wL6@cYDvN7y>U<2kCp*AFg9JEekpKrx z65znx00;I4I50TCfyDt1Ob&2h^E3GshPHK+fqEGu8SpHY#)NgrTgLof4uP)_c^4dy?y3mt zn_`yHKDHsPG^kO=7A^A3$d@0gTjqnaM!aFxa`gvye zS0jz(!}J87 zxw4QeJ*M6C!mLbwoE+;Hlb@)t7{j#+n-e%Y`cpAU(LM89+_6a$d%DYOwNG)Y;ov*@ zbM;n~TJ5K})qVl2U#z!chE}7VyWe^kadB>=U#qYQy-LOaA@rxRmEl|hHuZQm{Wjb5 zSs3s;^;Vp0`gOMH_rUu7dMi#?g8cttUcB1a!ZaQTlnzOs&}U7Z=n! zLZjYfsFwHt*K5nGGWmt%pHhD!O#C%m`kx1zif)bfLy)e3uXmi7ij$ow%+0k%lYgmS ziQ^^E&N2Dd@#J46{|19TvNHkdi#TIo)c#$Cdh`Z$3SdEhx@6+*47z`=kc3FUG>i_) zWJ3=mf{d;d59HvQ({~dnM@7x)((gbHt~q_}fO4Q#9^wo1ZFsuZ3YYfKI@{gc z;I*XIsBFOSchN^I>SEa#ms=z@Cht>7lGvEMpD6^}->wiX5o~`KQ!NBL2!EI#u_t-S zgST3$xdr&a?tdycZva%pVV^NRsMN{Co8|5VtlzU{BXHl*C`Mz^nAqxex@s(1YT<6T z;!2s?Ywbi^kNpST-00V%8r{~iR+62W!9gE12y`rZbnlw3Ca`2dg?&SLEI)gCfz|@( zWZt7+! z2QY8QetF7w8=JqE{Z9%VUNyJ}#i!+&1gtrWWgl8Z?H=(r2x)9jaW^6@YrlhS9+Dx8 zEgeBl)L7-j-%WvjK~7`~g;{u98xv$eR9=yx7^hu>B8)Ai2!C<64Ac1A;8(hwo6k>U zBa6dOZnrx!lw>7$kr6{uDXAQq3&r_7;wxlOEz9R+Xd_dzc$twV+lbnWg9y=_%TFKz zSUD;~4V-U5hPE-aNO=G<&I2MuGbBHO2vlMPZ`jSkOUTd;&c2G}e_>&2Ze=>}-m1Cy zpqwp7GECM4k=f;ynqNfHQ$WEzy(S=qQrIUoB-)s!y3ZB5Nsi+_xzKUiT;#gs-L6A0Lht_*N6zycf$v$cah z_Rf}kc%?3kGfIF;`U5?F&2;-dB|t2YfMJCMn)vBY#UP`*@hJg+0vXW+0VmL`4JRl8kU27}i@v}CUGxQwK+{y#iHoI=Xaq#L-{@Nyq^hD7=}vk| zsAxsHgq{+(73otEe#La1Jf&A4fo_MV1TI*8yTNU2+Q86F@JOd`D+DxhzuADGZy|Wj z)ER>Lg;82UJj)EuAua3U+=qWrQQ8r_d%inJcQ3BhT9 zUy+81|8t!7Pr{s8l~%g`a_VLkor z%xj@34+!Qd4@8KzH2eg@8-!YkX;>WUU`=>;@Sj=Ji!yYWc?V>uo2f&j4`^q7AVReL zQBOpKXcxp!6_BUv=e>R!n4i8>F14S^Pf=_Ao0>0b0J8I3b}wEpV%{;@A0U=s%*x}^ z_KxHWgHj!K0sqcw@zP>KE#9R5+G?!R~8H@3Wp zYT1SUC)WV4+zfN^7j-CmqIL=F*63p!ff6d*4&8W8Rbu9cLaEov&ePR)z+c!pU=pcDf7) z5Fy&|@)L*W5M2NPw{N(FJ%}V5fE46(%d2C}{z~AonhL+olztya&s7=kIx=79A zdMk;jP0g~3+SEJ&>y>&-Rz_{;=KetY!cMeWT8ad>+E-LaFl6k>gQkjr2GrXdjx=2y z?Q&yFHE(1q-vpDsrrxR{D__r6emz)kuD5CpRst!osgs6G#UTTIESMS)rP3dmA20{d z4w&PGx@z9d=DY`Gzqj71BXi!#=6nlS-&${NAagdjO|Q=7=%d202XBj1a~pl2QUt&t zbL_T)4M811wzvPa)q2hJVYchLVZ`^;TPd>ZJJ_y|fc5_~_Z|RJ)a>Jbw%*)s?rwIj zc?T908%26mQF=!@A|UlBQl$zuu%pavgKVMJ%ov_zFPW@dmwvqaKU~Cih zpN26Qhx}}21$!z){A_{+QxNg9ITTDm#LuQuFa?p+(*pam^M-OP&=i3Fl6u}v6X*RZ zp7(2TlCL|Yke&C7c;4F}>n(>A>CPJ%;k=uJFAlZ`Y#?Z1Y-c(lqOl)g0Ydg$DygRc z=buX0B0;zh2|oaw4;@m>2;WD-Pax}4hm>dt+50LGrgsDO>dG*%yRcteNu>c3rqDEM zn4tLK}$N@ObdEi6~SA z5kH%l#S}#R>_;{+m2S00@MO3eD=DV_QK+z#3Bd`X5JXbRpm~@L@S0^ez|;b8BYzCF z9-e*>8j4Aa?v>v28^bf>8k7dF+dKHZCjVIKuRuERNbs|{E~HCu0QLw1`q>?k1&~Ui zYuuRn$D=N8toZfJUIbH%Vr#QIC)1T~zsAtok0L8fpiD%q;Q!!fFONu=Zl1=_vUlQX zol5;vPzb(``Pr)`3PB_l1+C3~@P(mh&Kn-z*CI+c>OUK`_F!7U#HdwcYitGf^Da!Q zh7}&}*P+(_)ISro4usNkzyprvpAM%%=j9Kk{yBJP2pk$ea?)6uKMW7SeaO#Vh&cg^ z_}P0grXW%ay#|!o%jhY~mVlQ?@uu~Ezn11T) zvVfrmy6SK~1m;ht{_|1yO!yW}J4EO}_#WcF2=mUN{!1}7kNU5~7;M^KD?X>mr$xI8 z&s|tB#y+K@uWdfeXB{QaGGjS5OO!u9H~+%?1u!oPvp)T&vPp^*e=hv|mVa^nB@rlj zDfM5CD3?<*0#9QhEYHE%Rj>dDV^_lmIobghAz({h$7~0ukG6w|pM6Kb6h!=No&i%3 z@w3SVOhLrY<`^)Q-dUP7rT*)X=ML(>31fFr|1B6>#>C@YoJIU>>Hw8N#Lwmcc#1_* zo3k19--c=)g5?9)8y*J7dW<~+j`etMtHH4zV{2e#7{=CtJ3Yo=N8jb#5g>m9G)l6g zT(ZXxn7#j0zQ!14vX9Px0(^b)H^DsYAZYI-CsAl4Ty;2h!u07gCp8cf`J3~fijdm* zTi|Ts&Lm08QT0-ZX>8HLPv<`aK9c#*f*(Gf;`88}k0# zI`uEZ*qg8@3y;19i?T5G4)x!MN8f`*S(vwj`X9u+U9db0^LA7JLzuT0R%Kyr@Ii7S z5`4s3D}EWXh@Z{IVB0~&&!%87#X1+8iNO@>Tx|LUQ>=5bITuVpB(=?(Q~xT2_yP88 z4}(?x`9HyeFvR%<7M>vNZ?NzLV}HORF^qvDWb?!XD>tgV1~WQ|^O;xp2$avfCG-D< z1%-INaMzx~V+G)eJdIah5TMr8)-`}s5Q0@`ywZXkSbK&?b7AcnNR-)Iv7nIp*J2Fr#FZE;rT&c=D`U2bUwSO!XR}dgId&znDJV>_D~ZiS z@f3^r+4K{pAd+h17S#VFR&flRICuXmIF9?i?JcF@%Oi{d%S;Ws~txzp%WcCt{ zDTt(2(vte0M>WmBOC0S2x2v}NQY?Tw=Sz5$-8tE7JSt-SgH2#!O4C2!-ZT>p3U|+x zI@zrzH4A(TbGaix0le&|9)vf!98}gd{UFR2oreeEj+!b7&j9D(Il&8l>Or{I&&7j% z(+|Sa*E~EpApIa1=v+K_dfGwugjcTIdiu?oFmrT#JBgeExDOY^k9V9@F}VVEPbe4$ z-oiL%-)rg=_^?+n96rhk?{J>O?m-13a|=ckjDnkEzlxb`kc@jYCoMZjxO+hv_~=_O zrl0~A92AU&r6*~gNNVAng7NT~regB6nRA(6)WMX4?z0LeMhYerO!AR-i3?hsQ+z!G zE^LXXGLfQNe!-MT!O()KcK9BeKP|eCUBS}}!UZ$f4haGHoX1z6)Sf(gVC@;xc%7Nt zz~b!F*(MW{D;hBWq?Qf3#XYnd3LS6?vkT@pq^#f^=+Nwk=1$Fc9#S@GNd|vnP?{Gs z&MP>VoyB=H|8_iu3*ZzkbVzx@IW&I-W?ckX7dxZ|%laO(E`zMg9a0mr3XaAsm|-Y@ z8HPhyR#VJ^8HNIwVK|IswZ*K(kadGY4rk|3icK6p?RHaPLxcgazA2~RW_acr(5q{I z_PsbmAz;6yU}CW#+ZN*v&Q^UmCYI||^5;#C|kmeGO^ z(SEE2?*+d5?A)9x`Oq~4oi7wT=#V1{mMcqT#P3PrDI~W^x(ga8cqjr;E2)1QLam|& z9T5tqAPQg#qE^9j>VF3huB8QC@ZfqlSm}@>*}?62a3d|~jt4iv!6zMZ6g#*J4{o6a zy`qGj>(g-X89TS5Lu#|*d+_)Rw4e_j2ZyKvaELmZ9sB?fzD5iBkZY4R_y>OX10Z`f3SL)HH{ySEFL%dbkFZrfQ!};=)gEQbE z7&)~FNHGZbzH$LV6sDJf=Pq>rw@auULx@8FqRb(65hC6h*!)#$4UokvhtuU@dL!@; zr~mJ5TZG?8}SCWTLMHYht%f~Y`Yr<1tV*#wB zEdY&XKgp*qIKvT#+U@NQtb9l6{~hZEKbEeE+lBfZ%iow2Pqpd~{qcjTuNA%*?5?ol z%_hJ$5nUz|+fYrH3*YFh0U)S^*lG!NAkfMc7^7=_FNMOB(Q_x(aHK!0s{*V8%P70m8%w8#Z^B zBF)Av-c90t7ivs=HfNs^rp%f=n=P1{GM9am&3H^)cx>!o`q-=`U*a}x609j>>v8Ov z+=tPgmCn!z-Uryqv{bgZ&Ulz^AU3L|N{OqF`O$Ea^sA5!lc`eTa$!C*Tq^yt(F{9m z5J+0ZruNE|Zpf2DxiB{So|wu3SxLSdyw@kXa*_`A(J@7qU5JdG%>(if>~^gDE&*XdyD;OF*8eQl^P0|y!#tR zgoo41x1xapqE;LQyu= z%!{%}jl^J`I?ce=1lwC9BxpYk0AsRk&|KHsQ9aEePUl_sIiKDHwZ_7O<%$UjEj_d;w z`|lWmA=IU?SepQfrcTL;`Jrvc!+1(#LEq*`ED!_to9N6*wMUK~T`^+@yRr{5r+{_G za$**f&UK$t=*5f|15ce=Zl}XavGb4R<;G|X9CyMM%qwj&dTd~`}KkrNvbi4CN;S)?Bg z)Z+KO*kFtFrvY@ljt#ZQ0Og@5eP@CAmw4{npW!x?e!(Q}I{cZB#)ij6z+ERcvLGCT zcL4Wgm0ZM*!S}?vneTjJ(1_3R@QpxYV;#~kHqIggT~3NUy2Ve5#?C^niNH0^;faoIbbuGHT0giYHIB`LCq6uwG(dMv+I}>4 z9^9tW2fd^s{JOayH+FvP!bt3VYae{nV4HRprKyF*qB*gPePnQa*=16dY=4-nuL!on zEOsf>AG^#V!)dfNnqncC;!1}!VJDk@(Xs}_i}vbVQW(1it|azxr7(7#MMlu*iP4jo zId1?RH`>vY9MUv4&myB}v^^eJ3I}d=NHdl@nnpWdF1Rbjz+I_1%N;|b9WfWC4`cT^ zqy@``WwMCDoIxQLFf+;`RFHuYff6TkLn%=6^ute>$W?4D3IGyYa;SfvkTW(lNS}2GA)M zcJobfXltCfVjVx%e@aOCg3^e6L18*hq)tnx zl|yLw2EG+REIeL8U$pc4o{YCLnD=~_~6Owb1b298w?6k4S)inAg+OjPWwsS}? z)@!e!fm85Q;A^1j`Pd}kF6wkaTXhA=ZVow(b(CMQqx6KVUJmKaI!cOsQp5B?`MyxN zpF{dE`M*$pAY=`4NMDV7^Z4~boik8=2oxUbkbX@5Ka?L1StA_MUnAc#AwL@B$3Wo< zhYSFD>PPwUkTt;}1KB0h0WAR^+0-*>+AyJM3Tm1PV$&Qlh-nI=rWuem(;=s)lQd1T zp<&B^RPR zeDHGMgV!)7@1Q(<@N(dT*O|-`=>F*}hF^~79tX~i7P%Ilr*${Y+Bwb=uvq#{7;c*U z&gCr4b#8HPRbL_+=Qy|1KrgiTo$yFJYA$uyVp%%RSq8gfanBFuUK;3wMee78{uq0Z z?`fnXf$xQB*_|tlL5x{xKBG9<$`m3ZP>GY2LFdT zkMYS$XN^U!hdo|VV7)~aTjT~BI0MBt(!g+xfr|`V&sm#&44pxp%_#hoMQ(&GU{UyK zi`-<9n`vMaiabvP6&QQbB1>oh{qmewXaIfboY!ao9ps!hXaJqsoNY9Kj%d!?7P*B6 z(DlrDj|R{;%-I2NploiBI=j%S@6!Ofb~$@20&RQ|*7*SqT#B)eEOM(wZli%KF#VZD zpoy-+#1}Mh4aUBrf$K5$4Gr9kvF|K$hehs$9jy6lzVnks?y|_;7J*$R?!vSF9X7hg zgMY&Ix!gg-`J3&L0M*=Mk$Ww29}O(!>I!`px!)oW(7^4O4$;6}7|XH9gEVjtCL%O& zKgK8xEXP>BMV8aRN=(Eovce({!OOF}WvGj}<#k*9ERT@;o> zRt<-Yh%U9rN_d-ycMn>4IQJAOJVIo$`E6QwByaJ;qb%|;4LpJz$I!rI7(0#z)?us; z4LpvqdNl9^#^A=X8DlVscBIOjyrw#V-59Z(0Ao{!jAYpL5xWIswRFfRhP}#1j!?CY zVH3W5WpzT=NvP{&5NzX+(M(q()CG5pLbzj$VY~`LIg`H?%E7EqMv?*-{4<&`& zpd`Emo4;)E&(DQD9a2%)iw2%WeZ48|j{4w^Q3!X8v4y=X0yCH|;PF9}_Qm6a;rJO2 z8OM&Vrh%97_?eUr!{a01_(+G0XUAdQ^EEsUi(|*&arin?2wz7gu;XiJ;7vRZ3$Z8T z@hNb8Dr}y};NHfA(5y)KakSU;$tVDt9Xy79(wwMM! z#n_FME=GZyL12kPrm{+4%&N4=;}+RK=^gxGxe(q13gJCq8haAhXptu@vdJP(!Vb%* z`vKTt8Dq;~hh>Z@7gKzd9xjxP_oS*m-r|@YUqM^=a3T9zSojFAJ?fCN*+X37@>Oa~ z+*S+MpwLW9(InY_$lC^3Pc0SxT3qt>HI03g33fOlIrn zC|!ZM+aY&{LuRqu=P7*%b9Y1T9*4|kxi44*ZVNA2+`-vIyTiCA}^S-BaC4HFX{RkEOWK&ozGAA~V(ns(z{|$0~cgQ*L z1VA6df`38Q-wv6}O0C6G|3TIPhsf@jOA^}iaF#0R{lkfTT}#Fb7QOow#dfVA+SX@#>!~`KfD&z zv_%XBy#^Tp$5I_7B9b=ITsVnd}y#>|uhCRM9*4HBY zEb@UxKC}qjtUtEMCl>h>w%A4~ShDsh#)i>g5yB0(2;5&kx5$2rd|?sjANx?(Sa$1u z0yfb`i3v1_--L=L(jb1;DVl7NuW0aaR0J>JU(?``n3!RaZ)osnOw5KI?NKMZK*Oio zTJlcAFV>``*6{&?7M;s)HAV2qTLh21^VzNDCF}?DA!~s{7O-2*cWGBXziqKq+X+>d zqAGCeDgvjjibI2kn6+8}&yN(9yVyu$V&*^^Fbv8h;jSjh* z4eCGAU_(5|k3wxSP_y`CX_8CRvJL zlH~?=5Z|$iKBDwLJO~S+ieMqsjqG4&l-y7Ae0cCnIQW%AZepkU6AgC52)4W1dP!kj!>X6%*g5Q%nf6_W-SQ#xle{n5-Zz_g4jAEF>xSidb z{(#rC_yjip?gd*CwI4YHJ}ML+%U;v8$Jiuk@$tFE#}(Isedf7)aB)4w8xW`J(_XLG z#AsrIIz9nkd_n|NHOO!Vu+lnl2959xV1zA(5%vyt27kc@_lVHKB7a-tADY*kkHf_$ zLMfQzypzoWC04k$Pg+KuP<#p>7m7~>k+u%G3&w@KQ}|_8+ySz{Z}4t5F2Gm7R7JR4 zI-#g5DuO55;_ePv#uRlyMZF;FG>6>76#ZwB12nHYa`uM<0~~TMpTCyFVPdg!()pi` z+Tl627@lMAW7_+m_F<59rbF%r?eOYM{=?oh%JTUvAMCCZfbGXIYaESC$9iEDACG-% zB5X;HM<-KwBV}9S7EiT&L7LZ}kAcP0LHi7cJOGavz7WkDfC}b7?l}&5kmZJH-ayQS zVY(QG>E$dphvp5!TzG&jh6mUcEZ3rWr(-UR!^JQTKcqHM(jw%fbH5VL{VEVyaI$k^lQ~3(U`Yl;oG3_?GAZ_ z6;4?&*)TELQ+yYehB3Yv#`s5drJE#l--o5|htdx?WVNnzVj`w^1(tpYO0RUtW4h9E z!k896f~CQkwiujg*Jw&NN<0l0uf@{qp!9l&tYw|*ckEPf?C9&G!{$R_ObYTEDbli zVz}9DWz}`U>VAQ&UmfzauDYaN{|74g6H5Q(kY|{J-lzcXfyHnSd{(C*(fvw%TtNvu z=a;~9{&O1MCJFnNgt0VyLnwi72+yb6~dPyPbEP~R-4tWW57W|Al4}q*QhrFygg=UGtrUbtYlpG4B4|B*Xnp0@jL|)4! z_;H{FZmcD6V|^8>vvHcWu zDBa&7@39jX{b&$mo$iqB?8FoA8>v33iRK)FnudbdFo*15n$AWOj)1I@4%x}>vfx0I z(gRy0b)X8=F%|^IIb;{pF&A~51z8gv^1h}SS~N*O;HQHU_;gSLpAL321?Qsz_;gSL zpAPou6eQUiza5mE1EuFWWG_>&02RO;x&-df`*aGD%s-!hp)Y|ucM06NKVS+jwJ(Eb zgA(|7P;!}V!}o&^+1tlt{uEJiB@Ir;*diLl8Rn8}Xz)DDyAFPw1TTQaxC3tD2P4j= zB{%ZP(UO~JZ~+!yLhXe%`>BwUrBKKZ3U(dl4eQWK;=EMt#iczYIn0p}~b1 zyN?DJVeA3$?wk!j+d77pEQfbjI;bsRX(@5yUQlp_euUJTLL%D61Zu8!X9VxXz*dI z{F$`A)iSAXJ%^(3a#jK_XP-jD1aZQ+2UU$f6?0TwqFmC3Sn}FVi z8sO!w1YYhwXVBmoc2FhC*V~fqs03aDOW-ANKT}dbgOzyZdn}(#gBvmNf#r+Q;FFm6 z*z!5lzLCEVmwX1opF89W)+27lUJY-5CGht5CF>DeP~=<7R|vm#gWV1m#O7n{C-~JH zjKOF3LN-Gm|LqbH(vsi!ynM;;mam8gpFwSZ!B6R6C-{d3U&OrsXz&#tD<$x=Is9m; zAAU-Qt1k`0&*oq(oZc{rYrWLsS6XRqLAW&HkgwR4b|>%VrFoE*?~t!GmukzzwO$(I zCM|WKbfH7OVLbvTr%Ov{@LdEvga&tEtegh-V5}w$et@yVXb|UvOOK$zFEQ^(8vF)h zwQ2B2j2%ORzhLY*8pL_T(mFKw59ZaQAs@z0prJ6v8qyF>nUyxCAsh3W(oiwRn$u7@ z##++Q;TUU8LpXI*dJ+vCi+OEms1C+XrJ)8GYez#(F$Obbzo4Nz(NHVQ>q0{~@le{0 zhH!_a(jGL_1&{WkA$J|8XAhRfiyH6W2eJ!xnUh=(9jsngWtjY z4fD>Vp$V8bf`;(>Tj?koIvexG(9mp*jisS;F*crt=40$E8oCr?lW6Elj7^~-9HvUA z(a?>UH=Tx-Vr(W2;o9}m*)()7=AA=B4`6H_4XwZ!_&cTCqgp1OHA*kQUUMPzn)wd- zmW>DSTn4`WW8t^B**NCnY%a%y(u+~cC7|U}hkVB_=VBVdZ&{@aX=p8?Tt!28iI-kY zLmM&gS{ix^W7kt(F&gp)8hRS@Zla;*Ft&t-UdGr`8hQg`x6#mB7`uapL>Iq{hIU}y zG8%dxWB1a~UX0yOLmy)7K^poDV=HLr3yiI#p|3HviiW+0x0Z%P zw_i^~|6$(aG%ULPMj8%c-Xa1D&TMeRrUELG_{Q0!fY{K$$Oh6i_0dlMdn zSC~?Gh54xvTu8%{DyEEsUxY8+3!ho7#iif~POMUJ_l__pbNJz_u@lZoafyvPmJg(* zAD4ate&nU#8Ruu)#h@!cMEq>u7feCK&$fTT6h!=NBNj|S#LxC)!4yRNY&#W9LB!8? zRlyWQ{A@!KOhLrYHs!(;L;`$OVh(QC3tvGY4HO~`F-QZ4NJ9+LKqAr*gEX*+G{hhc zG$IW#NCS^ZLk!YDB+?LrG%$%Y#2^h+BF*{Ox+YAsSb(o@5^2uIHVYPM&c}935^2uI zRwIct=VSZ&iZthA>yAX4^RbN#MVj-mtqet)^RcBvBF*{Oav)5zSb(nq5^2uI_M;PN z&c}A76KT%J*3XDE=VQCli8SY9dw+>E=VL2lM4I!l{l7$-^RXSkM4I!lZJsdAVu40% zlNyocd~8|2NOL~6M~z5xKDH~9NOL~650Xf8KDLFCNOL~63z0~3KDNP-NOL~6HIPVi zKDKEBrdce|h;7v)(wvWNxFgb>k8L6&(wvWNBO}tBk8LC)(wvWNB_q-4CnYKuCKDN#g(<~Nf#FlD{H0NWBwMClqv4w>q z&H31ZZIR}DYzd)Ab3V4}S)@51TP!HjoR6&(6lu=KR_=;4=VNR4FwJ6tMr?_yNOL~6 z_D-ZZA6qpl(wvVi?G$Ow$5y$CH0NVW(L|c_u?1iv&H308Fp=hbY!R49b3V4f3)3tX zXvA(8BF*{O4MU_kAG>9UH0NVC4Uy)2?6x7&oR8f&M4I!lTZc$;ECy-G$6}C{d@KfO$;V=lmV7K0Xe{p^JO*jW$6}C{d@KfO z$;V=lmiA*YNJ~BzgS6yhF-S{37K60pV=+ieKE9nqV|oAJu|Q*a|KKr5OFkBZwB%zk zNJ~BzgS6yhF-S{37K60pV=+ieJ{E(t9N7?l>Ix#wl#crU{yD)YWjjqMm5*mFCV@qk?V2s^H^A=$2 z4w|;MIVeC~(8)EErO7En1F)iSsav#RtqVz$Gy+i5KSPooN zUd7lBnpc8HchS6KF}9oLwZNl$XweL(YiVC*BBHydN0(7Y=!_8HB)1!MbZ-U^I; zN%LO8*w-{~FUG#5dEa2{dzy#4z?{+oL>}7W{#EQEFqo<$2Ub>y{T# z`)E8Gqjocl6;itm#)_$pJ)*pn+Cwm}jM`%`R)gBpFm@=l=V0t`YM+O(TGXD8v7@Mc z3GZR$M^pP+Zu|0MseK*Bj;HqZ7^_R|#Tctk?He%GfZ9t@wh^_LVO|qzW1E*ZqxK5S zgNwVc_Lzzp71Jlc=Ye?@Jw{F*HD+XOA8C=iQW<|1xk0~psWDhg_sfcM6o{|P!J-`! zRpgYzW_>qk)c35IIezMxE+eOmnN%^Oc8{r3C(fF7V$zi_CSHljy#GWIk^=ChS#TFw^m{QSe*5pwY(?yQc1Ag3k(!h~m zZ73l!ZRGFGwr8k-jX`IC=StTpQ!1wOAF!@~WTfBJS<^>Xbeh1lmcgzBY@x)!2{R^u zlD1Q(Or6PpFdGWmu<~){jG5C%PD7oLRnQM=9NBfsBw%5`Xa;`wJO}VeE#fCZ_zM%u zH;KS%Lvj*qWe2MVNgE!XLQdsjTM@PsVS5pFU?F^mh6UlgTxZgShh0V3O@!S=*h7Rp zMc7M(r;*;oSKa|+{iF}n-!~=QPn7E~!T};2NCru{(?M=k z{NX9-5lQKhP~WJO^yrlIn3QxyN_uQknw|H!l=S$dG^=j{Ig8tOqTrn*(vy?QF+Een z@u^AqOn#auf3^sxi*hrP$}#zwNogiGOO%_Pl+Vh|NlBk0%FPwwJQ1EN!t+wfpD*$+ z5aER>$LEXu1tPpigcm2Z^CiG>X-fLC6g`&m5n*1tB2{3k@XNu1Y{BHS#(r^N9sBHSwSpBCXWB78PQ|8ptn=Tp)z2)P$U_>u@; z7U3%*d{u<6iSTt1z9GUlMYv7yy_HlCyI$TF`R|Bw?~3p}k-uGpJ4Cos9N#6v_eHo{ zgnLA|SA_e7+y^54p$I<`;m6|mCnEe*2JyL|DL^xW6V?M7T(lyIO?Ti11nwUMIrqMYvdmH;C{?q30$M z-Ymi;BD_U}OGS9A2yYYN?LyBTBD_CIuWiHVWkKk7vTmGZWQ4YEDSCtxxP)l zCyBqjBa8~?ksx6kb=QBw_c9?p>ei|Bz1Fkd3nWx;^%~#Xbqam&)~)pItn}@x^nKj3 zZk>9SzRw5MTMYt%a`ti)CBA=&ljGZ(q za-{D|-&gQ11GSNkzOM->?+Wpd4_=gPfC9G)Q>Y)Zhx$=M{p1D(9v-Gpzj}dMpjhfR z3H7@h)F0+ho-Cz8{VAdTa)bKY9O_>Wmhu%SmikXZ9dLnSKS6EUe4e1}WGKHc4(0c| zK?RJV{2?!viYZWG36HJXuPG%9T(NHz;rotk&jp6iZPFmFEVPZw%$Py)<8; z0u_@`jvG{=F_gFFQ=y6^RIwXWi7}M_5HFT0QY=*_p~~H$z$>m=`;-b*Q$ii;1_j=D zhEV<^yjZGOu~aPyb)*~AQN~c-`jiS)TS6V}26c=vls8M2D3&@_LLKJ@b-X!LT`$eI zP=Tr^q3XLqonQ{-={BQ6HIPsZ-Jlv7L;1Wsy7(3;mTD}anz%uMpSbDO=c)NrsAdwX zxf@gqb0|;Er$V)qP_5jcTAM?8veas&`A(EjC%HkLY!2ngQYus%33ZAa)T!oBo-Fm4 zVyU(gs+}7YEOapK=$KrOH9OVX(VtZ;)lWk8cY_*G%}~!NPy;2@ zAUCMf&7scl^5~*M4Uteo-Jph`S+tyF8DdR}S1(GqHm8x-vOVhZKy zW%NY_YOI7B=LQ8EhnPZn8WLVope9JDv)rI2Rx{Mg3e+SCHQ5bniaC_0H{@3osHqZa zni~`>OEhghPcNgdDp1oU)C@PMnbi#SngTUTLd|xAn)5$}I!8jyb%UB$%}}o^mO58L zo#zI1zB$x|UPkn{6{z_VYJnTnMdnbRo~PbXpe~kBm$*T}Vqep%?{Y7eQlYMpPz&9l zVBN7Pl&2A0g}O>YEpmgp`hN;_jfA?^4eB~`sKs8IZRyU~Isu^mpVyW9D)E#b6cbY?aYQ7H@sJkT8-EL6J%%MCr zp9*!4gu2%a>b`1*`dG2l{SxW{Hz?Q$#&q!X)O?>RP|GFM3OA^Ssu}7t1!|>)de{wW zl{u8BKJ}#n^@xOe)D3F2Ih3d7`%!^Zo6v~sO zeo`#;xP;o^1_ir`R4dfa3e*!4YLgoj>{?_B<*E7pRG>CXsHfbZU|%FtC{NAzj{>z- zLOtyU1=}l`LV2>33iYgndd>~%`D%tbpjhe!3H72I)JxS1g+5pRUG`bKhaVr>n12 z=~LfJs2|**eynCo9il+}B%ywGgZibKp~@7fUnSIUZcx9QL;dOHDWP0}`b$Fn?FI$A zR#t0Auc1KwE1~{#gF0Xi<;hYtlc5464i)gZKn48fP@b;7LlvligbKPrg^ZyBIbQnI z$qJMup>o}zV5>*NJ{6!|pe89$c@iq$4XVHx%9Br?{{jWdmQXP_D90EoP~^o@DpawA zDsh7k?d2A-TG7$ zV<>N{))Z%nKvN0T%nho!Ig}TNW}-s1kWek%pjw$jd0MrmcyI(-OQ;jwpiVM}@?SPJk#trHeW2it|FCBfm($U*VsP=A99n7IRd4bxkKuM3-fSX4w`yFS~A)&h$DCK)! zpog?nPd7{TGKTWB8iahLSn4zh)!PlKPc=g+A0Y#MB~(8*sQ%Rq^@(Ds0TODU8`L0k zsNr7vl=5XXaJqyV>;`p)F;rlv7pVP;rG`nUGu@zu8$3HC{KM#g_0hz z0XL6W_UrVfP+pu*$v29nq(^MP%_BBY1&>%ymQtalM{K~&BQ{XY9oUutf#k`?-VHM5gTyxhz(S;M=VjHq(^MP%_BBo?hzZf(93N`g_T)-zE6kz1_$3g<0Vl9fLS5+wb(JxcrN+>5>y4oTH+Z@FRHz#z)J<+sHycBFo8VBPmPn{u z+@O{kLwVY*g#4=v3Aak9+uWdTH;3}{l%P1^1n!Vfce+8{^*@EWTS6^!gSy8Y%9H25 z;uRFQS3=$A26ewVl$U38p9=MWgnG~oYPmU-mxsI*s1*|GAvdU%=1`uR&#zp44@;<3 zZcvYyLwOm|eYpzMqY`Sh8`NXwP+p!AQlQpIsI_iT>&&6Nj8iF4>m^jB8`R_GP@X!v z+I$-%)J8X`C(NNdt%OveHc6-_-Jmv`LwUOTB1%VpNg8&Na+E&xiiCRA4eGUOhALE`UYAgB zxIw*H%}|O@USOMqddm&!?P`WneDVVCNT_$+px&!yD8(l)uw6p!aD&=e%}|O@USOAm zdfyFdw=tC8i~p2Q@yQG9kx+Zxp!OL@Hyje=|$qRfep+0eg z`qUW8o23+=yufD?>T@@!{pL_!K5YArR|d~7B$V53x`D5Zp*+pHq(FTwp}ujm)VIb^ zo+da_puUq(-@8HmU<~Ey+l;TS(tJNksGr=Rel~})JZ@2eszQT%^b?pD^_d8 zQol>6Kir`HG>7u^;-ErF-{F9p?{J_BzQbN7_kC>?OG)41fSd1dpbEajo}f-mh6+mG z;h>xEaIgx#!(QgmeJYgn9S*wr4hPMBhrJC6Z52y};~hPiTCRyB9@b_!Ikgo?O9 zMa`i+%_X!~ps0k(bA!q^hw?P*(n*0TkWjW8RP28We_WC{I`45XDl`%R1=h zWgRs4vJQIjvi6Noprn^|(9O#_Xzpe0$pObVN`X35Hs4`x&3CwY^Ld&_AEQ7WA)#uy zK^231*(;VYV8Jf zVl_j}Q=m?gP$#=VwW(&Pa}}slB-E*HP;ILj>O2KX`lAQk{LzEv{^;I@1Z6Q#u%on8 zCpSxVu4YRqi+O@wBve;7sBYB^rL4sYc9&2++@N|^Gt_*gPxX>er@2A(u4X9ZH;jUP zBvfBFsD9N9b&+DJ{t{|{8`QvRhPqgR8YH1kcY_*i4CQThScN)6LJe_)8fpyXZJfG9 zv6S@O54w5o2hBbAy+K{7K#h==8tG=KQO1_?)_f||XbCmO4XVNz%A2JwQ!F)BLXC5S z8gCADmX{~byA`O35^9ng)MRt0sUD#GwH2so66$O>sOjcVGrd3^tw2eC^q`wRdeGb- zJvcXesCm*-=ek+yJabE3kUi9e5^BC1)BiZp{{a+T4W66$)Py~>S_sfjT_Xp|5K>zB-Hh8P>YSBf;W2U z=xX!bB%yA0gIZz?74S0WnbLf>NT{W5P`4UG1#kCaDdod zW~ndDE#;}Bt59D_sIT3izA=aT&Woi~2b}LE)DLb@Kbk{%8mCmKpCr`JZcx9NL;dE( zQXP~LU3xDD-Mklr=H81zPgkD`^_R5N-)@%r$J|o?d9hSS#Zm|2P$A+174jKFg#uYa zg@O_)5~_7^T`XD`{af4vWLo-mMUFjrOP|dwS^--W&NT`->P_4|NPV@rRSAmlLQz1A1sgSwEbB-gkxqHB3UC=>|32 z7%DW zgCpeT!4Wd|;0Rss1!}AUwOCr}1~*IHXl|*Sy+A1|j6zE!)GcmMOU|!gu34i>H%{oPt%JN6{rU# z)N(hd73NSYy)>Wlb3q}w`&-Cu_qUMw?r)*hUZAEbmU>KDYK@zv)*4$X=;<4>3MD-_ zLT(-$A#)Fokf-KTp&pl(+TdoXjmDM=ZSvB5)0F0WQbKKZgL=vw%9Ev3s4Ws|s~gnQ z#!%k8f>fwyB-FERP|q1dguykQ7(;oR zh*hE9lu+B;px!cv+Md0oqz6aH&4VLU1rH8Sa|x=Y-jkNv?q;bS=9cpG4OxZSDWP_` zLA`Gd<>?Jsh1xBl_P9aqHHY%_4OxZSC!s!YgZj`ID)h0Jj;^fO4t*k_K6Qio%oxhk zrdWOz>T?OT-wo;ub0{yn0Hi>DDWSe{gZkPW%F`RNYN>A|)VFR>-x))Nylk}QR~Fod z6uJ%92pIZcq_(C{IrbDpXWLQ8%bOb0|+w2`ZHI3JSY<1%=JMg2JA>f|Ttp z!qO`!?B*2|Hunnh2BmCw5iXIS`$C~2UK?b(@wy>h}GlG~>}v`+_ahpriF=w(_%H(5jX z@%m+e9;DZqZWi7lxLNuqLqpdH_vba79v(~r zej2jA$*ow#g?qt3Xc&jVudT%$uSM#vE(c` zA9i&@g=3(y3iun#E1VdfBr2Rqa^OEg@>zvt!Lm?Uctd!$zS=HWQdXNEo*bUT&o@6j zC43IAHa|R-Rm(Jnr$LOJOn&%mh%tlaho?g<%%RG{;mH6r1^%YO-!%9;8~&zqz`6Pk zbiN)ey#vi-_K0_&d0gcM;R{9c4i(KC!E@dazFe=iDQAwz^NoZT2s1~*tO91{NcbX% zvGa|DFNRpWrRVd?V?hwHp|B0bY$(8b@)i1XyGjpXbZ(2nSL-{_^?Ie)bCWwz{LCzQ zW)_}VyaOej2b{y=@C{&JcC922@k{izDMLK*`ARhRhjrnl2EvK^gRd-nYZ}42@a+!+ zQu<9Sg&=%K_)a0*9{MjEEbTh$!gs$2x5CH6_pvC036ytY{}Nv`?XHLlZP7J+;rqi6 zXcR6-g)2ef@+vBPIJ`=u@KIE_1{6M8MTKj_>qPfYx}UBOFMUjFu(V!BI{Hch2=CA9 z#g#=m@(x`Yeq8jvgz6gW!?zo&hOsh!v7$=f5!%+>XwvX2M5mg*TfC9~FK| zv|8e_SQp-ECVaHE=4Z@=kI@Q0XC{2CR`>-o;p4QzFPRA+uN8j9Ot_BL+OL@j*VWei zhM90ZZOz-vgzIZ-e%nm=1g-G9X2K1$!rRS+8)}7jnh7`33cqhA+*m8T$4t11R(PM8 za8qqNe`qG$Oe_4cnQ(Kh@TX?NEwtzSxtVaw@P0Xl`T4yu1h<M|7MJxQPneeGv;or@K+iH8*pJtt;omTj7 zGvW4H;eXA9J7|RumJBKH5z9bgl5QX2OHD!pEBlpP?15YbHEI zD_q}9c&Jvmftm0yt#BhV;WM?uP0WOcYdc9ZGvN{1np>C&kJJjcG7}!96+Y2Sc(hjd zWHaG0TH#a7ge$bdZOw$oYK7aI36IkXcQg|ouNCfWCOkna+|^9@EUj>NGvSF^;htu~ zleEI8nF&wU3imM+o}#@Z`-}*-ZF8Z711c z)=BQy3O{Wo{D4;YSu^1WwZhMv2`|?Qzi1}BLM!~Tneane;aAOsS89b{HxqtXEBvOJ z@G9+d*jr}8k7#Rt$4vN9ZQp*+tZ%Q@*1W^4=Et@gTD${(za(>dQd@c)>KkHS#kcG-$ z^eSJ@;7~pzhdX*n% zq0+Bc`Dqp^1A1G2Zmcrx>al`WNZxyJIa0XJ;p@X+8mnYWE);)cE38-fbrvdf^eVs2 zLZziw`F$2DbM-2J%tB>Eukzt2{glm9_LLYh|JGNc~83l(EY6N7keClt&w@OmFepdX>j! zq4H?G%Hy+8d5m6V-7Hidt5;b+3zf&|RW`^%v|j8&!& zZYSzh4#+~~N%|8XWUMlMygXU2a&Q(Z+vrse$wK8RdX>YnPM1WaR+)a{{q&PMml~@~|B}*QPkFhq%5=&BdX)>a zP&rVq@~SLU4$`Zzn6VW0mR6GgzmBaNa@5n;s2z^(-%UEUlH9k_Wa#m8~ z<%3zMtkA1mk%h{!dX+1)P&rPoa#a>8$LqWLqsA)J$IA(Nm5*hi@+`f|wOOc~s8_i@ z3zd`fDj&~6o3zbv#DmQ1La+TUnNE4WUgfSVR9>K0xjPG$7wT2+%|hjTy~+== zP`N;_@}n$NUZhv~Nfs(E)~oz13ze7XRqoG1<)wO+UuL25GQG;Ly{jZAT9?aB3FBMJ zud@~!Y#9tY7@llhsS#deBy3%6T_gNcmyle-wzKZM#=5D}x}_HcYpgrgSof^49t>1k zE7w@7i>$}$Ra%cql}DKiXODLfzTAR_sFE*}9JHLd~6DbN!HQLk8?H4a`4@ zy?(th|DAz(osM~G8uLF|=H7fS$v89LNZ9&0jh&pLTft-fXS9NcwABgc5;Ng;T4BGL zaC@zA&`h|4Ryb@X+&MQVy?5v4CYmbw*Bnyz>m*&Z!cjBfZd&0yGvV%9;Q}+^9$Mj; znQ%|7aG{xSFRgH~neb`3u&;K?uIcM?%M65Z21-D9 zsFt9rBY)sJT~mHc$e>6Sdb$S2N*B+M2tY2~XCZdrt%5q-$h~7XLH@C2;OjwFG?(gp;m~ zX<8ffGf)BqXKRHAmquR>^r)Q*xQM6)rGW!fvFOYi%*#KnVz6p&f-UG80~?6~4qw_)4wtWoE)x zX@##a6JDe>*p+6&S8JQhWbP^H!nsCEaE%$kwc2yP&P@0^ZOx0#gs;~M-)JVhSSx(9 zneYu-;akjvZ`2CkY9@S>R`_-^;hVL>cbW+=(Y7=HA+v-2AR4(vTk}0;H80iHe4m-{ ztyDUT#7y`uZBwl_6TVwp^BObZWm@5+ zNny8{#(T8bm1Z^Hs}AF%w>;6@JZ3_z|t}8)m|fYK6C%39r@)zilS`m{#~* zGvPH_;q7L^Yqi2V&4kx!h2J+5UauA2Vn$D*3BwN}ks$`KM}1UeMa&KLaIj!Mvyw zju129m$bruGvSxD!a+0PSG2-mGvQaY!j_rvYg*xmnegjcVQMD)hE_P=O!!T$ux%#1 zO*cqH95dm!bbUNhWFVY0xA3->pu|84^znDJ=YEKp@Vi>!ax>xgwAeMxgtu#j4>J?q zp%p&DOn9gEoR2gU-lY|;Z6^G_R`?h*;oVx{988w8Blzgg@4vb8|D{Pqe}<&4fSIVz)LE{!A-;l9}-5TI@Dv!uz$t zrTH!8c!ry3xyO{}ps}=5HCj6aNxR;sm_gdlJ zX2L&cZ?%05gp(d@f7G^je*-0OtNlrfKhRA0XKl@=n+gA-t@#Wy;a|1FL(PPL(+Zzy zCj7frc!ZhoAG*(V5tC2U*xr$m&}4sUm6&|0PEzu>_B0GXS^uN$wkDsflb#U&)e@L| zx&|BkrxiB*WPL!3Z}Q1Hf$t+)0+UbI#21+!Zh{`0;P`DbN9@28=>6T3d4EUd)Xf3s z?@f`pg9{_`V!m7LV;_%PP#IaUHF7cJuZdhy8Ck@W*HlIp*R|BL^H}vxJy}8>(I<4Z%*yO z6`9MXoZ6_&CDSc7F>~P*wc|6Fyt&`j$SswT+bbh?!IBJEaIr2i^2-GmzFPxp#Da?> z;OY(s)<*7z#S4CRoyQkk1fIYJ7h;cbw#BX4Mgw;2c% zC%i0tj}Wea#dR>olOyU3?(s~Wb>X{TBn5Rgk;a4JLF=9M;k)ZT`ydI|eHNDV`=Deg zsR?BR<(=T)JQDbal;#kBhn&cJnOsbc5VsB|ydq%))!-&ARrMRrDZiDgYIVGScZB$LT&A|JqGMV&Q~Pit02_E$!}N<3uPQ|#H9 zZ`( z7k~mb9I_$PhI9EX^1C>fOT@W!ayyr)_PLqi@LVb*|7jqnCP8+J{2?GaMgAnXPO_5< z`3C_0DIpI;2}1hk0%VvF-?McpBink`tBmGuh(_xLHb?U+qp=4`Zm;^4(c&%e@Ut~q zY9F5b%uVLo?J}ZA%j;A|Yt{gCom}Pz&uDp~LAjyci11HOqQ z6wizwI6!jLOn;8`0~9Xr3>N`DKg{QSuMMP1NjO?JS`RLjAgLLxPuf5Y3yBpy0rEn= z8KhRU0p!8EukYEYFIW3yhlz+J1y$>n z3vAO~xxj0$TwvZS7nt|Tre?hoD*u0@S1wR`Wi#0;o6BC=LiWm*=Do62d*`lXZM$^6_ zT$Q5jtW>u4Rnd0!!L)U#inePHrmbUDv|WENZJny3?S_MC>s*yKy(vXoS`X<`6>Uon zrmbsL&U9&twzMA7ttxDHrf5q$)9zJaTb80Njjcyj*d9pHmd4hzDs0PBw5752stVi6 z6m4m2r&UGUs)K3kT@`I>52me8RkS^RFl~LK{lxh8E$p4b-ncfx6WIXqaF+aR^rW46 zPBl6hgoY@Oich-SY9q>{;*)mIjL%#VHXR+GyeCiE<1&_vrY)0vlAk+l=fF-X{%k}x zW_mUn5pE|e(G#A}m{1vfJ*Wfq2RB>mU^+Z8TbX)KO0KCaI#RqTlto9e*BP;Y3S`@m z0WUDo(OR2VXl%auU^XA8*nG3G%{Lp{d~=m-zPV~PAMf4f$u*TlCrF#0m2C3~VDq!M z%_l}DVe9%DK|g0Nz&}Hmomz8abf%xcTL2_x!+S7$nNm!;#h#M6%gssdoLlV7Gx+?C zXRfL^MlQ*yS-n8E*wZtXjMh>ZVq{_FqG?yi7T#L;8ve7qLVk`;hL>;l81^&2R^qSW z>^%Yw#os07d2c(c7q85+=()1(&P#5)bD{0d<861oyk4e2%dso7bz(~=Xt?>Xk5io* zPeiXw-V-UhXk+wRAK4nc{)yt+x8zrL{bfYy@xraZ?&Fk{UvsQM>FL7-1t%R$hvIdTA z(bA;3x)^!R9+r88n3E*Or)Duu{|>ow?*wYRQqA zOR||I^*Q9Z7hL(!^q|K~Gw z|JO5j|JP;r-{!shW4-b2|Ca3jZzp&Ex1jsK&Ab0QhTVT>rtZHdbN5e1G3fq#qx-~@ z?OyoO;D_no``I7}e;>l%$I8>foA${Whaz<>eACYCdZc-pebeq|thjB)lF?f7Rqsu^ z42lW&tmx-(Cgb4mi?lP^W_(854t7TBgVi?UGumbwozXV+j1oJo@H@*5vR{&X>TNMrCF_DPvdk!tW#+fF`_9d@tGvdS01 z9d5lj&EPw#X7IlbX7C+ucegZyC)Zv-`nNRrKgkCF8w~yrH~7CU1`lcszOyO@FR+t+ zN6AiOgYT@8!FN{8;Qt-W;5+RRnL9?B!INvRA3YE^I3>vjKR|5AfQc^ZlLmiJ3@OXx zkOH4~(k5GWRmI>rf#eRp%h=w#s$}n7N%meQN0en5MilBln7wzoz22wUJGu7yG$8FA zOtLp*+ce1S9g_A=8^SAeL-_72hVb3SL-_704dJ_!41Vw-oQ4l(@ZB!%AL&DQa_#kL zjx@NHZ15Z~xWx^g>tb--5Wc4>9Xw?S-(%dv_f)Be@2Q%>BL_41o+=ID$+g#~QE70R zZ15-;oN|NbxfonGgzv42!Bd9ty~YOLTP1_JYxq*xvi9Wbb`R_BI&8orBqXUzLXNUPm2Pj336_9+>y#NYjuNd+kGvLIzKw42%sqb{Ez zlsO1cocY-_a&zibfG-*&7iHv5)tq^DgF-(@b_!&AugIu3?X$BlnrJ}ZG}%?AWqM+) z@4c%e)dXGT1ld&@BzKh)psO_CU8SMyDzA&7Wod@4LhUx0PgvAftH7ha;E5i_V8&B0?h`WROXi}eAD*M#ZOns`6 z_db2%E|Gho^;nef%p%Q zdc+TY9to%1kW7biXp0R+0-N4^Nl zpfPUwrl7YRI_O|-`5fq=XK>4RCl(z7c|p>W97cyi9=m4O=~`o$Y>kvoJ3QUo;?f?O z-acuUwgZa}46W_By-8-*X@Ag}&QUt;DA{R8yLH+z(oC~Or(Lgh+Og?IaqqO_jXG^% zj9hML$3nNM8fnM>Uwdt#(rYKkUVE18wG(Bpog{kgdR?!be6Z%2TGi$_+o(B;%$uX= z|NZ7DQkrABY>pYSIcCb{nB~?Svt@J46<5aw_3Ah$y*cQ-t#n=`JwJVz5u^HrMlDht zBbOOoAjNJzj+zVPB+{Mqs`9X2Um3!2^w$jQuoQ?rdZave6e}$w-5bu@dpI_PaKvDnF1 zBF9~J%eqpY+g1GB08n=xi{yE9g7aXHUN!l7&};ORY&o7>U*#+1RiaN-O`ZB{GpWDc zM19%8)GwCm2f@Pvqp!b)E`cZIr3v-%Z{fZg{~vkZ0VYMUJU+8?arbt2_V(_e$vH|C z5Xm5jfgA;upol0S2uL&_DuSZqU`8^M(*j2j5f#C#53?dh5D`TTsE=QDPpY1o?%m`0 z-uM5$zu&tz!)$kTb#--hRdsc>-V_+w+zZcnl55&a=<~*!ATPl*ZI5GOM4S!i2srrMt!6gP3X+hK~`O z(b4D~5Uiuf`dMaUx5~tBOfu}M>emQGMbN}<1?Nkvs*e!Y@Hz6TRz;l{V|QYVs1qyg zPHX|e2~i!&J;^#zJ*K=R#>wb~;KZm3b-xnU4VCa2ljWG(HoJJ#D|#abLI zHjgRCF0f~{a(3gM?Pl%m`KNle*Y4T#xMzEzXV0^i_7x%YNo|K%&F{!)&I`6@G~Zk? zzJTTEMNZ%kWs9(6^W-I{@`|g9I8>AOM-SDzh{pj(6RSfdzIpDj6qGE&VJUSeqmeOX zzOcpl7b0R`Vh;z!pqjbJw3p4+9b);TRNH^~;%}68@F3Ncq%d&SF z^6yS(TGAK;FCz?%E;!aHWbwmN2| zZ6P@{k=TqFXPg#4P{Zl>r+#a%{c*s77 zo*iW^eUZFpuNQ$C`JNraJv+wQ`|_Xa*;jVYzQ#TK3VQZ6Yw4SuZ%yshWtbjkhAB&X zV5_oY^-G|a$S^(a?4KKk=~%>#zJ}=uyS*R4&Y{}!i(m*F5}q~*>04-~1rW(6u@XH_ zZz7~G+rWhir}zqzV^7?c<~UinT6rHTjMEz>p~5&s1v5(vzd`h)O$C=T<0q#*=+SZJ za`6y(JOc0Q%=p;`?;6x!9Psv_PDt9F38+2aK|L#K?>C?JCMIQ~iOJggUDVz=pY|r{ zjgt)5q-5>=De~U@&Dvwm51XEMy8DNMOpHl#XR`MGZMVm>M_6&%v)RJ~{w@UGk3GVw z2)vdG_`8#VPqRnIg?)xIIyUwm=DsHx_)HP_>{P%{P6j?G0-uu#cq3zu*v}Xai)bHB zh4xdDVXuq8=cWSw{$$|eHh5mJg!3Kn_C%QK7Azi4or>D?6D;8Z%PXc`4|)C|t6IQH zV<$`~rcRUS`ZUE^xH$Zk0DOJCmeV9VkU?&JnI;RRX1U0W)NkQZK1sG{8Ztnlj6V|7 z_42~Cjz?m;ULY*VB?BvpWO1rg3yh~aaDn=W&Qai;Hdy@nVj z&JzN?6SvNtpt&|E^g3XkGdYh6Jt_lxCS$D~CD$)FK8;W{J(h1_J4OefKzSX#`` zM~Y`QCoN{^;)LeBgqtBdzsX69hf|go4;N8dXl`i{hy=<8D%etEnUe9pkQ!9hWI{v{ zh?sQ6`m^MX=Ld<*c^G8Q!yt2JfXsOqWX_DhZuMa;uFhcVb~g|UROZyRWllX?=G3=k z&c#&b1S)_WY5?Cd134gv8p1bN%(e2C9MZ}QyjS5yMREMjLi&WC<2QVX?OdE}WWQ27 z0GD1$_aR}I6^nv75`!~@Gg+u}B*C4by%4qRWIhcy<(m+n(d1|D9ElT{x-Y}ceS6SX zILX2-ctpgzq5LG9qmL27^g3|nu;F0tBejDy)M^LOP`A8rrw3|DA>me*(<9s(IX&RB z4j;f#6>ej(LEt)r4Fc5=8^CK@OrE(Ce_XgdUy^Rz`|lZqcJU>}u4|sX<|bjUa9eH< zVy?tt&HKdXN(3gaG|iPnNwf0-A`YJ`NsY>L_*_YpxRAr=>URmr&2#u%*`YvA>6{x= z77BrSS$wX;;(=-wiwCNSSp13$XK~aLu=tfWi(iFV{7PW)s~C%4T@<;QEQ4magEe8) z^2UTwet=oh$w%rekexc=i=uGm#fe(|(xIH|TjnMrR4&D>@Z9ZDoUAM_Mu5+?zT~-5{|Dc?rEizf=gG z*FiSuWrZcFDA2jw?G|YFo5nbzKxRDWnR{9l$;8551=@a z3>!d$+RT;&Y7Zfqh}u~s%ds$V4>##CX%E4}l})ml&3Y@zMi7G}D1ul~3Q^<>+oVfw zPUEcGO?m@)3v}FlMrBjVE8r%HcYq(2jk17E&VF7m(drnt8uk>Qhfv)lN!lO6iB+Mb zB#ef`WRnDEx@xDXqttuV`@wtnviiRIQApDoXzjEs;5k)Ws6B4m<;Rt*^XmO9Tw7&E zvt=yPb2-MBG}Du3I`cXz8TL>3&<_7K-Kk{MQ*TnTxO%v*_ZL^AS1LhIWemXoR}Khs zD1tE#2l&=2x2YS{Thxu3qHfS?sGHIjs2kJvsq52DsGHalHxi;t?gzu29mP;@SIUIh zQ4IAX_%aYhGPTFy+wJfzsNE0WM!~mix)xdr zQ~Dj@zDAZe_(t=u;>5G?lbM4xJo>`bC!-%`07H0;tv=m>)u%C_KHb68r?HlrtBe9K zDhzQ-@in~|h#y>gLBRs$WB=}n|JPA-a8vL%^Ta<8S8sEuJ9=s|#U zuvp&Dlnd8f-72|{BSdqCij;({619ZsGNzKi=`vd-YYZ==w#qU_aAw?AS(;q0Lcf&} z&q$1X&f-tyTzHDXpUOEee@?Oa^MMQJPorInKd0LK`5@-cslcBPGX9)q^QSa1a`+Ju zf6n&fPh(RWqmlw|&at^^IfUr}H>o#65C?Lr`z~DDBm)TQgnbDJFsAP!vQ3wmY1|^R zO%~O`ymGdl%d6wt^an_Bsy8u{sA!FS|DYEWUBG!FY%(@tr6j*nO z&AI_o5FP-2Tka+i9eNL;oDiDrJ7lSZz>$v@R=%~J5)0LBwkNPzv{SDqL6a{jKF-0L zt2^~9Ax!GI<1FwUDOuo!l2k=L7IcUS}#c%hUFFnJ55 zmKd}ku)qr?Vg0-$DNNY{-znJ%_OZZsr)Gig(&JJZA`5(PY8Ln|y|NUd$O7LbaYFMn z+r?s({5iz-y!cq)yHXzaUD9#qIYhE6&e^>?WOgsNX6%v)9A+UmS39Vk!9t#`E`c1x zTHw5i{O;ekJg}e`}TuogL-(FxA@=UON_cIGQ4&Pp+7INTk_;!F<$lwkLzr-x$ z&hYJJ!$M9wYAx4kN5ked0PxMD#=(I$_(&JgS1x?HHu_;L*9Yz8nx50K5}kz)!g38K zbfBd}_Hr$^klz$7*YEl**M^0>11w~WP6|u*dzLDvoHtdu7eJD}@2AS`mW2(0O&k8u zzn0`EAZKvw*2UZH{93bHb~lVikK2v(xECzOP+kcC%hcmu@G=G*H8C0EqYGyYqg{(J z=!p+QkE1s*+Kd6`KEf;mUied+G32J{F%e^Y;L=}hR9*tn6@bY#8@Lqqu0*lP`(;*9N z&$b3xnJsc({&wNRid!OK>nd&%{sRvyT)+(<=&E$iqGh1J5~UHY>JfILDEt=}8}p*% zBI6(=t_=S>1Lqi5UzE%%IKxRZu7=rOl@aP4Q3L^}Sjl@)>NEm?f&l9mWnLj(aJ(oD zcBzqw1YF&WDbEPGfE{uz=c$m8RN%WSPU-3Ke7z{Sv4a)f2nWV)Q|?kG+j{B6H22J8 zC+ynTxIuB)8JRi7*e=Xg^95hKtr}NtLH;#>krB~A6VsI8N~uU1XksuW)gu;{t49P} z9>o5t%VFj@AlcsbFwcSHseU!3jnY-=0nGEWs;L=Z^psF*sN;llGu*&+n#X1duJk^yp@Y}}zmLvninCr213w+2p*QchMgl&pvj z-_n)SO8H0(zNM+P;ae_z%T$ZQw>W%*kX&`4&G8FG9G_=NTeSh`S0HVbO@7k$CCMs8 zrER2u@`Ncmi~F)V&kiq1B2YZ+@RB5;kUG&-rKxh2($OCKT2zp9l#G3vGXfmpjoX{3 z2!TD}2w{Y80)#JNh!ACyMNnmvh@d4ee4)g5N0CS=OaFdO*0qi1YhmMG8O4UU(Q)-lH><{S|*%U?J#aZ4mp z!6s%!Ow0;E%!-Vdl`LYaH=6U{s2?$3(c8+2Sq0_-x3Tn!MDGawj%H1b6lTpUdVOK@ zo{@P)maNm5Hn%9_l}Y@xnREfu<`p)oblOaUX;YI;n;|f5E;6T$?E_JcibncB$fRww zZki1dJCk+u385H>rhe%Z!vqz@*WHX!jhg|WWx`0=r62c=$J@dAHP zl2Vyp;19|ob2x9}!J^FagR=DO1~0W#Iw@UkUaCt)a90U0K^9y~mt)~HD8Ju9o1MA> zJ6+7!sRgi81B0E^HkRN}+lbhy;e`thqjig&8rg#55-d0x0Xtp7*r~BCI6eTCk+M@~ zKX!UG89OyGYGD(kSqdEWs_gDbiOTqD%C3u7WyeHl3uPGJq7!GYN_Ig#QtH)YY)F@P zOVu-SR5ClEh^if8uUBpMqS{q+#$HsrYGJZhXPdn`i`c8BJ?K)c>5wE)lP$fik^H~R zceHt}>5wjtLZy`DLU9i1qlJqFjheY|t;v<%^pH(Zsx`H>wWfBdYfYD5_X z8-pI{-+flrBm_sB%Z#=5HymvibgVLIv$JHlX#~%LHfER{^uVR zq_1;Rkw)rj3N=4zq%L(Tr!WGYsrx zgWnmHcV(Lf3&4smg<1SvN#s3$B=lWbTtWHGktrn;k*R=m86Nx{!u5$aN#2$0eW7q5 zc60-XF^vQfF+wEdf1u-C>HX{o>k1s!F=a)nXvdUig+=+D7r^CyVNrg+_}yfuzXv$< z##j5Q(8e3$Hn=S#DEg|fs0Z`y!lJ(TQ%&CA-{vER}|tRF2NI$PC|d z|I&*?v$))&w%`bhNN_}Q!iHzLBNFc-Pk;ronUC<~+XQQ`4lZb#oMMY|KzRk8A1f!7AHgeLPi>>NgHWIrfzE+$ zmO`PHQ-bG}3KE4v%LJ!1Sm^Xho2OGyCE)%W>clRZBb+s2AHvC|=V7c27uM4{yyN>L zxN+ec9V;o1nx=&OK;Tm~!UEuqus}KPhytLiqP_!3Gv3vXtM8@7)PJR2svcph^h8+l zDu6aLhpp04Sf%H(Rr(dshUPJCXcK5d^O-jEBYax`-!hdC;oCy^mZ7$TZ;Rktwps_i zJqF*h0^sqFJPzMNfoAaS3HTPI%c`YGrB8_5PPgFAWS#VWD)>Ov;wP=OI4}^RUFm_K zR{m@8(lG;NAPeLj#>6FT>x#lmHD8_Kv6AXR@O^oIm=r zWZEs4L-sS-l}&884{<$@Df5IFhsxUv>t`%!7}iT(GxZlnXS>|zdT9WM%2{$O!pgIh zfXH3&hFGLwERRYYM6^!;(K1BdN=^73l_bqT!tbb?ts?I*b<9rTYj^w$Ps#VNg2y@@ zD#JzU9Fy2~d={Hy5>d^YEXO3i6gK+nFkO#H(-bngTBg_$p()0l>pCXMR?p``I3_tR zh8XXdhprzObd7AG(>Z+*r-XhfAr!Aueks|o49~NN^-H}J&(D_L&hxWnqkWW`jrNsP z4TpYlqx6-;<;upC6Zc<9^BCD3`-<0;q0LWBx^fdWhvd*~0+G*14l+K&B)le3R9|}-#<8G`KC-zOIp#7)zL6XkG2`Iudxp|6Uf(1+J_jwe z)XVWT#Fto5Q^^OnyMua+2&bZze(Ay`iAG0O`rjpN}!gd5v>#)rRb{-)gX0f z+UB$u(_Ri~=^vyYPd^#bGB#!G&v?nUuWnUxQdSNFXHu}Qu&H!q5n_!{JviLpYeh*- zEL^|ze_&rBILcc}SY)SRTTNQXfyeaT8q`u!KUHs5KMTB~ejfNyJ*w?hztFx@kEOR# zzf6B#{VHRT`gP{*>NiX;eiYPHsuzE0>&0JDy;v&+I`G$2FV-?ZFaCz=#oBG~?OUoB zYmjy|@*UNS(_V&e$KhLc+D7-w{XS=_;w1u zful6*6-%eidPSsD|7hvdv8R<>S}EEOCXA1F^(SAQnx-UnEyKqp;uV%*rZ%3j681;V z;)#4*k_mu!ryQ5eOMV4EF4-G(!@NW&6?o^`aY+t2>db-YeY({b`He0ChIstLc;y!N z6B2pBFYYJUvgz-(rjp$?1SceUk$6q$gly&HP63=q;%W|2LHnoEOH*eJ-n%dOXd*&0 zW!6YU6+GG}Bp$917u$3~vX;>916)e)Xn@lPzt>BO#}i@+qiGnM?H>(y1pTXzfCDL`Gdr)p#A~ZL_Q@u$4-NS z!Q&E*IHJc^%1=q88{f545?R5o5Kh&KmQx|3`_b z8qLL={-Y#QHycNDv2x)pzD$QzqHZrF|LsBeQL?D>gYcu&gvC8LC0W$@rTPapKSV1h zhIvvKpV~w&jFapFOXQI;ELY$_Hu3CkpDvQ;CYqO;=jNxBJvY&U)I2voN!BgY?VsFo z#>a5y{iHujCLd)Oa59Y$i?w9Z(IRe`kLaVk0DwjH6O#7WTrUe z{YXjposnG%%&m22q&`h(4w`g{%3mZ?n->?qNR}9DKKlyQUu0o29R9yZ#5^xl&%~6O zLaE5Kud|%tfamR5y_~Qr?MOuExN(?XFar3L> zz6Pd2F?{$f_g`hsdpG!0x6ps(r4iaf&%Q1Do@|J{%ifp$cJ_NAEjToIXYd|)ei!^B z_?PYCDN%$i^z1(W8yAnVi6kasVCCMsmIfI zt0yxqQGdv23V)N;Q<=Hy51Gx>AF~#!r?Os9f5`e?J(*ogJ)PZC{V7;U{WRYJd*NF-_?8j82fmeuZ`r|H;9CXwmKFR9zEy;8q2M?0trC0#7gMyK z<+_RX6S!`ol`YpzdUx{a$$(G>TZsVVM%rLMTwN=cRaFP;%myookUyGw&p@!DSP zP3;|Py;0^AA>Ksm{Xbc6n4C9)r2bGJQ_sOIW`730RnKYN)xU7~NwhY|Q9~}*0XZ7b zCWCCHHw~q0w}Nb?Hw~p}@4z>D(@>_i8@|z-h9LYTt)eAw(<+MOZ39c*23~^TCmOSI z)?eN>N@%bxT6kOLqy5INcyJ7=Oz{DLHRIIDNT^4kc5()E-K<7Q+PpoD&8SZI;rU1chJqr5U#Y*d^20%@H>3 zELQX>neK*ZhlXgzW|0ju!&8zA4YA8*^5r%`cLI(i31~{CK$_AikgkjmWGG7lnT)2! zu>^FeL`18$)}a#R0Z`Z|t-5Z`X=0HJI;a_>u)542LjAd6iyKg=X1QCW^ zth!)DvNFwT%HkRMCz+1~7Om z+T1l%VaZWv(NKjEhA9kHC%Eq=P@)|S(OQ$4#xrJ$cELMZfr!jYLAO@TsUqk|L~_*6 z?1=kjqrk#M|yD`(Iv|l_}h|= zh%UJmL(~zyXdUS->PR21BeDN%L}C{(BC(=%q_4F&W$b~)DHm>nY`rUbT_3vN$hKLc z{WeE$1SKR9y*UvbzCAjUE?G577Dgv1N+Nm}$l-qUU-w%7YD)k0fcdY;Hu|sW=D(r} z{TC#}H|lkv|AH*|#=jEuU-Q|&dT)+C(c3~`b96CPLX6xr(Is9TFsIGFoHn%bYFc?M zh+kXe1@&C4VgRn}iUkv-bs99f-dC@&;X+nx7u*oL-v%$gETf?X*9`KEZuPY|Y`8l- zaC6+tx?#;)a4o-np|4-xhP&4TH|&ASluZk+<+9)B>$11uzT|-$anm8fwzw>~W>jAE z72l{l8}4Bb+$hUljOd%Qlr1R+D>R9a2#xlOzD^=2+Am6?EZWb8`?d$J?xusWm1yCs z6P>|*m*@y?jKp}j?|b0Jczid(f;?YYrmO@N_PBCR`5Vshv{t*RJ>mJ7`kcBBo<9Ww zfpj?6b7i1!;CgsI8`vD!2G2jVpcb}NU%0AOKd-DzcFrgI6g#@pIaSryF7LU2)`}(b z{J`S1?0Od%hi2Co9^lCY z)aX}R!naSU(XXz9Z=b=pY;_@g`y9SyfiaL3Jqq7K>W}d43-}fcTo2!l!MAXrJ$(BT zzU2hA!MCsATQu+teES-{MKrkaJo*iMiv@mzZ{NZ_}aNwm2T1Fu7h9j0S)ArAjl>gKN-?E`C1RASG}BKBiHHegvU$t+jO73h7@ef~ zqDlat`~+j80rsV$Kf~Bid#JxPHcEfd*qpiGbM9vA<~jH1FV;Et=vj2m9X{*ufs)wC z?dswJ7NGA~YM|WvaY4Q;*Qdx#$ro_JZ*DI5jiLCv%>|o)rj!dB@LX_C!Ug}fxPWGa z`HzRzz}y~l3uHNwMLK=~ z8W;OmrZPbfxW)xO0PE;>I#I=ccIuhpanXSaRBPmCCt$tkzdbvRPMSlg=h#CSPI-3f zkqe)ln8ZYnTC-Ev(d>lJI((ocj)|Bhk7Jf%k~CQBlU9^{oFkvlyMUn5C4>ETvOtmNFO4ESSVZFKaVPIm|3&fxP7yiOY+a zr4q+1#U&eODKJZMS*|=0vlJIFO9eNxfJP5&UpX1G`0OoPc8y-sk68fgNB`T*Vsz4C zmWym=sg*jj)V^?L!6YU+%??TbBYIuTEHp=CT}I-1Hi>!fq~0JU?-J7$JL!T-!BWfgc z$YY?lVSXffP{G#^TtaeSj)q9+mplF+{R%HhSeBoJel;aTN98)uJ~i`*KTBfSU!vNk zXDW3vv$oP46gh3UoLPYqY%D27`YRcF2S$+&N-4dgNs$q@A~Zsz2z9b4;^M%rDRE#) zNs31i2bL6YU}rZ6c4i#d&Bjo`fjzwBw#Cpj|0o9T1itFW`dI<^s=wLKb~azN z6YKBIt-@Y$SsehW!dKE;O*wpk}xD1mIH^> zj2%jsmRnkyxwr-2g!srP1f>17OoxtkO^*d(wZ(tsyQ&hbt~0eauZy?@KkdFOk$$jspfQ? zY7Rc@@PTSOBW)5dGPf!rf12nr$3R(02nTn54NA5b(%EybaG)&Wi$OMD3=++<+igu{ zpow&?8a44Sm(|7BY3O6!ySupcTKXtcd#R<5#@fp$AlGO{vT+v4)G@}5G&Ca+e}XMg zPC_UeCFm*MK}aoHoXZIWz&i^|>*FyU%1Lh1pn>8>iW8TJC?|`^<0M2mXw+fVZhE4g_p2gpa zhV3qU*aWk?_r}h*&el}<}3!C*flLHNDkq$Y1t3+ zcm>I1qod{l-cvY>y>q^T7n_@gqV8S2Q?3qeu_Qm@5Agf1z6`k#y?-iZo`@zdd8aqL%)M-PuQRXCHxtQv%PSgPJ1*&O^Fy33C}J~ADs zC5x9il?eU>nlzZ-c76z#n5ZQ&HNj)%?SXqR3K1W64Dqd z??cGih>*YAOXTmOC33b+NE&|){HD%MO2|4A?T}r$$)ykr)R9Pjp1SQb(5N zf}WZ;CHiG!>1-yqvXC zRi&YRv3TNpteu9eaZ6R1PgMs?RhiF}g$1^T+7UD(sw@zCH3lr%aO=g^K3YxZE(?CW zRRRWVGmb)EXey&bUxby>h0w|(*3x5^GOAv0`uE|OJW@uv{HcNFlAHo`fyi0G`6Qc5 zSb-NG&82oF+9`O#>$YPaU98PCmnf~F@^nLCp|V0*Z99HhtjubO<2OLJ67by{f#z%k zsiST+_B0|x3yEhg=%bJ3;N-A@mh6OyD7gseXiN37ICTWr+4jx^`3f{3+WcZ>HDFD#=?^P$*Y#qBbKmgS($!eX~(?SQlj~=^IbDbcF=6}_co(Q&D=^z090y;s@za(AN^cfxaREyv$-( z^(j~k=?SgUKJ3b6oC*w+BqL?)isZ@)fn4#J4#Ol#6?mC8OqUIcN7ouA3w?4yjw$yF z`PQVksJd~5VC&|9CN+~7HEaDV{AZPRqqS)sd8q;}Yw32~-!8P39omwa+`EJH4 zR{#${OtQWWeA@}%GL)rCdA$(6(c41I zxN@GYcUf$v>;c+nFpWC!Y_`Xj&CG+Kp_YkI8+(@B!!k_p6n6*iRyJa?lTaA-{vOu* za)27`{a(BG&$HeGx}M3ZsKH4YOTgLMPSIxXRf?-{8fpK*8U|k5_5C$==r6%+(e$FHr^mjOW#eRQ-WYOR0C11wxLHZVK0vBDe-`9{3{hc0tGJX%!w;(;U#D1Sf zBJ_89SjqSuLe%N+aLS1NeiMn&-*ZW>`Fotc1)JoNJobAVl1G2fC;8^@1@tZ0q}b+I zloU(E;*Tk%cE$4hkTjA>f+S3I5+?=QW5qkHR4T1himz1iS1P$HmFP+(XQh%22R-0; z(XLpDJ{N6|m43eFwpdw%xLado6R`^MSVcxhpwqVOZ1hW&c&w`PO9=f^BOa^i{1QgL z)Q-pMIKM>EFZJWGi=AI$=$A(E*d@*{ar8@*c&w@OOFsK$bF9_o*k!OdPu?869HgCI z&P>G+yTY7*`goQn(wu&=tDVmUAY!f0o#L_12JGOU+p>u->c8>+?j`*YVgbMwQU*+p;SQtK7y_8R1l^ zYE)rtlj$=6mef|Ju(}TX)cqVR4nZ`1PTh$z@P2+?G zU^C6Z=3+4AN7KK;*bD(S(^(>83#=ELnTgnJ8})~{neE{4kXwArad2n^?LS2S3S$ce zI6SO}kzh-L!wj6G3607OobrQ?$|47c#?bx@`d1ixQh>uFdNg$$9>s}Z5e|=XV+1%n z<={Z`gSGBfVHVexGZwSl{IJBqp&7LQ4*e^Ptq|ZayD0oHM}Wf| zHx4Tu9AF14@*e#wjI9yiFgFPfblGIG*0_MmUN|9#qkuW)xtU|FgAfF*MINPpg)wkH z`%c;UNtnYx$WE$+5L&>=G-s3+aEJn@Y;q841ITwQW^Gmth`xhh@awy(i!5X+&s6}A#X<@?Vt3o zFt%Sn-lzR|4z1JN1n?+fG36OfRs(%rbm-IB(>+eiFV?G~VRCx3Sg(k_1JfRGdejw& zlSTguV+RF2dX^)IDczIULF@FiBdy-wSfLV}xV4y6)qOW~wLsoR0w8_>VP*l7W! zw))36xH3wSQp}Zc zmXt!SjMAjE<;nnOuI-G-OfXNxpFl~=6R|HeV`pfl3Xag(g|YJrxXYhrsQ~R!+l>Vh zy;>a_EknxCMsrnC1~poilodCc3kgzv8_f;+G`h#tC~yGssTkeJjUtHgT-~4yb*M}< z=2$vvK<%J^g}EgG2R{eEi!S9o z5$fq4w%l?Ef9yOsz|Efrp`n{Rbt?<%zT~RQ2#qEWTDp7|=2u+onOntS&&eFK3-s-S zuGx}nIcGunpOOlISKR=rnHT_o`xyYnu;oZO#;`R=ImEE#NqLK5!LL{rUpuKB(rbdw zB8cUH%f%v@o(ipm6}Za+0JJ!iibYHeaU7g6D1D_6E<2`FoG;x=S{f#A1yX_0;v!N3 z(V`-$Xwc#`oR8!o-Y9_>&#mPUe5yn6N~98Nqzs02SOIa0zC{BV&7 zez-^kKP)+GN{0ssUWHU)w5Ug_AX-!r z8Pz+Ui#9JF@`#M4a7Hw@rO^U17(@!~JDi>3tnTmV{0>EKD+7Nj7{UJ*Iz#^obK438 z1cq)ls^X>1$1d8?MUpYkMFc`KfIxGJ6I>N) zQj0)&y_T)a*N3%kVfQM_7 z+6;|nNNqGubx0kCM$W{2ITI7PON`)rnvomHiQHun#!Z>dJgEcF>XNz)+6qz^L90jV zA!w2Q2DA)cXz2)AeNvx6TSe+4Xcv=pNM!^Q!vL&z;)&VFH6RTbS{q3NgjPe+&=@(o(U^vI0AtEml2dA2$R(7l zC0sCbx5RU|IwEKuLm$vfaJ!l@YJa zy9|*LxT6ti#0XbN8X>}6LN2ig2lLdPL7O8}^sGc=DkFJg(wNoUO&X(`my%1Znr@PR zD_e+hl&kWsX~oiNnrUkSiGUBjgGM{YrAB(M@WKFmhZ)u43grAXlMs zSCgwT79X>s9Y_aO^i$FS741kmIw0{Vp3+mi^z89BO;F09-!(gl_4O1e7bFgbpd$qU-a zL40ZP;R3#svTJK;CoNAdbaI6u3gml0r#Yu9==GNK767a=sT3Xn$8~T@4&^6V@9-f_ zC|N-=D2%e*NH<2=Z%8*p+3uvfKV@Y%wFVc&bH8jKXYNRLW=`_NS z=|y_6a^I6)s9bN-+b)NLf|Z{ocLQ^Qxlpo(ln^}E|149I3}g5jat*`u2XYOYp24uG-kmV3tb(mRWsy?+1V z_O1Qm2=R>^G=X>TtZUaG_pH;O&8YzR)eYcR2LPnyqcc2QoX&Dkq2UxgwY1K8SL)xS zXZo-}`%QA!DZMT*rxg5^;cJL*w&KnuDZfe51@gl3H`%!)j}ZPnOUObL96dBq%H?;E(v>io;_NNP4TydZk8qjjUd+lZ9zgc{T zKW8vEa|xEH52WmM+Q7$l(ujM_~T~E-+DXYFuE_+RQ_fj7k_M6 z;doqgI<}j2j5ZjLr#YYZn$$DwoPMMqLpy`?LumIW{n5e`=?m-xK7-SJG`64&AOl#P zEHVJq8At}=I{hteH~36Ep6xWh&mlKZ?FMoK0~I1SAW%1w8!^?O+#oWDl?#(WsN7BD zCZinnxiQoRlfkT9lnh4YZYDQ7<*9XWF)%kaeUx$z(j8eH|2%^+2ccSubH;!NElB&73@8Wq5td|W~wqx1YbB)8@ z%E)u-Y#ql-n;z77yo>|nAmXDIjwEFxBVMr8OuebF*YWb0turNNR%E8B6_}S0^7X`7 zpW>BRJ;#v&_|y^N;~BC{iGgj3uF4LD4jHl-CjPDDR>r1P$*qV@hmxU~O`+T{GK`h0 zPKKd!!^v=`9PVkh%;qsv^4rL53}Q`k8-h53j9?HAl{{xU544exAf7!*HCu87k(Q2N zH3CXsBZUnl7)eGlglmzJ2;tkw?FI?FdOiS^q*(OX%~i8w%5)(b{DjVy9ictNlTDH_ z&=`wRWEAUK9Wn}aZ8RBek1jUkD4KJHXmXYuEh@fXg$@I!bvKIa2KD(4a)*DPgDfK6e<=&Muv-hdTJsAbi8m67vnIAU zLx;6q^OuROL_lCqlZDg7<7nIQ4QjK%DNH5=!9Rf#9&^UD8e!&_e?O@p0WB-u9{Z+WZBp&bOh}pL|FcZl{ z2Id+v5rLURCUIb{Gr?T%fO&@lb0@izf$2}~L}2bBcX42DG{FpVz`VzSxtrY0zzimL zBQW=ndpIzVL5Fo}r~~E*h|bm)xhIp!49aja89}+1+{;0^ok2lY4XSxK@W?WTOma^l zQy9$AWD0_LAGwc%InKmvyn`7%sW8OC?0#}TgEEoakDxq29^jzd?ZK?T#anCwo0v@{ zQyI+3WGaIBAbF632|L4#W)C=+eFT_g0wg%#5r;@A>Nzm-sW+KMrZJGy$utCVI+@Nu zT1nMy&M%Im4uMS*p<`BuA0iJiNDq^T5Tu96!yIxmJspN?XPik!LFQC8F`dm}I)lt$ z@aK{l2>v7F5r0fexG@FI%OvBY+ED`#w=f0?o_mvNQ z^v`9`D!82P@ud!ZOgSy}+N%}0BXWSwG7|u}C%@y+@pmYym0TXl_;Lrp2?hYB^-8v+ zy7%kU%98jp8g&<6Evk2gP`Tl96hRB(xIU_2#n(U!z=U2GB~%?KY=p}l4<);ZOM0}p zDq>rc*9a<0Q~7L$jal0g+?=&BFKZJ>rjrjQvUWYnf}!G@unxrHG{88;%1ISAx%{;m zUQw;W=8}I&>QYUHN+KC5RmDVcVIoUd#71-n?qXHR)jK@3tIEug@?g?CY<<$07tCpQ zoM~n(e#biC0^u3-CtzM=uU3;8TySOx7%?)1F=AvYUr+3L;qC5f61&@GbsxLCnj{S+ zwYvc`t{>vfS&!XaO~N*&-CgL&D>~sJBpZy$S!5Pl19y{IXbqfAW;?4XD>sMCVdeIc zIjG!RGS@E0@q2ZN1BvId>d8E>cwPz)O*fxxRSNiN6>yz07GG}0D; zy7S09Mzwup9-`WO0s(PG2%$Uh8agO5)ss(uxb4~TQ29xs>XM|XysWJ*NgnF#CjiEI zj^1Xe(5b30HC(3|<1af*dfHsl!h?WY51NyoPobyQl9>N8V+dYMn&4ndl z_cZ>xBSn8sMZ37jJrr*^0DegYKrOcd`KANlR|9}Zfvn9b#f}15n^T!UfqcsVNu#HK z2XnhQ{VR;W2TbpCtVFGY?RHCn6yZ>p+dFb_sOyU0j{nQS;T+ggztO+K_=f@<>S2RE z84mS14bQ=$KGzYT!$%Gde?j|y(7(d?X9659#s-UpgIrl{;2zA+oxwEHLcxS&>2Cze zYC|`Gqc(t`k_tOD;%EWtvUL{o^tPapW-18=mvB}I0I-f<0)VtsFlfvj`UU__oK7$! z6#$pAxHM#-IdM8cI2oRE90VH}y0B0@P9A4c;ydy>?VRc#`T$H1yd6GB5~Ca^bK|2pB>(0PUrPdGtW0 zy$WHn#N}j(DKE)@gQm{oqSDkr{@loG2*6XzghhFtY9h&-N@G&;@}ZBMuxKF(7UD%f z3rYGg3Olk6_pgOS!{@u#LSphzjV`Yk4_C5V&aqJonf*xN5+1I2FV#(lYbB!raB*&R zwS_)bT-t@N>~a^j7(7j$ri(#dY4S8$44xs+IEw+-C)uTFNcC@m^$M5jFHj3wx{jOY zS^MR%CXZBy=m;4wGT-MR15h@>eflN{!hpAoa4#Ch^O@xWCd%z23dhxUrAP4J%!jG7(8nQ z#bek;V%)LK!g!vQ-4Z&kdzxNFRJmaJuUE+uPGopod# zu7e`QfC>~-4>2#7Xj&#Qn>fTQFo-F)L)yFSkUVQg8yKND0|0ou6whnsm?tF+xCU83 zEoID($Nb9VW8U0BvlNGB3L%#2Rj!STycQ0$GA`sG(lDR zNsOZ#b^{^V!D*Fe=I@2nu-6(1ofj%n6SqH*(jV>ii-#k>~ZO|maRHEp0Dhl1>y38Ql^dduRi z_^_~UvMV-2eOzcv6%x%w3h99r&cf>^x$=wCP(kwTS4VF>B@3UXaHZlC$=Jy@1m7MP3rE1@p@{o`O)TSEfa9=ZyeN&lQ z*SVt5^KNh^Lkj~MT>`yizFy*1;mLfxgz=dJX1kl^u4mH2pNys+exrkCD^I88f%pB~ zU3P*4gKaoig8ARyEgf%iMxZTB{hxqd-T6zy)B%z+t@Ip*`*t#@AXZiQwQhh+wE~qQ z?`Awb21p!Sc|)M|t$b-ozzgRF8X&u+YZt)fCg}iKnk5%pNpKFp4QKQCO72N=!4<1Y zA%F{}=ti=UP5xnIBbxl1$R_{EKL8T@3nwZ+`=RJ$VNu$;*Z(w7Cak!g4P+?q(Kea{ z%P=Rn0(NZ*3YA7tJU2+hG!v!N0f&4`To-PX?SbKosnr`L%|zhd;BmB#v4E!Ozxqcy zjX?T${zl0*i_v+GQl*5PVlF!79Uu_E8NA$BD0mjxO13f=&cxtN5_agIrbq|78kQehHES}2kus8gsPcxs>$PPc(gETE_wnj=Gs!X`IM+<~Sf zxx-OkukvG)9b^Y%lW}ARVw0U@r+`gvNzNvD6YTyvk_1r$bv!A(5_uC)aYUzEQfCvd zEV(shHn}xLHWA5^3+%SRCK73KYf;$bmgHvlrjyQt6pc>ACEoVG z&)oKp=iTo>=_G(MT?7S^H5#Yr@*Z`dbTOcyZ6s^l7`%$qCNJh}~U_d*yM?3++Q0Hy`E0Wm^iJcg=4;0qVHGeUAOfho&eB*qBI z*=Q&Y{2>Y_8X-xb!>iUK$jZlF-7XPH#(-O! zvMzgnlq3iM?0sksDzZ`ZRFq`usECJqlx%;B+sV3JvQa^qoIiX#O5(=n2XK@$egIY( zFf`A2lCP?xQjQ=Sr6-9X^Nj=;t;;wNXb*8`dCy=egPD7&l(|PIV+bn2R*GQ5{aUV= zHawuCB{G61{Ad{kDPz+(xeX#Lw#c!FDVrp-1|vL5m)szab8V?3_3;vzMjUERe++vJ zI~ptYVt_?nND7&fw2c%ZC21GgWhhCmT>Fync~J1%*l`dP@lMc!giekE@qC~uRuIVk5m9h~fDY4B8= z!|)Dyhk^Wyyn{f#OWwsG^A!)sdtD=oJNq7akHX9kkoOSGf02K2FyUSm7}|J#rlTD9 zrOtf#gG26l-C-_6TnWgkBjgAJmraf!aPO1%5ja}z1M&eY7a|{^avzcpSvk)^`1>TI z{t@|zfeMq45U7vI$EeA|{FvE;T&D-uu~9d=kPlH^W*0sopD=g@X#%(QT;E-7pR@W{IZ_WoQkE1&$)Q8 zE}R>rOcNN+bJ^1TL1vFx!D+qY`Q;o02N)B?$9KEL^UW(*;2xadji5x= z+?-$2X{kmczg8l@uHE26_-u}auul^-n$Eg0D4yTQz=}3u-s0tIqcOA*&%e|`-n^a* znQO+*Ai6%x#%U%O`{mefGhLU^<(q+U)ONcCdU`4SE6i_!bq*BxH_Pu5I-jmyhPsL` zN6K$+>MZemE8q#l(@^24bQHnqYQyQ~5XiieDwO3q_(lwAQEHGDxgaqyi;id}o0v~>JP8vMZP+d`SfB{d_**~$nzefi^jcPAXisxK z4S+V-VJip~&L2{P?%jlb)ZxHYX!0OO7$ib8I>fpnA>7vSrzP@lwFluDJa4F?0P^)1 zImYDUFmepZ$1lm3hI}=W6y^`HVJyZl=;b2$x21+u{*C@fJ&OTSq@s;e1US+k;1V1b zYzaa%8#(XNQZBT}nSe_<@eLF3c4q>vf;j-Yp5f~X^Y0K$z-39U>J*F2<=jC(2chL0 z+X6yk9fY0(WR}yv!u*K>gjQgE+mZ-~C}Mhj z`ydgL;j6IHS|o&4bMdzhLaVt*3_xhIgV1_ltE=c=VLrWM#t)(Auv{q;LTflmI0&ub zW-}o4fP>IRpw5T%uP~o_OZ^a9i&az$As-?BDAacrbkhq;7xc}B{7Gq)H*@^%^kK8> zz;OO7rw?$FE_^@zE6krK=))GQlt3R~S$DFJIBE~1C9=DKuESHeVuh4e55e&<&;3aG zPYbGVR|%@`;7&I{>(Q(Hs;?7N-|bq%fmi&hZx&SF zIcMgNd%~ba?&~5lk@fJ$Sx? zd5OV5OwAA0tc0IcJ4mb!@p}Q=*`{ z4@w1?cPL5)HT_`L#VBE-Ht>O23=2F8GgQV8=A|N-&3#}Ncatu!o*&FM7$zbeaj$-% zQhwFJ8g+OFRWIRE03hbcuR3>mA*x;y6ND|EXZ)&z4eQ%_DR=8SSZkj7UC;|x$79sj zhn}Tf^klZ1577Q1pf{R8;|0iSOMqRv(hs*=L|}&d;8qT6Ym|RzA}()#`Rfp!tl0%) zePEWy+7p9$&=2M$5uTttyX9G+f?Gz?b1+^!`KuHWo}g}eU{=I1sdWy9;aB7^t%uD>{>Whl(C2$E~8~O;abx307`4If2T3Pri4`VdN`ILZs=oX2D#RX)QE4 zZ4g|8$JZ1et15t#O0t^c#5+TH(=||8vQtQ-fKFQmI+XNM_ar&VNU?~VM5OqE{9uuS zj%BD~VZl?tiMA~Q7oiqFI!l9FxT6VyDv|*7a0^hhaiTLQEk35kZAC&!@dX2P5V*7r zP`a0pd~Ucs#3k*t)&Q`qhci!+Q;c>?$tgs;AIXoHcEFaW$!S(@IXR8W{X~AU%9(8W zGx?d7TS&dSO=x^jVyGJ-pUZ9%ZPe@}fU>!<|Ck!2BX#02aJ8OF*`5m?W2l+$PwmAOY z-S%)HmQFSZK(U;M6V8!y45!WH9Kz{O@~455;VKK)v}a;<*G$BoO8>(kff_7;$k{b0 z*kYL5^bDzy08R&*sLBDNDh#h(^v%XB3csoFMdip@#)ftgKDP(UhM_#56r#3m zRlr%G##OTgFF2Geq||}d0?=B3Ve%q<<1o?Si)PU&2P`<>hG1jcQJz>sHoCQ0fB?73 zL6d0!HjOoTh`w=6ro)$Xr}Kg)-xN1l2k$1xDMYi(+2)Q(Au|^o-KyO!qb_V}d+L5{ zQWxs(Wp&~IXiyTPa|U!QgLV2-`o?uS6TW0xozB_{5#jNI&m3U;EMPRn0t1!>6|xwx zqx6jfmJMIBUBJF@fbF+{71T6=1))Na0sE4^alk_GC4_)QGvWnbIZSlG0tWFv1>XTl zoOKgvTd)mot)FI-IRG|?HF=7@aZQHdOW4)qk4}>>vnJVLj{@xPFF50P!eR2Cg?#}C z=yaz|4m6TvY~`-jg_2?cUGRIn;17qU2hDCp0QCs#)}Qo^>sA!LM2&8pW|tlnFclM~ zgATvwtk!w@#?^|!mzY(HmsFQXE}-Hi)g{tkHG8sN;yYP$0fStMT`?+`ayjhc@Fi{y zl_4M4jow+@jo!ty8VNYa<9B#J&{%S8m5Z;0k}V}`7Q1j8o#@evo0-44`<{zs>X{l= z02;@X`-D()Dmom+-+ycO$eR*4aH-_FY`#aAN}`oROgci^e~F4)3j*R;d!U2wn?+6S7ujeDA#%}<*t20=}( zh22J|1>PTG`}g_G9*0OQdTK3?=A`L>N29ggNGM(4RHapkE$Mk6OY@kVEk@rsIhzk( z@~u^X>b9�emfBH3)sHWVFji=9lC2DYFT&ZV>N2hH?9VSQQfJ*V8)u1ryIW;h*m$MY+qHuXz5?t<{Uo_tfqiKDBU7xkvkiK#4 zQcNy3+NCZN8(Mq!rcgA;gw_CRG+@v!p>G^C3csNbv@7uDJ$1cD&cbe*Maf1`s}X~E zDShK0(l#!!AcEcDtGr(+J8WGI)ACA5LJc(PSGrf}$Wg?jjRB{|4Bw{ojl-Ar;L>FH zUL`xy$>DodYGZ#D-r8XE2`)imW8VbuX~J-APTx3ODfUe*T+!HHjX8;q7K79bN;hMW zTGBTT5{2E|fMnQ|G|2^*79`L~;xngiMmTbSa}#JP7es!&^qJoaT{7IsL_f+yNteZ z&?x-Y4m3lRyA`}~OPOcFoqHzGMPjV8+%xX<4bFu)mVO+329H!1iH*QcMBAO~f`x$H zxi-*&Hmq~)=o{BL+TF`Moug5gSPWQ!mc`m53=K;F$~pLBtYw)9d91toV%-)nYs;{{ zlD=_R(|)u=SlhVTl<4LQ^Kz(nIfHpMedA!ZhcE3BOs28lipT&?rl{BS>7FdBfsImk zUp~G9n!SRd)RDe%DAA5z>7YbePA{v&p1PyP_K;{uITh`;dthm6GsaZ_|0>pYXZpsq zO$l_h)3!qg8)i?ue%UZPK)ntOW>@;g!K6Lx$ibwxGk~^o=;ki7q4)BoRwsbai9zp9 z-#F;B51l#anlW59%-+5jcY%6c7|fpZje|-1(A9uRrLGSZuJL8GZcwiqgV~$DaWE<7 z-5r>8lg};5`$|TYNnFnK>?^ybfs^EYWqIG}3c*S8z9~!czNty_zP_~T0rcp>Xw`?l zakQc%($g7Ja3fr6hJ)wzGyFgH;7W7C?Lx)!9KG1)+FsC3FNVYQ^o_%Tj$m&NhyFIS z>wG!t8mMs%gEo-9anNWV`#7Ty9A)~CY?#;k!t4w6`ZAa|(l-v~weaOy2c{oK^_OWm z9FO!*qD62S{{#JHR+I~FeaUL?=Dq$hKeh|5Bn7;))!pA$o?Hi%yN*%yCi=!vm2$%M zc-)Z|F~C=g=m#}`Ey1$7nZ9w*`oow04z#3N#K3=Aix`-)7BSFwnht;t3}BtRg}!l} zqijCV)43G2hzw&5@Yf=4NEz!Je6hX(FuQ?aJ(Rw2SksAgBf{FNMcn8Ma}d-U#9$7m zZyZd@MmHgtoE8C--N{b||M1f%l4eP=<-{OgjvfpM3}(oUpl=*Miu+mvZ;aoYA-NeBDNy<4H)tqkU9`o_VeLpqd$Db^=$_NCS^ zfG~_fzk|MU(COd~=b*dwi6Ooi-v;$=V=%|jHx4EplMx0?fj)7IFUyUDdLtRk3G|JF zNojpM2h+Wx-0BN+6x18VU{0cM985~<(NdU0ePND)dSe*OyXYGSlT!E&1k>LqKSk`5 zAI4TDC&IudAOg;)(!4&!9`rzo7n|m6;vO=M$gzOZScdLH^o>K84&FEiU9-k`_&T1| zm_gsT8gytTST$^y??m`Ik=1yVzHv1u;V0QOxagu0k{B|6%Xfst<%t|FBiPJ#sArhb zcLD}?GVEs2Hx4^Ggm)R(QO6ZqvY73CH~ernt2Bqcah2|YFZbA8qSrvuu!v&w=p|vs zI|DHpicMx97SJ~i#J%w4UaMUeB7rTQk&@d z;kSssaroT_U+%NI$czH_gd6QE9`A>G_cNG}(>D(01MuYm2d0U|RQNiT)p(M=aWx)< zFArKZY;KzdU#GDePt!N9#&q~H-Kl|zI#!Yr%!p8fJs&zp9%8T;(>D(G!|>%{2eyen zog*_?jV1JrtMLeYdBmx~VtOpE^vqTCjYDrPe3@&ZXYU`* zgRk>gjpyhaS7SbWnQzsw8HrBI1+2ze`o`5*2wxUDH82UrC9yEsfY2$mh{0Y@-#FNh z!I#HGuv60X$GP{CS(|jqJAe1NLppl}^RQtjz>{6Ih`G=SdG2(jjOR5zO1%uxbPN7gSqkUE1bo=pqeN#=WIbm=jL+^-+lCr z!*>mQS!3gC^^nfZwXDW|`o`5*2Vd6NHTWLJlxaf5U0sYRQ-wv{eHHp0e$0uZ-+12IpA9ItS$!rvjSVzY`JD|UhB7Zrc5cs8U}idHIDsUkd=R@z!=J3Qa6bga_XA+2)X z%0nyP2G1RpU#yB=dE@3+Ht!J9+BR$3u`STE?Vz@Iv>hMP+CAQGO}q6Wt^F14 z`?S9fo=>%3-yYyy{o>W{UHyJY>yY1}N{8wpty_g|7k6tE(z-v~{mJgn!1L4Yr@H?X z(t2Fkqi>Jv;rU9B4|;qY(t5V(*}Z44kk+eHul~JmfajE6b9*faX#+kP@WX)9A#Koy zgH8+rdJq0~@b82F3~9FnZz*|8nUFTL*3cG1fuDx=7=F|6At7z-gs~5eeI%rfD;QUG zTn%_$H*Wa2k?`CwZr`{UL)!Sj`1tq&c=jAWc>FE!Ts3~@_}%dQb3)Dp;MWPACiI^G z?N3-TVGG!E+Jxg1&P@P*npkIItBF9@iBl%dod|eMJTmdyi6=tZq{@>TO}Z4G9Vhjh z1pGN^(WKRr*24303*`Uiw`~ zTQ+LhgUf(#mn~bia~X`w@>0v|Er)(A@3j2J<%8k*^74Qb>bq z5o@oh2hZVarmT4&q^(uf=C3Ud&pX%7UONw-Z>{}$E#R}R_PWc~T@KGF>lUti9G*wk zeZTHhNZSzDP;5g9cuw8$#0G%7;k^yVHvkNoW2=z1>F!N)Hvyk)dTrAeo4yKZ zn^$k%yZMEXwx$1;F4TMmb`tzEX>v=#Vv>uX!T*b3#gmD|>ETVr_My>0F` zsK4!?7nh$2Y3$NJ!v=4efOf>>vqF9 z?*3&@_MV)Owr9wmyY@_m=i_@e>;bvH=e0dw?16smZMC=OUXU+)N9}!ZFO2WrWqWt- zh4Fp9#`7(oZv)Tkp1=M1F(GYV&c5>dVBGe#*w=kuuaNda^o2?|jq1Ad$}UCCR02u>{x4Ji@Vt^^{97~r zok<=%uNEl9X^~7aJu{|dW^KLT7Y6z~fXe@m;MrhuF9eKTJ6eR=cBP!;mF%+F!m@Ue z40jRx7dilxhA8*^R~td2 zqH0RT|7L%1pZ@C&rO}?~A7*BqX8drSQu)8#HQc@bNn`xbRsCP|5BKqZ)*wHW>O7_T z|EjB2cbQC4<4Vo{Wy5^q|JUAG07h}OUHIN1w%Kg5k=+RHTHLj`gyK$dcXxL$?(XhR zks>XWmby`@e+5d7wv^J+mj9fD4Z~!XkEIDo$o;-@?#wfH-8`3MlvJam zAE6{Yh({TvB1t()`jJZV1Nq;h^@1pt)T5;zv1D;Dai^jKOgmW+8JK`C7x;7^_n+&f zMzT2|zSv{Y@*l`o$Shj3@E;Bn<*JYo+1wRA)|iJ<6}kjlrZZ0kxwxP{E*SV z=lRB>W=a`-{S2h2gVtZzWfajVX}tAgp5hMNa6yZjCs(9cDRei9EBC|O@LOvzKCpOzH=L>`Z{>l~2z1-b4n3^Q99e5)1qwa+hfHG|r`dKA+kr=&GyufSFU7SG0Rt zr&2$iPxX`3-!p(T-QXM83IE03Gf+u%c$()|KgUn~6LqETy(*khbb8vSRzJO|;>o(+ ztc*S5vOs4PT~fm=>t{OEJYm;`a_npeG~pRpW)|I2%Y^GEJyktvHy760D$ye~&Aop9 zQ{5BSTpvee6una0r0XX>RX%xq|26L8$eN;0YMg()2U6_-=zXw7^h>Qi(0d_O4}#tg z?}!1Z*$;Y8r22u-`{H{sD7E`S?+rB=`2LgYVp3ibLzFpUzYpg6ZkHO6dtZ=45jo%+ zv@+&>|7bBx`Q-lh23=lJgQEK~rR)9XdzL9LMkveNw~YQAqy|Q38xBl7>x@#?dC)p~ z`>4S&*vHgDW0ZyBTS#vsH9#gdGO@+)8>osX=mMCk|4~3-Y*Y=?ThD?){|q zlp3gqc*?X?O;Xl+xV7~5QiJtB>=nOsO;P^(-~Q5jObys0c+8}f)e_T`)gH}idb_DX zdqlf&(0up~Cw}q}iqF>rD#g6jSy9YT#(Q+*>CLAG&I9vt;Ph{?Hx;v#?>zIJ-g|2B zJoMf}3gB#IM9+<=H=`QB$1tOzAo|=9bCnMt&xd+1szH2AFLDrFH%j1p74wxDAK#36 zL#l!N4~D!~Bwcp{%V)$w<;(x(OT9PMVE#vM8vVIZELQ&f@BY+#R1IhXc$5R`y6Xh* z{qU=kwZ&3p)r7IC-mYp;6UeR{RM!`NYb|wHUXW>fz^L4p{7`= ze4DVo)q7VBZUTGP;9nmvv0C{z;r*-kuo~b*@UX$hvaMLFe4IEw)_YkEaw2(|gKT&q zS5vH4mQFlN>us$DIuUJcV(kuMqq275T3c^#HQ0%4Zw|KWJ&pT6Vm3%@RxVF`m+PIb z2K)&)-Q4Z{#a89^C*yX#-El81OEh_&w+pNhdbkbN_(eD zfG3*(`Xo?;|Ado(gKwqd@#o58FZKCH%MCw3?q6T*R$1}nvqGO4Y66lVGdKaR7s7EL z?x(pa_Nr`1nrzT#gqnmT$_P$E>`em)c#D?iE%vK?NV^dG)f&tK^+m`rJ~JmCSQ1etw--`IY?hOP^zE!cstv#m}=>Ri34cJk#fznzR&> zYxnXk?hky{60fOzOF8+b&p9=5DJbWRc^54%sk}>Bd8f}kHF+s4_c(d3pNon6L&a~Z z6ij(3s82&RfhjNzO;WL(cw41n%1lLlI;u%bq3Os;eDG86cU3;7+!yiD17sn1O{nJGLsIhlr6mDR+DDn(O%it5u;P3TjQrkqgk2mbCa|Mp8paam>S z(~+(Ej8&8Rlw>R?)%34*R}xoL;yyizt504vu}@L*a$*fv$koNCDs`W()YYf2n%t)> zePhqTvEMEf1$YU4?`ygl#pf!KpT0!aC$pO1r!bj0!M@B~{32^yLI+fe`D3Uh#Fr|k zpU#}t=e3&Tr!}wfyzoh`>;7KvaPgJO=chNHT{&Hy#XHb0zEP9?bWipJ6D~T5t7^iZ z{t16@(zA%~)TF15Nq0^BUE!-Hor95D{-yB^Xu`ji5&IeC_bT60&(!O4UQK)|%6U$_ z*S)-teTP+Q;zyPGsVnte=^yioP8JbAD+8oH13X{?+@$o2GC}Gzfy)Sd0iaBf$}R>t z`L2H&FAQQ|4g98x!ToV@jZbQ7rLQ#&3xYC&E}&Ka66*5;!RYz1E(c446%vUkdF(03el4+Dtlu`8NFfQLWOK0h=%%aRCEizG1G( znD12vJA5Oj`3A>)59_fP)LGy3tZy9rl)4`K#+p?bCZ$f`lRoa4QxU(ht@--jiMf_} zR$A2QNu8b^)rhW&qfDbtt&egBUc_8M^}CDlNP-F zxwEvX>Z7WUC)Gz^fs}bv1@fo@nOC8UGDuY+RfRmQLas^_`%y%08LUjCO!PP=avABa zuqqQh?f|OCJ@^fQxiVDMBUO+7OIpNz66W1fI+U4|nf{BJ_*mCFN6JjKt`&{r7G9s zR4TK_xT=>jm8xC|QoUkKW~^ZMezPRIOsi^_s$FJv=y92=eko(A`jv3?3u77Y#UpCi zy)rgRrdMT5m9fVyS?(U^DrL%Cs+1*YDWl==r?6e`h25)Sy=6vK#Z(n@SB?G)cU3ZF zFjdJCypqwFn(I<5yUeVLm?~obrC2@ev93axh})w74y zr2o3jRnwHwR832?nnsiAF4D5AqNa-4e=S`9>+!C_rp%@aTcQ>=8c~1I_NUCL>YJ)> z|EoSF#CBJWQ-)JDF41e8vCd_exmBH0buJ<5*rUX7)jDN5RqLKet)nTu?!6gz??cjs zGOsFms^mRNxk{)$uCk|$r^?*(OfrV5NR7Ef$i<>90T!m1XP!+-?DTFlZ zgBOKGR6SJn(32XL(0yGsQ5jLy#3ZYUG&L>~V}G5yqAaG0qAH3BUFbZG=PHcKjH)mu zX<>YzI98PgD6qw#0gjt7a-=s+yT>Y9`Gd_fBOwSxyyARWuW;06uP97S5Qz z4kk0o3d*3$ppR>$#C%+wNkv6jQJGYkG%?NgUt-@k>RYn1GO9A_e=$>HKlZ*^x5}!@ ztjes3ZMgp$|Gr_X%j(Lo%CP^{P*39V_f0!l)>NidrhO8oONf2kH*Oypt&FRTn-HdY zQZeqEx4L{znOB+jNf|Gp_H*CB^<`aUU}fNhGS-ufbKk_(WqoC0W#T7kzJ%PD-pCD= zk(H4X(p*nER-Bpfk#A#VW@Y9lZNP-yU!0-E5ZP22S{XW_4VDz~?wh)zY_3eLOq~=a zOpJZrH+FT|QW;wrJ26a_R59bsEo;hF%G}D_NoB;u+Hah}aVusUWpHKi#4=h^#=URy zw`4nIa%J+QG-G1!`@Ye)$_~ot%IJw{wxo`I-|W?8CuMeJ_M|psV(3@RCt zn?axS@!U^{b#jPG2$c{|+JMP%fA^CjyBwyHLM26VG-*;C6BFaEu&Sg;iosX=OrC{E z^9^X?Yqi}K+2sgzvPhnDq;e-|=EZfwP>G@b7DKZ7TMS8E5Yq6Z;ddkEyfIgfQs<4N zsZU951GRtm^M3zTcrH0cC5ZchQYK2hW8=EOQVF6iu+#;Xi3egXv;x9oF0_ivaq2vh zdTXURPd-Hr)ZT#sV|9i_peM- zCz;eY|0?GnZ_ZsO8kIEaM58`>c|;HB?|Z2$XQ=bdh+F?9`L$%zyG~k{)frg>J;?wa-+(z z8Xq$cxK2YVfjlfhW`n6%>PxZ*Ukc4t=b_YR0hRU%Gv}^zkxC+UE>bUv9@7JcmqzpC ze054nnDV5`eCixWo|dBT{Upsol}xGA1S0_z8-*mA$ zIVoSHbU!DD<9D5)R6?l}lzJl~0X(38lf156s?JmDJe3^k{L^p@u5*=2ssyN0PlF-U zbNKJYRe!$fBA2W4)qfvSWlJ@NE)VG6QD3Q&OBusu4Amx#7hD&GD!J4}p?U)&fjyvm z$9=Urc_mE2QiWfQquv9q6PQY{1TJ3sK~~GDH|ZXHhhwcekELEKs4|eabFY85W4%hW z#2sv9=F~mDt_w$%XzIdIz4MWX9?-oDvQeGXQg_i+C*8!Kf7gjkC0imEHD%<)H*!iC zWBt1$!{lalep9wc3ARn?$LKo8sf1JKIQ8P~33xz%p35$`s`H#W&!zNpNJ=?=*SSt5 z-4m#DDa91(I1{%38-5&Tz1*%&d5JsX%F$|k^&W7Y_Eh4j)1G>X_XIug;7h%o>b$4w zvc4{><;3@c>)fZ3?+MmDW$eT^c1jpy!%M$&a<@7KDrckw=RR%6=sFFm1XQO%^%5`% zJdnoM?|^U6%9x)tt|#}Z^PoBpK5gfil=6IB=R%c)Nl*tRQCT@Y&pAT!w{px&} zxWldNtj70Y9^g4K_U~=vk_S~XDziMyENWZg`@?mSs*+J%q^dV;lGp<=pX0~9d2?8u z9}~a+sdJ1PL9hqIn66Wjmz0R*dud3hga1-uqc$@op$4c+Wt)N=)>=hW3t_tHM zMEjnY>YG7WeDjHEzOB%<7t?*$q1_{9_1bDqje*zE-WHpJatljPez7^I zH`{A2 zW)+q&yEqaCKZUgxN5kNsupQ!9*j2Q@i{p;&;)LUKVR3vdPC2EpIK#wCPI%B+MVxWY zMY~#@b-pFeg$Ib2!)pjj_;ccX_++$8#f9+mXg?OOM!-W6X~o6JVZstQQ@j?1F^Kv{ zTuNI^SkhJ&Z=`L3wvBiv?KZSW#Jg!Rr)hr@@1@HrEa{4g57I3aAEtXFY!lycqD&C@n`;#XlIMR z@?S*zskl=Bb6p^>_@}@x!cx#n{96#?STL=)TW|{6Wl|KvTo-CAW#KTX6@DGT%=U1Y5EDKZ_)E2VEyr3SW4!U){@Q9_LKo7SE1b@14~Vj zL8U$xmQtTfduilF=^Qe+H0GvsFBwvLE!qPzwDdK!w`5qEn!-}1j&zh6k9Ll9mOi=l0U_PWej zsg}%9X%N~=!cyrAnX5`RVX0DB=B|c0tu|NYsrD+`Ph{R2cuqBP$b2=h-x^)yGc^{X z-6HeXz;mi`OBSdJztzkq3)bw3cDO85b358SvT)5CX#bT(Y84QcT192iTJ6yelErGR zM|(&Xuk|(BKV*sM?7|XVQkIPFjCPnT6^-W=eNdK;J}OJsJ}S%9{!Nyx{f8{`TxMCe zPH|bT?kBQ*-7jRhdRAGsUU6BvURzndK_6MV0p_>COj)79F|?QDvyE~KOQW)~Vk5+B zbWB!ijQML^Ojd5Z673#YrSV_F(!@_zZBhztd0DN=b+rG;>P_~gs?P!LDp@49POL3Uh{v1 zrG;JAZ*c+b71^Lw8)0eHUp8vB2JL>?xD{$ltDCY(YaCbWVzO!LzGx@NX04GUt>2c- zTO&u>gvb_chs&1j8psyyaL#BqM?T-~HMF0}R_*%>OZ!Q(b$j%0|F&$?9{oGmWZO>l zWxLL^W!uj02utS=W&18CWQVTDWc#jHg{A9XvSYU|WT)<*%8ot!g{4P&*`=qq?Apsq zcIk!O?o~y0>zz+_?~_+{>w{X^r-$s(2R`q!SN80K`RenB?A5n~u=K4ed-okI`}7?y z`}BKT_U)ff_UYdg?P1xk|DUq|fQ7Q(fK#&nz@c)$pdV%b!Qry+;EHnK;Fslq!8c@| zA%4O##3lz1sff0&95Q5`96IE8Ic#VRIeh4HIb!Hfa^$dza@4Q|!ZK{B96byk9rlGB zGdxUKhG&suhYynDhGSfZUy|cTpuUa>k`qQCXGhGI6Gxmy`+=M^5_NK9WjT4|BDBlp zl#w5x{Z39DWf7K9;d1(@>S$}r8KdCaQDfw+QS;GmlCwwsCM=_+oHM#G+7fc!Xlx%n zM$R970PT6XU<{67OcuFtOhdHIm@ z=q@);z`1k6%W}&ERa;sv~2Riw4w6VX@}*-=~nsL^fvPK>8s?W>A%W1X9Q?rGump78LKttjNi2Inboz3 znaj1vnICIWvm&*$vzlq?W-Zpz&-z|iX5G*-zJT0*p@^30g(+I*7p`boW*5-1&YqxU zoBfNHeQsSX$GjO@t_2IV+zap=7JR1VSr{NJ3!}8W3qRKKEy^V~`-L($IA3N6N*EIzLlUIGs+3Db%!se`tiR&>d7w7axoO9O>vX=bhX z(sgL}XeE|S)JiU2rj=UJLo2;&QxmP`W*@EA=0jTa7B8*N)`D8yt)sPi+gfV%w+Cwt zws+DRZojQH+A&aTyyJq_WM>Yo>CWL=vz>2fEq48-wcI^gdw%!pTB|*ov^IMOYi;+Q z)7tG@tF_aX=U^orK=FlyD| z@>;LMUC<8F`WzXe^*!26>vwdvHsI)2+Ti2)v>_+jYC}(!)P|oH+Q`$bv{9#zX`|0n z)yAB`7(~?&!ah%Kk^4kJQAgAePB~9B6whHPRQ4BxMQtqEWdmFfM`Ot*3yb5T7MA>F zi1=L8#FDT0O-fN6OI~R{6@KC0TJwp>H0MR;@T;P{i14Zcb`318SAMYXz@i*MVAsLY zhy4lmJy<%&M6e&gGCF#L{RoyJq8QjuV3{K_g8dAZDY7Ei4X~_{dBJ`G%M!T>>{qbt zk#oR)1Ire*59}sb&Zre&zk}sSR~PISSnhPC!2STsmA(hqZLqxQ8-x7`mM6nZu)n~b z$uJn~4p_d7pU^cMw*|LHKf>q3B0}BFsHrrt^J6PpxYr%rSDrNfyECj4- zwl~2-!K!5M4HgDgJ$o}S2UxZ2uY)jU^)8+UtUOr1Vn2da0P9=)Gq7jD1{A*lRuQa!iFd#%fek8g z9IP_fz*1Yls(=kCH4m&R*x*usgH;0?R_a@@>R>}lR|cyAHlp-1U^T&pm!1w*3v5*B zfnd>KBTK&xRvTF zG8SwE*p9Y0z(#`YZu>FVD6m}}nU|x%_I6}mjse@#`3~4vu>GCC0UHOluUiJN@n8qL z`GHLUJJ17lJYpi);U1{t5tG0U_38>X8SH4U24GXbjtrg!HWlo}!9&2NfgKx?2W&dn zi6LQNGr*1y=?gX!?9`AJV6(tZ4mk_<0@&#xJHcjyy)@JbHV5qNPysd}UY`6yx&*yRy8A4O~i`)I@tuq|L$Ml1r`3ij~` z=J__TPe(A%w}X8$G8@@Bb~i@Jin4W=#n1MD3z??qR@-Uaho`~%o~V7`mr0(&3KXGtlr55O!-(t~{n=C@=r z*hgU2CEdX;gZVG{6YOI!+mcVgu7CwBeGBXpu%M*}z&-^FT;>Dz8CdYr>tLUQ*_Ukq z`vNR<*;KGE!9vyqfc+nsWAzQNufW3Aln477EPPECuy4SeYgU4N3l_O%9N1N`h&6A5 zT?0$IWU{PzEgIxzpzqTCM_h9MPz6bULSjM$Sz?2kcj{?CTbQ{RWn8{UNZMU^&+>1N$8;$NFnvx4?3*e;w=(uv{Ca zgWU$pyP-GOpI~`590&Ug?3oQ~!0v$M+gKFrZ?FOzBf$Ov%fGQR*uP+fHr4{W3s!LB zXCfd{fEC$zM&yl@V1+m31Jl5YZL))<0V}!*&m+`GA$$90KMG zR&w*lV18g_HlGBufR)~o4a^^`+!jADD_GgB^}qtaDr_wZW&#)!#W9EF)OG zoj-wP0&BSQ9k9$`4R%L?WdUov>tC>}V2yV3xn%=ux|`1}J6Mz5$eG9-V9j?UXCiZg zHQVznST3-Zd$NJ$25Yf*5m+9uR(pqowTxe@`JV8w-KxW zSlj(WzzTwO*xwSY5Lo;DuYnZ?>$HD2SP`&}2fBh41?zI4HdryR&Ih=U;$Ynla33YW zx*jYERuZho!E|7yz`7sg@s$Sab&$tb2CV17>tJQU`W$=%tQ=VHL*>EBgY`R<1*`&C z-$V1jo&_6lXfRksu>Oa>1*-%$=+H&5%3uQzF9fRsHstUyu&Q8#51$9C1~%;QcChMT zLl2{tMAiTsaTv8EvL@K@Ba6XmfsHyc0xTMAtd*Q{MV6DOCzBm`G z4cMIHwZYng%|BictR2|AQ!VvuyrRLMO1Hm?*$^kYAY}2WMV1vQ7 zo@xd*1Z>MotR+Liw!g$$G7N0nOHIIrgYA5&G}s8R9j9}GjRf0$+6p!bY}e_DV57nI zp6&`Z25ir1)~m5#`%kl8jRV_vhCUb%cJMTPFahkqnVw)1!499P2Q~@pkPv4s_~iD< zgZCsN{m%HE6!iq{093>0O?@`HtAHABmj32WuKX*AqTMLVNRl43R0em;c9j z1pnvne+5h2?(hEz-WkxMYGT=z1|96!WcU9>*s-IVe!sih(LCdh`aH0s+i^SkQ`9rt z(QWMHPuGsD;RGg@HW(JB3otde8G=V9$7o;BH#RSG*c-FrsXt?qZU$hf1I z-0WzL`yH)`+tFF~J6dAg(K$CeI^cds2jX`0p8FjwGw$eRH#@rIen*#FJF*RNzoQj~ z9m!qhJF>NK?Z`S>=Q_?2HrcJC={nmq_d8l;+|dCyI~wnPN8{smwAB5M));qm(9MqK zyWi3LxE*bCzaw0rJ&>^SkeeN?cfX_caXWg={f;&mcXY(fj^bPwe~#d~dvo-e`yFjE z?&!Fi9lh`V8NDC3qaWSxXp3=2C*17ln)@AHbL}X&O>ola?{E;JP zb{qMKF>-A2e&onC+(tfTjQoK|t_!K}HZrdK9w=twL(d|&a2xrgG4e;AMeg7>@=M0Z zmpzNz+im1C#>iJZi#)__$PMBVRB^{>-Dup$@l^FB&3C zZ_gqZb{qM1V`Qsmk;}S`{Dv`dfM=0+gzj`x`QI`|&f!_)eQqPaV~m{Bv&g62Mt;v2 zIk!iV!$!Cr=MRjLdw3RklH15186)@fD6%87+tK*g7f{ui+tT(e|8&LGe*AX zS>)SpBYPPmzvfY7r*s?H#~Ar_&m#M{jqGQPe95!Op>8Al8zO6Ao<(;1>NqOE7}?=j zWVf%5qXLbQot{O`=XNyg#>nBGMK0zxa)>cQH|0A-Y#djq{PLW&WLC<`` zPdtMi6hK=LZDF)U(Bf8M{4ZFP5T!(E+|M74H4SZTv{r2Q$3N=;5fJs95Mc+zP5H8r z@}%Fp!q4xt-z$C>{9g6D==VDQUAV_?$7j|_)+w%jSi)ni^R0`li{seh*vDFTnDpCY z((e_Meiu#peQ46}O8kDd;qm*~Mw|4TY0__wNxu~){nnWD+iB8ouSvf*O!~cJ((g-? ze&3k%yJ6DrrlFrtP`{vo_%|qKe%}>bA8S~jXjq?WSf6QFpJP~Gpj-EoCxbQyZE|@6 zOYjNUUK4iMgq<*9XM@hg#XEN|-bEAkmI?d7g#B#7{)xX|yMO$C_9zpU$ApzOiPzMG zbu&KJ?{sj7;7+dRi=~(x4en*ah6E3d>o@dXzZoWMVeq23ev9t)TW-SEn6Ql|Y?}$& zZL;43ChVxmV^5p(J8#nOO_P4_ne_YEq~GTz?3xMt*@XQSKhJ{yHRKuUXoz?Gejyf< zeu2h*cx;#ni;90NAsJ2jWiv3JkfI?aLW;)aJddriNvvqY<515->Y5yHBa?p3O!~Dl z>DSJrUuTnk-A(%SGwC76xArnmEO)-gQa()OgIX{F(#E%!6E`GewVkZ4c zne?k*(yy|ypF9~_JANGrZDhh)ny~gJteXkzYr+Pbuu&##f(d)Uge@^Lztf>6H7ImX z{A-cWgC^{p341qweF*)?gneqleha{xo5C<1>eM{CEz# z3Cmz)ey1JT;^&N`s0k|<-)oLa@jc|IW}FY~qqa%EdM5pvnCzpa$z$7?Ja%AwpE`z_ z#2anGCYZ3PCTy1RSn%BDoAg^^(r={+TW7*Ho3MDVr?B6>CjAZ>nRUM7n8{e3GWJ8f zb0+LnBSXAPCh^`e>Gy$2zbhvFJ~!$2wMoBgCjEXi_QUh|)yQznw@e;;$E4rg`2Cz- z#(sXMofadr&Ue}jkHs~ZGx))4FsIYxaZ!fH;abgUa*gLSUgP0f&6&&Ou?0-_QN*NQ zNt1qMP5Mv{o8F|XU4%bhFY*oxR|26QWvyfIH?Ls<-bPwqlGALwt$moy>AyYzThRg|#2u&ASEVNW;h0w~O zwL=?)whV0_+AXwi=-|*%p%X%12wf7oCUj5e!O(M|?}mO9`f2EIp|?Z-4ijNsVSZtO zVIg5f!$yaV51Se`Gi+YiqOfIQtHRcWZ3^2KwkvF3*s-wFVdujxg}obgIqdVWZ^FJ0 z`!(#(u)7X#hux9Ek-2J3oHl2$)9H+I zW^`tA=5iKr7IBtzmUUKiR&z!>>p2@cn>$-O+dI2Ddpi3$2RVm3M>{7VZ>HIJk;iGi5+-t`_7f?s}8~g}B-vpqLDZrgWsVqRgZW zhWLNve_8UoOw#|B{~wZHHj>tYcxRS3%uD~fT*6KH(z*pfd2_e4uEyc=D?HM=5#g<) zxB>T*OKT5qz?X=mbvQQIx8iX5*0!`S2-qR*i^%4KIq|WAw9n%bKDvMgt+jEaft|UETM=YnFKi8JVf{=7_NA<#Y^D4R3Cd0> zN~s93K8FJes)EM`Ri)IRL{sWuE`#b*8c~{3u497}HzmlRYgo0`!G42&~x(IAK^=TFj)q&>}2@xU0UlRlsz*yKGm|KjRDT*V#y()uq}10+{*+r13XxV17u1#m7yIA8%j>|)_62Q0v! zt2VCU)^r)bNe&3+>NKu~aJ4AwMlXo93PVlg>TJq9%1W}$7^YC%Wh?`>aCIwX8)ZAH zK)^0Uu~y~kUaszE&_i50!lf6vbb?DS;i(3^&3^BYy~l@DVNBSC9 zabvR#c!OQMcq}#^i)80-dAO@MlDNlQ2GDw;xF=ltkMLP1WG0_wLT099p=9+rDP(po z<)GxGK%~Z{eP zACQ&wxhiC7N*PL7N;yh-N(IWZl!}a3iBg$Tg;JGLjZ&RbgHn^7Yf+*pwJFb0>QL%Z z>QS6N`Gl;`<7+@^NNGfAOliVUO}W&J(wx$Q(vtE#r4>X}pj6~)Yf2kFtSy(?LHzsU zw`^s5u6CewrF5ipqI9Nop>(5kr}Uuor1YZnX1qR>zFh4`=}#Fz8Aut#t%JEVgff&e zj53@uf-;g_MsaC0WejC3WgIt*r%d4LM9L(tPUg}S4%1XFO`}Yw%%IHVhFO#sD6=VZ zD03tfQ=_Y+&b&lueY)lr5C4 zlx>vllpPSCyqw>id@{Spb~C^pF72i4qwJ>~pd6$eqNJfG@q@xb9_H#1%29}@#HC|g zszRyE)krS=K`U>d+@Y+e^tIs2-E}QaA%;-?hDd*k#gavc$z-i5gDGbzvncH;ohj!i zLmBD@mqu`@7nep-#&fkRmwI!l6PG$t22i?Cj!=eUtF*GqA<8MrK}s8L?L)Z>k$x0! ziZ8_nA_h`krVQgFj!{NY8jy8>NG~qEO&LQO$E~9&ZMoWzOJgaoaWu|TUZ>2a^x%ds zxzvsFe_ZXyrJj`jTs_UD%al(jbIEE^>QHJ^o`d+5Lzeke^r<9#3Udipg2JbVPfsCk zbFlxSoMC`hC@=6~Qz%;~FOe;!%;f4;%1+8Q%67^w%5ruY#HBry-IPs~mE5p~vX-)s zYz3G0a%mNp4sdBRWgTTBWi@37Wj|#a`z@nPrBny=o8|Wc z=0owN_)#nre~Oh7K(X-=fs`PMof1q5p@dSxC=PaZQo<<_lt@YxB`qZ#B|UeZfs&Du ziISO;g;BC{DH|m_B?l!ZB^M<(B@ZPpJLlulGnD+40+gbZf|Np(!jvMEVwB>P5|omZ zQk2pRRfbZQtK}%=DHSNsQYvz5B`#H_RH0O*RHIa<)L@sIT&hKhrqrf9#|?ETb-7xP zQlG00xYUqC(uhlqDNQI%Db2W{Ii&@qCFOZaD@toh8%kStZbxZP=|Jg7=|t&F=|bts z&fO^8DLp7XDZMDYDSaq?*|{I3KV<-AAY~9`Fl7j3C}kL*$8au<;A2N}X%uBNWejC3 zWgKNZWdda)WfEmFL|jBJNC&b)+9_d_P_iH{1yXEW4WI;bH3UmO^L*w*{9hBIjNcR? zu3>|Ci}D%ecgl6j_mrO~AK_u*W6CYA{y_PWa)sg8fS;N*hXhN+*aG%B8N99+cjcew2ZfA(Y{iQIzqNNf6D+rKyw|lou#- zDT^peDJv+eDeEX3AzE54ZJ}(Z?4s8}QNDy|S-JEz~v91t&!;!W|RSSf*&U`iMz zoRW@`5u)YhQWi>fN-j!XNQaCeS?@=y8w9;Jqgz`Cs^WpU!=Zwb-L zamk0mvG=x99F!qs-lZrUXYb0C8Waw!cLPdO%JY;q5G|%l zN3M3G^rrNq45o~rjHOJXOs8<@v}#;hKv_yzMOjbTMA=H&McGf`(0Lz)Xf?TXl5(1I zj`Awy4a(aTj-U63l#eN&LbTdk`hxNe>aI7?rmAuWRfs`SX;gnI7 z@e~f7#-Y<@QRYwx;5d207meNr&Q*uypQ}R=aP>NGZQOZ&(KzuU$;5soHrQW9@ubMadY?3}( zebz~z9X>my&mJy~_8B95X8Oz$KErr9J(W_Eo$=nB@M-VULHIQDX)b(L`mB;ZYw-xN WfTxayltq-9kf^%2Q#Xyb5dRN?hCT}b literal 495219 zcmcG12V7jsb@!cJ*gAj~NeCpQ_s~P_sQ`-*2voeFh6LyV>JnNlu%MNIg=G=adwPoF z#7^uKcPF+}?8HuDr#QuFj-5Dh;`BJp=}zJ}^-J@Y{(WiR|IE2%?%jc>B>w#K6X%{e zbLPy%)gl0zAqjT10uPnf2n2XW)TnXD?|pWBlkqACbd&U=2|kNsSTnfv~gb{3jSk_ zKdSLR{%S2DmGUxFg*-*08b7A-Q}wodI2w^5kx1n-s=zo3+{AL#5g0PMk>pyA3h)~> zerl7)Z`Syu8vj|1pW5unw`%-RjlWmpry4x@0~&u+PJD z#_!eibToSUPiXuljo+{FJDNQCGq(P7C^yxt${nmhxwka_sK&oz^U2QCcF)dfP5-Fk zb35PF<#%}UbGp3Zv;3ki|BNSJ*7&0u|Dnx)5%!EetK_e5fc+nTwU>}f2{$2HWdjWv za-$kQrtwoDPhM*LQH{UB=A$8UsjzEkbd$y()%cB?o>Y~mznS?}?PQPQH^t>Zb@W;0 z6L52>YLZtC6m8Yz6`$qz+WM6}7~eL4q6aiRql(XZ+HHAihg8(F=di9{@mYV5#!tma zp83ag{ff`}UuEl8_QXAVPT73b{>-Nii=NZ;q!J|0dWJMTql&KvM)U=ZpGtcAFKK#4 z6`%E7)A%Xr>A$7%M>YN(ji0LV|Zj?PLd7$V9<}(mC zdKI%hD+5>Y84$K-RebiW%(p!&%PY^yeA~0Kyz-#T*F0-Z^Q=+Zv#JhY&&nO3dDfif zS);aRW&O&7vi`$by+#$E8?Hy=r#ufDJ*M$THU6tKe#-N#(Nh|KRO6r1_^GX4dk$&* zQH}os^V$AwB+vF=(&ZJO?Z2kWE6>gHwnyjo*Z8(aXZ^}^v%KxmSzhDY9-ZZt=Vp1^ zqqDrmw>>)JM|p0x)Ar~rulTIT_UNo%d35GK*6XYI4$!@XCHC|xA!d7eDtL)KJ@ai( zulVfgnQwb~mRFvh`L?HLdF9cWZ+m){*Z8)lXL;q(S>E>aEU)o3Prsyj`k3wMS>Brg zVz#Ge{hmjUX`X&b^Yk&>)3dzt=xmSe=~=(Vw>>?}dmcTedHSVMjc0`F1 zC%`SSr&oZB*`8kU+0!%M_Vg^TJUa6=Prsyj`k3wM*?!NX$81l}`W2t`*q)yCt2Hw7 zZBNhg8sG8ssv+#PvucPc9vIv}ymnT6dj?>6_VkKx&j4J2;&Z|F48R6?o<63{086~K zW_fJ}Skh*Im^K3}@!Fc@pY=S}60fb9Z_fa1k2f>K>=}UVQEOXfN23XQ& zfS5f4Fn~NyAG2ow*6+;>F>MA|;x#?nqs;(IyryUV9o>G<)5o+KV2RiCEU(P~ODWIO z$Ltw^2e7xMkJ&Q-_m}7CW7-U`#A|xiugw5UDQ^adX*0kQul3o{IBR_>Sk$XEy-JAN zGXNDV#uQ%_5VvOl#jjVMp847gAjZ5IAg;{-qTciLacu?=V;bL{0a(BC^sHZ-0mPU$ z1H`o%K-7DlKCaCGVoc*}Gk~b~W`MXh1Bfw=ugw6W-t+Wvdj=p!AjTA5dC<5$1F-$d z)3g2B3?Rn586a-Y04%ROJ;fVegThk zdr7U`Ge;49h)?obS@F|qjn4cTZw)O<-kLt{L@O}hMOt}uHQ?h5y1ch`kC!xl+Vkk~ z2h1nOAxetRdS2A!mFFgWR>hx)mbjRDHomc?raIG+sSd&Wg~F!?goq4^$q@M4b^d9O ze^BS2_4vn`zd0X+oN)cLa>|G2KF;OQCG`S)#pc-Q9XJ+qD7 z<@--IUM)_vPu<^o`0DWXNI05IbckEM52B)}Br^TGqZhY6idKiKMrx|3L;d}Gqur%U zu4Qx8wXRf0M>0|!-M{tdE>U$nf8z1ki!W4%qn(MRTvOM**@o%vJ>hWG?V6W2=0Zm& z&mNMi2e(3h=lM)7*HkqV?-;$;-1*>o!zJizth$qF=}3+AAK4afdbAt)7HVF8uBH0< znW-y7d)jWal$U#(_w2vXJ5%U~+{ON5kZU>@4plvLa__!O=Wqj zYbxB7+ca{kYvtw9RL9bWcvUnU9_`Qc?+jmF+H&w#SMg%)OS84NJ0BJ5?`@fH>RlW> z{rt{cZf7c9v#YCPJQ|K}8HZi1RU0B5xkJ~x?@jC=J9kyxsm`QEn)_z*z4GiK*x68f za91X>ck<@$^6LC(PqEN&>Rj8#o|Oyd4{w>j-WfW0R9-!_t>}ja3S=klt?|&hBp);3j*%uLb7FEwIU)VY{d+0{@%*0rEQ!dlBnBn%h zv@z8*ct6(|u8HrzTf4P;Cbh4ra6h+qAhfZ%W9f=W%+|`;g=^i5gAE6E0xwjb!yQ+v ztE2a~Jvt-81KAVP(f)z{iRj6V6eX={k1X{cypg_BNFR830QOxtU*1x4t9^cO>#=k5 zjh**qBb&}1+FG5AzO?PpdGZrA#~WH=oik^jJa_KU?e>SKpKMz_)YLsQTRSwnaHBmx zJ|g$j-0F;PuBq-`+N7enkM?I$`3tp=&(z)OyED7H_3FW`hi5O;wmy^-J>^0}>#Drb zRUSx8U#$s8s?OBDysN2YKt!|2mhg?v>62sASBs5@7xT3TR^`o(`NGc8j?|6*7pkjv z#dEpNP*X?qwc@pO=gISrkJQ{cg7)l+qCLB!&kUizx~lHP_jSZ?^-NzyzR{arzCDz$ zG5uin$%Q=!cO1TR_RNcvA9m**yRRS2B<5$YJl=iqcJG~R;=tYcYw7gK+Uf3E*m>Kr zbBk;VW4xS99Oxl^!%wztnZ1^tr}9!0UU|=`@(wRwXn1^Nc({G~`k_-TlP$ef&nBXS zh1cbV>fS`{^wqi>oft3kJ)_TrYI(dsZ~v{c z#l}9=|K%R1-`X1h&QZ82u8-Im3T;;H-jN?X|M<$GNT@nS_^chM-k55+ zo&!GPmoMaNcT^|i&or@psm-a(Kxc9AN%CyX*4{feN#B4`-|o6_q`I+&aS%_&TXt^k z$)Ak0o*kZOUrz07xjxD5k{Qj2n%S|(@DJ_zfibx{v>oN0w95ldb5%WyCvQLAQ+K0% z;llZ2SLYjhdV3d_ zr}1q(FWx*dg#I9V=e_bo{NC)7ZH=`zI%kHr9_X$CE|tCKJiS{wTD$9Rx99IirdJ6c z@#t0u9}T&1cOLC^=HTs)`IARZwS*??9sFGjAIzPd-`;m;@QOUUpvpbi$?ep#uO$7_?=wZ{kUO%NAJu){ZOnwJn+2cXEF;DeRl>XhgRpj z^aG~!p{8S#*LSx@k^hjLULC0}xcP^gdS_<)$^J$zUkrp{KaC$MfA_&{@IR@V!)`xk zVua&{nrzpdf%BWL9c=1+5jZ+J>y59v>m%@gO~>z@Jb$>c=2lmpaAv0y&ZL(xz-IX%;QZN9PVUI7b|i#OWmvosLLJqNL?qjgmQ@X5dyI5;d=9!Cys1HilOi3tv- zrMf$R5))U=jgFN8UC$*?&vT^b#la z`4gJ}z|MT$kld{5xh*xfJMPR!rnl58$K+024eQBYIKS!P2s!pT zTb?EoV|%cE*h2$w#v6bg{p5HiPL#1Q!9w846c#LF1dsL$sV2lWEM!h!X?}R%78Wk)q1EAAo#Zf%E_e>5o*agp=;nn1_b)lb z1<&CLWmoRV0XWvaGA$t52q!1KcF9#yzbB*ZH`^zRJn@rbvnOacW)bxq+iiACckTLM zT;*KHbgkpzKz-{TavXDBI@gyR7t-?$$F`tv;zt9}>?djEp>sWxLoCOF?JbHRF7V2GC@a}8Mc?$r~ zaYv5DE>85UApNM8Uh6vU$g#%aEljAJ4|aHd%+v3-@8;unI+gF$zgRENwl!5JlY6`c zO^a9mhqGgw_5x24XW;_B>`e^Uj?E8@w8r`e25PSrCos$XA5AejICgkA>TBuQa(o1K*%<1q$7k59Ng@1^&d-^r| zJC?6El(BIb*y@cd&wkif9=~^h(wn_>pZ!z!&o`d~{vyY`c}vIVGWiuM|5aZ3OB#Nc z&qkV~v=K=<3mWnRUi(kx`!_wL1!uC(UhvR-pr@aC+)d>VnaXeMUA_=$rTH-Of>(Y< z-0mzFBDCS_oLRyKuO?Dem2S8^o|4tEWLsJ^A(9e~56;#OR7Gwzi5dhFLdjj_vj?{x z-&~X0KDsnCa2T(t9GwZ}L~Y}whIif=xCY4l<=oSYhKX_?#}N)9(;W7d&~Z=Sf6stZ+Z>}_q#gq}OoGB!}X zOGHj5`=5*4Yuxif-T6dENa=a$%)Lvkotf%=nf>E?&g_bG@ZV4(6}qx(BzgX&E6=A6 zhBl90xcq$m^LMsI1|raNdE=4&yY4%BSkBhdBsQFHO6`JOOZA8#)gh2HiG_b^duAz9 zU7dVxKZ0vjm+$vaU!5Nj(eZlxy|yz{x2yH+p&RX|GT5KCUGG{sS({wS-R{6VzpZ*# zCOmz!{_&B0qDuXZ4~l5Mp*dEhe1iwN>n14QQf9unXXa$T+*5bEYx4S&ZJTpdor@C< zSjRN=u8d=$JC{m@wxk|o!`%LG01NTCQBgB^qKxv7O^%N}K0YGJ|0=Hwuuj==3>$CEU$FOlf5&LYje+~osrxN0I}ay; zm#e9pA~Aks?rPobjwuSPv`ltIO4Xws^UdA!*l;((zY~70j&2PlfuE~2+0Oi)2HBXQ zbrWx}3gcskugzb_`U^Orbs4o!k=A854s_G{EIf#f!Zo$=!}_al6&ri}O@;R8>oc-* zYnj$5p?zk4OL!Q18q+Tp8nBL__T5Pvp6E{%5|iZ}MU!wbL*6_zddj z;8g|kui}YMn6*kq!#T(_^b< zte3|b>*ev7sit|x=SRs;H&i#(R1fqI%p~`>9&Hf`1h$kPq`=nQHUx;TpCJ5Uo>21> z<}scp3geMfrM{b8E#c#;UnVcYzc1eED_(5a)F^=8;U~$}gE!h=%w8$uK%f`%>7WY0 zs(DyM6wVYrP`{nqNS?=c_#n%v_P}`E%s5r+;)5B^Hw4^_1D82(K4aWszMy@uh`95d z&Iit@z8shwr$DcYLkw&=B$7rx;g;oS{yIRoRr>4z8T?(f>sRgdf(i_QKU=J4e;eM_ zv0BH0OUO&|8%O4Eb#2z}4kD2MX7A+b^Z^l&>QGu^jI5KV$uu zQtOVyPz-@?r~j~&9cBJ)#ACMhgtjow9_BF*qu=Ye-#eEFupb!O(VY(;XIu`Rm*}^4 zkDn<{L8arX!p~KKQrIlJAL8Fb9*o^6meFn@IQQFQxC?4^1CQ!gDU5m z=4T?JUfDY`boStl&e`FH=CkOZB-_(>?|pMcC&jXA4-h!__=>|c;YbTNBEah zs{AYL7gc>~xg5yvK%5Kn`*yaM1Ln#P+3kb?`$4;%B>W@VZ|TDJeJlAhFYZSBUCZ}B zx3_J$ec9#rd;B`Zzs~InJ@e3u{!#U|*A?&=b~|eR*KRL0AGmV+SZ?;7nh$s!vj4<5 z;&JHAFNZSzXRrV4@j-UjkL#OWZHcKH(*-*F}o;I(GFuD7gK{>#^(=?Dx3;c)fPwz&Z54 zV-K%mZn*Y%>l(I));(J?PQBnKu@2?_7{LMM*3O4cf6PYaFkX()_)+~caf0kVjPXca~QV-RfMv*dTcw?W`BjKe^HFd~R%0Y>%iub+f+e+{Nup&N`z! zUXOj;*26Pr$Lw{6e>|qtL7{zMiTWX+FH=*^G>>(zj!dLyPO@KV3GZ|5#C}0YK43gX z>u198qFU!5e=&mjKjVKUzwFA74beJ>*8g@n*k?2*K*=;d||kNVorUS`aES|=V}ypM9{t~>1-o5lQ(eoik8_P6e> zt4Yzioeo$R2A^zG`zwlv(tas?S=p)L1A+aIQ0u(<1Biq3eqGW!(peWdxaM_#dEm%_ zv-4QrZfkmc^&;aaTDPM&9X{HzdT0j@7;ERw)@<)v#=$)8qssJ*14BBce$U{LDiPrKo8CLGgv3y8$|m|s{USHU6{auXC%k?>wVl!=PuY!sJJi1kLQ|t zR`O3?nr++KJA3`avBpe<;=SsHjOmH7O%LaqkIm$_A}$KM57NGd*U?uFHT6x8J_%nN zZtlc-KrX3v=l%P_z*uv1LG=d?p}CDHjbS2 zr#p@s@#2J9-)2s$dI#3MvV-xiA5<#8m*IA?*IC%F`k%4?4$(N-wigH0YCV1N8Lab; ztNloMh1SoR2#<^HCwP5*N|o=ujGHsIj&?gZ9fDo()pagcj#7V`_^h2>@zZuP=nsQJ^juV-<#f&2mO!ya)v zo=4mX`|2F{2Sr?!JG8x%_Q&e{67BEqkB25YCkJVNWbc>NGvaY-T%1QdQH^7@FAib< zX2%US(m^ntU%}rpZfyOue-1sv_Ad{r{Mcv1uW)}l`w_=~V|-wo?efW!KUDSG#O>dk zr+vI6e}HzxIwZk-=DL(@rTlNU{JGAbl7q=J(ysi!(WI5$efP*^5L3t&TVf`bQu&?3# z_Wp2-ZMVJuqV=Zo3u@dt>jHbd8E-pZbq4DX#D@oYz1{!f72v04_{4#`n2#p&*mtxK zC&Q^Wocd38My~A4hrOfTh{PlZDRRjKfR*g4q{o(n~BJ|SY{x05@1 zJHjnZmut5oe}BmK>$GoSzmD`Y&M8{%Z$Cs`bzBgb$~ffpMwE)+iDZ{UAs)VNUb zBdULg6UR!b^|M_LuVd|WXWgUpVZ89VN5x+#4wq8vE9g0cUsY4Q9dZXZr$QVDbJpD* z#pTE&6=!nR+XKBrz^{7WBY6JL>zyYX8_nnO_WKD~Z?AX0Px0V`S+Rr8X@_q06i=rg zchBF%`2*s?JkKaUjeWr2n&OGg>dk;K+-NJq(zfStiu27eHL9b_VBB9Qq z5${5r+KE@-e4`_fuFgfS^~?^B9KiZK&_29QdoZ%~aQOoE{d^AYy$>rfneN$DRyQneTHLc9PBKf1kl zF^~1UiVI<#R1+6g+PQS#^uxuu!c?I=P@G>Z%;hgGmJ7v&7u5Dy%A^gw+}pm?;(KNq;`KaH%*{z|{=7xu~@rs!S=ude)=>c4(QO71jpI ztaG*Ya%%00TrEtO??$cXgjMV332WEA+=E=(Ty9|&*G4=j-p{uU=gW7C)8}#v({uUd z)}dnY{>oz1+712di$<-z!iumwno_$;qP6s3YVQ8s=@J@hzp&!&Bl^!THjT?HAaqDr z(b5C;P@#!#tXN)#P>0fFKf*fm%^0n%xrO3FYe&>NjO#3x>5_`J7fSi*{7h@6R4T4! zmY0jvfk%WT_0*`~)*fpOj&e3%wa4cRy;~pvPb+(ETV@uNH7>V66@{68 zF53-Rp9WW!xCzS04FP1*!@|R|zs0iog*98+wK+*yr*q|;lHNd1N{hNYh7>5>bJH`c z)7gUmky5?vlvqdEm25dT>xt(vkV;B_JzYst0*p%e+;mp)b?7OpfEHJZ3_}|_&k6-j zHZFQ4H;1xpl&GRH5XlUMR)1AC<`y1hJ*?WT<(6h+EafjvY4;jIJw{al%Vl)A>XhkH z4$cusdoVYx%y+s*wSh8}#)0=V4YSn~3P*$I=JV65>baJl7mD-w(rn%nAaS6bnpg?Y zv(v&b7a9uF`8<5%RN2e4vapQXcGNQurxz8is=^IP`V@{>)NVw(R|w36FMSaGmxqQs zpo7{7!U`>zeY1~>D+{xExQV!GO?DXxMcaMC4-ay41+*^>9&S#mx;}XPTq+}}v^e8L zc_hYEi~!AK19kXRF^B1F3jRAgU05csfR5C?4a|!;dV+2UX+9R*5Lk)jaQ$2d5Ceel?y-}Dy@!wR+}~?7YPlRGv+z%vtqpD{x%~>%*gCG&empyfzG- zL}!phRpRoCSfDAXm3fT%>}rXoNjMl`!O39^Guvg>6p6c9%G%AWoPs^jv6>l}pI$7N z+U@bJcg_Ztk$Vu?sY?=$F6Ez{2bzD;;m)JiFmRu)J&XAT+e)q@B~3m8qLh#I%FU7> zT5twKb2^J!uyv}&R42b<<#DANQu!079evrc{7|lzvXlEqOL0Z)VVzW#FBF$m$(x-E z%%&E~rEKv5mPT{MRa|gJgH_>WK3AT)tL(&}Rr3@^F`1TMKs&^gHZ=yErpF3G0i|9g zX>}!7OT~177@>xtK4uebw6Z2`Y^Y40vt}iLe!D8j?p0LWP3U0gs(fTD%|>>+ta`?C zCpzgWORdVHu*`Wd5iDm{6@zP>@=K^(b&BWs5UMBZ;a1i}O4BqebEjj5@Fr;>N`K6% zfzL`fk7788REsojUr(bdCax@@rop7CXg9l-PVEm5rP93)ayUG;xoug7IeMc~gRlg5 z7Cm!18?E^k?iAI6)G`XWJkw&xD-+as8^yfj7>};xs;WN6xMfR$6VAZeoeZQJNVHue z7N(MiQ?69XJ%XysPrK6OjfYyarvvNG;M$qip?WcC$AAu68LNd0rd(8GV163TyZuyt z%6;fi4|N26g@%IK9HTa4%Zrdzsf20D$36=b>Ji~|6s$%KE!&l!Qa%ovP#_vntz7sC zW0c&pT@Wn++zwy?yEmK^-br{`Z0D-HZ4)^PY~d#7ic|NojK;cBITV_Tnk&;(ciZmA zkX0qqeqpUNT2wUp)GD7*oS9K|s`Dk01KH@~IFm@q$0gJS)hbI?a7*JXu(_SY3-gPm z{1mQm4Cl9o>zBD3Tw;IoOfj;_W5=)vO(6~^sgmrCquTz-t0S)n*&-^ zBmi>B{&SbInNz36vgZc%r=g1|Po<5GWv*xKO>`}B&YpvCX8c?hI2JbmVTU`r*HpplH1J?egM3$`cmg6&DXV0#h|_SK#m7#Pm>XGSh$`v)(LV|rkQqU)Hxe(7}f z>fk8~RWV1|Z*y3|>45=9B&jlS*8pbf>5QI(b?`XhGFivSWi|vxu#U0QX9q8iI3|r} zPK~*=8qTap*={Vw2LpYrRQ(njnTu=-@_E9B=K{Z72&ZDO_&P+8vP#p+3?Z!`B zLsfaCaXuU6N?JoXtKzoc%r4@UsEv5072*?vXD$QZHlCda8_&+8!gFeH1bFuQ@(LdL zouC}~oglB%z$3pClq0_r8CXk8Jgm*sv(l!L;EJwkD;VZb5kVjCvFM*z~%H==s%5VsGcrA z=ku~w{)09?xK~?itJBQBeHzEPFP-JFsNJ+zPqvrq8^p(O63F|)(#iq_d)mg!rIjgM zuAZA4$j!}-V=o>RKZf~lvRK5)KqgGCY-#t^Wu|i@!RO4*?gJ%TUf8syU1?) zN|kz>1`s2~?~30`h~E*P!F-utSjKJpnW?G#@^V21wU6lf16kMA`Um0<6KI9c3M-5j z5Y|~;5m!{J`F}<8=fodV>--5;2x!Z@#p!|E)ZILW%FbZNR3IGVBg%OozIE;L$=wB7 z9$6bbmfzl}_$$-sg=dz807S$}`K9c9O#H3iW(e5kfT|q*@Zt#-fThDA`rja<&>!m1;0~=!N3ZmIhTRjcFyR z4oxVckuAkSRsQTqx3hz)%#I^v(u{x zRb)A43j^ygujrtIRLlkxNP`;S%$EVrP6m*M3_!vL9OQW6OaxbBp)CP5kaE<3G^hb2 zeC6WV$pF%j0jP)*02c3;slaCf`H}482WiL;IQR<0vy%a&Ap;QM%YbJm14u&#U_c*E zF!K3;3P?CAKpIp47``&`>|_9G$N+5kGT_v+$`aB6fY7s(!3dBv z`rB862L(zpln{VI+Jgd-h79OnUkP5{r;UA|4)i@eJ9RMjeLB$h^z39X_I(=N=`+de zX-YEGA<)xlucwJLWC-+h+Usc|D>HNkdYYc?IuL2d5a{VnucwJLWI%U%{vU9^?r(%n zujh$0C_wjmOAsiy9Z=vQq0@+j&HxhV*{P!u37r8X(6f`lh=k4n66o227iJv$kUNH`oo0zKOqh^*|F!vQ4Fvy;Jygv01w9scy}WH9#p zVRUD$Go51^$N5Su^dz9f>wOY8m>KB(!(Q(bX~=*sRvvn)I6sedYVW>hCojr@p_s_Lxw<4AMtvcNJEA|PapAmnn**2Ku;g>dYVW>hCojr z@p_s_Lk4tbz2nvBG}zvwgnq%_p+`If5NS{pK)?|X0Yn-y1Q2ke*#5YX)*fJj4z00O!_1Q2P+5I{h;hX5iC83G9C_7Fg%AwvKG-5vsnG-L=MpxZ+L zk%kQDPJQThdp%90Aw!_2yS<(!(vTt0)7@TA6Iq#|C(zUMZ1*&gh79P=I3h?3S)5Pf zuKR!SSE{2Y845;x?Lm3R_1yIxDp@v9920$Y^GqLCc>9lx2CxjFT|>3l5Q7|`LNili$oI11<(-YMZ%RiF>Q_t3`y ziQAwf(x4Ae!b`Q@+wl0w0YXaQodG=__>LOEcQgP#dUgsng6}90Re*xN4^p~h#fUT>?lA(??~gVWcA{Y)2Ocs=<#4iIW`%hTtG`u5j85#PilQ}F3825 zKo@9}Dgb7?;{;YG4X;SY!g$xiuNHY+wV+LE0V{tVFx)(#O?iMIe;zR0JfKZ^02Y59 zFx)(#O?iM9e;zR0JfKZ^02!&h-3o)K9x&WIW=wSeAHF=qaPkmsD5nFR@6V$lgAz@7g2?F5kU_L5PY@X$8ZwAB;2_$RCkPG-lDc`!;GkHjzZ?x5lxQl4u;9eNF*&{^s6xXE zg-%T>f>@!5scWhkD-4dMuvb0VSHs z3F3f4rEWQ998jFpUygoZsDA80-5C;@3b;~j1fa0V6ax@%J zqN$u94k&!;mSe^N1x)?rXgHumQ#nB#P~_At$BYAtmHNxka6pNMawuHt%R>yO9HLEm zf;gZMs+-4*0}7h@%h7N^iKcRbIG{kPTaFnA6gu^nqv3!OP2~h}K;cxk95W6mfa)(t z!vQ6l%0XA}a5iv=59CYuISKzh+YRAVH3s!+SfOC6NpBD<6k~PmH)DmOs($-5tWct% z915)Z@({x*hiFrtAXX^Y>gF+Hg~F@;ax|<^qNyCf!FhRMUcFdTbs(rkLk|UHO8QQa+!ZO0%MhDrk_RK&?qp5K9Mn;(+3?Zhg!+pct#a91RDQXeuX&1B$!4<(P3m!Bu}b8V)GY zR89~F6kT=8G2?&&roM8B;p8FOP!0u0{dqJTP@*Xhy1J^IUoOY03c=hOA}FG2%8gFn z;)KEx?wiS%)bDTUH{`J@{N~SZjs_QsteO-85kZA2VyzS|2Nh~qqOht-A>g9D%{LvZ zdKgrqfr~<|CMCenMw)}Ds`d{vbE{b>dpW2~Lmh=-P09c)_2WzWNwVE$`T522BW|?U z1T|`yq&TffV-S-Ro^`Qe#w0~v{hgy>(j1mew+Aq(7AnxxOt42Ot%AU z2|nnU&#o-Ss^03iRSCE{jL1y417CXm6vNG91Yf${0iXYuxcG4@4kfDI9aQLnPvtaK z9&l1<_AA8u<2b>odQVWH2gG!{5s2w_K+0b$4{s{bWCTF7jeuKe1l0_Nd|Obd2f1{+ z5pwBvplYojCab$wVpZQ6)arp!WjC1$3~sG7RUIb4)>zf|26cL%O}B$K=nTev(^}kD z(WX2>&{C||0gpNEo2JmNuWPipuSztP69lOi_f4DQzG({WuGR9&HN~nv5wt`@syQ;8 zrr@vNdJT-`$Z(p1zYXTzidEsq3x0hXP|Z=}G{u0`>tVTv1za*hw`;_zemST|!=^cC zoTj+2T91;RoTKQ|K}8xI&5`6Z1&jTa(BNi{B&UOsWW{ixV~!-JDRAr=T(WEahk?Si z@UqHbz!OD~Jw>ZF9PpC_UoA+=wFtCHQ4p9ESa$Q6y(h(#z3#Ki{_9}b8Wbsmsq7#W zDcJ0m4ce3k=<+IFvJ3ymVBs1DDKc$RL>SbL7}FGib_)k>$^#_16?e-1_n;mPZWOIH z=^?n$cp}`|D5wqv^=J?@N3GK;YVGKmF^}bGSQA5p7q=Bq?bKD$yWnj(?}so5PMJsL}Fbta@8ejfPN? zH&rNzPzr-PATfu;)9RICr|1&RXtC<&f<Fs1{#Oo8#+g3a7j1 z%&jh#ise{!Z=haU%w1(L*#qo(u48qIezg^=J{eS`A=Dg*PgC4oy%yw5JF~c-F;;yl zs7Zq6xutLfMEx?iFwz0=a>h<%y@^jgg(S8$P3tQx=Q^efV^OF2yT z0E?PEOU30_^>|Q?hFx=HKTUyrt;gu9n^^U=pdJm+l)+>U0P3%ThF){DKTW}Xw+gHH zA?akS`c6=d240dk6iqRHPYsXad_awMCXhCt38X3P@2SCE!04BIK{b$ZI@o+nkfu`s zUqfie1S-)~13=Dm2Fe?kf?70$nhy@rbWY%PWr>}^YEX{`QOaPlh6cWi^b$LR$3ZGGD$~nuB4p7n}TXIe0Ne`M4uZryIV`(T+P*qN!{`ukK9gh{G9c=Hreuoo=jiffa?P zsy`T1s{xntn@j-?)ow0$FP6FkOlkd4P^$)G^O;GSjy(Jo)DUYv4@uMUhd+;oO-eLb z58N58SHCV-)_)|ZRfDSe#3UU&F;NVsd(0;$X*wYBS+AX#s6>PHbSUD!$E&W8Ru=zM zP?H8i^EpbI4n~xw#d-C+>TJ365Oem=1vP1o)qIwcrjrqWJv3;Vk4@5aO5)F>;f@kb zbq9$2c{Eg+k4@5aGUCspp~`%0lBQDK%4Ra4E!s|bhe~UXd|g$$r@hFrzvSVAMsnO;l+G-lBQ!2e;y4l z<};Es9d!8fXvi?1f~4ut!=Fb(1|?RN@{4oAdS>sS|Gv?E{zqK?H;MmpxW|Pu;A)iv zd-bat`_|8n&*DUOC#_gy4;`%CEiB`-X9x?Ow)|XLogaC}KDfLiO4nTuWQH(2+{x z6|EUs#O181U`7X&*?puScAVWD_nEvX@!;75JgjgA%^QlD929NQV)9`pt-x6&?r?Ry35WaM@vOFcmGgwlig-12>V zRz_XyEv(*kq2l(eva

Riq1EgnAIIN>9`gxO7%81P0?4Bi&$Et@?7o8c(JoWn?yT zm#TtS0XEXGm?|#J6lPaS^eKVt?5eQtd-WNhJzJlvJwvzRj^%NIu*XwnxDv1URM7xo z{JIXqMqPkjk9WWD>6zuy6fRz0%2H`S{CvJJyE;`a&EZ#la|-rq5^$JhTxg|!!9|QY zboC}ft1aw!W4e0iT0VM(G3uL zOboY|cxWP+g5gFfcz;2OpYytKJ$x9_0uzI(&*~u5RHil)sUJY zx=cUq%ul-w!qr9}UtXh+4QkgbGSCPz@}-g2QU@YPgn{XGL6!mup)9T#EOuG0y8otMFe#Ujua3NtDv7{TbpE~?<(O}=JaOhhA3kHY2rTIE z5HgluS}EWfH_uNPv&+caB5zMxk;prwXb@ZCRw(kd!1&&MgHkkEucGI7V@NxAQhJKo!?UI5YLdh@1mb!@+ZOk%D$VG zeUGqqqpGds#ON^S*%VNz&e8H0_do zu{v8-wRaHhEuQ6${2?h?nED95rq0wyRiE6D;yKYuZSrGM?BbLkmtr?lpO9h?Q$Hoe zUZ#FpihWG|tQ604-k+CZKl6T3iUZ91loSV<_bXByV(QnVXk+TrQnWMmn^JTz_1jXU znfhHRI+^;66o*;gA4t)~)MuqQ!qn%a=w`V;QMEZQMUOa2wfS=?dYSrPQuHzPS5h2f z>Tjet&eZ3nIKkB4NpX^?e~{u;O#PD-87}Exr08er|4K2y)W1n_imCsQ;zrW&Le zRrQZHN-@UNRw>4rYL?;>Q#+)%%+#||Twz;wN^zBWtx{ZLYPS^Enc6GG4W^!#;wDoE zq`1Y@At@%9YM0_RQ)wx(tog7McbIoXiX2ltQcN<{E5#I3$E28M>Vy<|rd}n*3{(A5 z%rbRKin~mmk)puVIVtWjg+9N})Q}W&OpQn}&(sT2EU;B$QWTlGB*h}9VuUO)bxn#A zQy3A;Ox=>A%+zfuRygk+DIPFyQi@fkrloku)Ql95n7S*)i%i{<;w7f$q7co_q;?+z&km8Fu$3rPz!_bl3 zC0{DVmox8mQoNq2FPGvCOua#huVCscrT9wD@zqj%6;p4L;;Wf@ixh8U>TOcIiK%x; z@n)vJR*JVU^)4yi%GB3M@ix}{4N|v7Q{N%Q*RkgBlH%)``fe${fvNA6;v1RzuoUlM>IbBFFH=7x#Wyka5h=czsgFwW zKGyuBQoNt3k4y0_O#Oru-^$caO7U$>eNu`KF!eK1e2}T1lj7T%`UNSzgQ;JV;ybxN zep!m|V&1Px@gXkv*QNMw=KY2g-^0{zN%6f*{f-ph$JFmh@nNQZUyAQ%>JO#(0jB;) ziXUX^kEQq_rv6llA7<*$rT7R_{|h&x(uAQdpY>)k^??ii_yx}x>k18BWFa*xnlz2M zpUPmtU27ESeL=&Sr*6=c_S`z+bQv=XKeL9ECt<7veQo0vYw8HCTT>DW*0j}R6a?MR zd9dy4ig^{-zlOHp$3NCrs;-5nZ-P9Pm{aAoOjO$F3nEXUjXo^$6k_zfk*5-Kv>Ce| zt?ad&5plkcmT-Y;^%R6K%i&ulEVIYd3yzHaiIept{a-#|$-M@hYB{N2qR*mLd(YFL zc$yp?Pp>@v^rD?lFKV~g)7aYe^jeQRy=XU!KK}~MYkvdazanh6?bB(tTleXN?e={- zVY`K&PS|earxUhY`RRo1c7COfrtbx`KV9#U=u_gCM4uABB>I&2CDEtEFNr=Seo6Ex z@k^q1yPDh=e;&vAb`Ce{vnHHV(3QTFz#^teI`E~PR}l2R<-&q%8s~nOhviJuulBhf z7BV^4;8%QJ!9MS^C$C_i`!$qTu#dm~z(Q-bFom^WNyJ^Ntl0_P_k>uNY1ZXW2icz) zVlmUS<$Pgi@D$6KR#A=&eSw0djGg3ttB8dT8DO@?CyY3QsT%gzc+%?2Ml5FPd|S-@ z;1NrjFsDm83y4>eb5;;0$EEAzeo-NvS1?k2Oo@#&xlTu^_Kl@iuDkQ;C6-*rRy8#F zWA4gU(}K~qK#B5Dd5T5|j(HQ{Y{fL{RpIM&I?uN(w$A`2Tb1?^D3&#i8(WsE;`F-- z?6#o#WE87grz@3jpf5?iV!x42!W1+|Y?y<*Yy zsVWUS>!6n;{m@ybuSwWJ*gC_}{xB9>X_ljT%s=0myV;R*z+i44N6KFjlYdvA67ptH za~DIMj_NgqY%r)1Vumv`u}xl)Yqm#V3R}~94|{7G_DZvy`&BURqBV>1aA|ZB?l;6( zjj;!9ne}HS?Tcfq%IFt^A0m5t(RCJ|`lq)jc(BgmGbp;w;xj0^&f+sDy3XP=D7wz# zGbp;w=1CWQ#m!Tt@H(5PO5t@jPnE)U`x>2>h6T2Hoy}9F=5;ntmBQ<6o+^dc**xjO zv>#Y!^Ar?)O8k=6r^GLbJ|%uh^eOR6qECrm5`9YilIS|^TJga;_6s`aj}G z+@yrO5ACs_$?aBre~(2?t>=A~k7Z0N3E$WHSj-gib3Wp?z9RSAe(T$$Plsz2=1p~L zS?zrgQ1z$DEg8NW$d;Kp-~Ty5mNU)7?pFp`#MI;3#|PJL3GbVPYun`iR3XcywYL)R zzL2^us%;@RkI(12PttTH-!Jyocbq#{`_Q%ce&N-^bos7~ zeShR#iP(o@KY+vg#UkE%tKNI+)V@8_mM3u<9D8TH+J{l z!9nNcpsC{A96m^ogto%`{7RYLpKBYVcOLs>?4z-dCD1BAN?Ek;`Pm=E)IVS7d?z#Z zaa`fin!^PiGb;mo7y z0A{DrFkhApv7Zj3F{AX4z_{VW@qDR}n=8CX6^_P!9-6$b6WX869Lmj4PUnX6jp0LpX|oE9dgdtwY7){gp-ivz<@#l~4UMl!hj+__k;4 zQ?Xx8#C|FED`=Tt6;|824ej**nUw|A`yBw^Cu6@}Z;9Ba(cRoeMsN(0U&&98=a&HG z-^3w{4dqu7R_Olic1^f+$u^>OJ~ve=wx(6R9NVwtr@R+wO)upMtIxwCqysNe zo_M9)28WXN9LjM|x8I>CySzc|4k7Lj*Pr}D>>tUW{1ZmaKMU*7dfFV2dKjWX^MC4* z9X}8ggKgX^ zt($Dm^U}J-yaUpjVBR5V-DY09w6aVA(`&YcTjCv-?UL3cQ{B>V~vdIQ5pa9x(5=v{sqABdv#=Iw`G3OifGcMW$w? z^%7HerS+I|+>_SJ%$t+e6Q&lV^+lYzD6LmBuOzK6W~wZ$*D&?qzXb&~I0y<~!T@+A zt=BU3lC)mO<-RPfFXJ-4NLpXc?efLadOcHLBCR(t^;&6t1yf%pt*_)9ub0+Wv6iop z)>kv{tEBZtmV2YL-o(5&OY6-{y;WLoVe0MDdMi_3BdxbF^-gKMovC+A>m5vey|liD z^?jqXzLt6KmDW3%_s!CJ7gO(-*1MVdR%v}5Qy-Al*E99)()tFbzEfJ?$XY%mt@kkR zd!+SVroK;F-^8ikFRgE8>IbFuKBj(HTJLA-N2K*FOnppR-^$dFN$cB~`f+J}fT>SN z>w`@Fl(fE`sh^hCcQEy{()v!OeqLJN#ndlK>qAU^N?PB|)UQbEdzkt)X?-tKpO)75 zG4-3$`Y=UX8}15ABJT0h9tA4uzmxVJtltsiFI=cM%!rv5})Kf-PBXVUs8 zQ-2|?k1_R^()v-B`)g_a7*l^Mt&el+|CZK|Gxhh<`U$4~QCgqi(*9XmKgrbpk=9Q! z^{>+UB+LD~w0@eY|CH9xC@LYO^|MTcrS)@6RZHvVnTks57nq7m>lc|yO6!-Hs*%>G zn5vW3FDpwEDQW$RDmSrFTEEJ?&C>ccmfIq&UuUXGTA$|BZPNM;rnXD#H<@}yTEE3| zEz-Sm9L23N~^V+2KhfH-y>$6OCO6!kU zu1i{zrS&IF^-1ebIrX@-{*0-U()x3zGSd1BmK%`P|6<;0Y5gTrXQlO5 zObtrwubH|at-oPvSXzI})J181o?C5HTK}8%jZ5qAIQ6o${+@YPrS%WYyDqJNWa_50 z{)wpxY5g-(Sz%p$`sqwxh6E-zcU5QmH}Y`*qWoF{zWDz#1z-HHTrPa^zi~a_i~pT@ z@WubZ6nyc2aw=Y$wU~zmapd&ERL?{F1UKcZ?y@rDF+ zAv)9GnCBOaCcX;W`{`m90fo6o!Wz|n0laoQQ>DE%v|ppP$KVA<;*E(nA!Lw1#3qV@ zS|@V|T-^3ny-Lb$moHSIZ%w={5&L}N?ZA~`TN_Ov=p$DVMj6Y`WJ;wRs(x&*LC{%% zYg;}iX2C(2X_XsI{mujeBkxP#2RMhdx)sXHeU;ljY-P1(;sv+(Wa8@+Ksv%k#&!K+ ziBJM9$rJCDBE-};OA%)3{Zdr%nEO^Ks+szL6cMJrU5Y4E-zi0msSimJ=W+c#QY4u7 zeNrS@-}g(*7_=@-{Gb#yO#QGFwVe7RQq(c^F)21Mg&(7&n8J@y>Ny8~jIxm_{1{~u zr~b4Qo0pC%E((HZ^iZ~@eBTd;~0LTSDJ=fIv#riC4Y+6vigsk@GBk~ z(Z72&`}^By zfx;8?d#S3z0{s#Ah3Hnh&+PynFj8F2EH4+Q=m%1tN_-}6bte!{*x;1phUyZCAJn@= zI&78ckK&2XCO#*uU5NQrNX#IrF}P5^R2(W$FklW1wKMT23FJUXKwOrIKaWQfR!agK zeMy55u@v=x-$?Y=_X{-=|1yEi{)ZFT>?gc-kcq!#FZ+2!Nm~(tURiFP#XX8J-isWn zq2%?W6M?AUID^#LVJH4B@%IU|@IL?sm3@qT*P6dlr!DH5U5t#>#rTtS`VI2Y1a{&n zy-%FbO5)!ViGNMtw##PM2z&vMe@b5{KcG9aiv*Z`z_Ixf1g)c$mqfU=mG4d1?==E_ zBM<@Aw!wu5#i<-kHkWcG1Z+<~oXV@YCz=c)i0GKik^5+}io?2Ura(JkAbKk8=_46Q zMia^EWK4=55g)~PmDVxn#3$0;ozY9xqeWf&*)bxq-3%-S(gxho!nqsmRniQ z^DhHES$8b=$z*+UV!V1vxiQ+G1-_%Zb@QIdokWX z&9M!E2^v?S<%gry!5km_%lypp_%sUV4f z&`ll=H*h-!%F8X28DH2Uxnjv%$qB3jledEnME6aHACfc2zZD&m#{TgZHwkt<6Kw2E zg$~Y*PJ|A0oNu&EJ}T$wW5Twdhv@Tb`+3dLE4!ib9tNm&CBBoq3yw#3RRr}-G0SLj z5z9xKj69cVPn!rFCrioXQgRuwNUqunyjkMS2&8iT9!9lwC3!~jqZ!SC%?Sj3MXHWWlKemU|By@xSx+EvEPc8aNkU6IWLo6B|er+er58j zO~*%UuzK|U>N;zliXPsOe2YxJ80n`*cpDnw?HeNfJhS_&k;ZcJ zlgY2Gx2lrw#CXTK^U4$^+Fii|t2Fi~6@|#;yOUp+Oujk!_3JRldF6s!qOMCg0Bu^<7|n zsNRZDQ}|sd-RmCUV2q?KLgg!)>|<{t5MJ0Ydws(IJeO+RoH}HC1ZdP`cv7;a4rFxdOVwcoo)Iw4ET+D zD^523GTZdqVEsL0pArdeRqk}TpkV7v9 z(km7+bU{1i0A%P7a1qFXgaC)JLz6dhsEcbDnv^J+uy-d3&9S;ALK{uNnZ5et(IzT z0e-Oip9;?Er%p0;_ zp7Pzs=I>?ylR}4A4emklNqHs#YtCZXhZa%0NBj*!8rxIcjY!MdZ(*B+(@_8BB$kZ%eW~9kBqW0n-LNw>{6Nms-j>=F2 z=Ub4WZA>jv9)OJVfC$kH$xk2xl~}{u7(U*<*sRHC(?J~5R^Su_$w2U{^@=|^o>%@zq zA({g?FNpd;yT3?n{;iAv96tElqzh>u;IubYq@gw3h$%+H2wpM7NO`LsF?HB#h`c>6 z-zMLIvtd^FwZfY7{G1=x{--aHXT!L7R{|#BOdwE8^rSaBisbNDOWD<2K{>E>_wBrt zcOsqE4|CPtgM(-_rOR(xQ#01$A7SbDSJ+cArP0R(0yd*70~`#n00+Zt?Vyjnvn3y1 zsSD$b5}=a)K#yND-M&u=5DO$=SRsKXe)>}}$mni-O2D5$M)UzC*ncWofbOWH^ooX{ z>*^^1b)Y{LjX)RAH@TQsooi1}G@s-2m^mHX!I*2%a-_hG2eS zl$H=rGlO$T%laty;h$HOb_DNUa2nq9_(i601`@CdZzB8wr+vO6tp{&HaN6Hjq+#O! z45$55Fs%o#nEeT-{hx|7;P1~E9sgF51_$wJPWw-N3RZ1{iMxZq`mNqxdlnwQmt@vB^LZAGu~*dp8hhDA~p+VSGY zk8;{3eMrm{@gs(8j!u77-CoZY;N_KXV(BIs+NY+u8my<^$Gq(_bclIaPk%G>T4>4x zf_cgV5uz;(KY{QDp;lrV7Kb`m6W$&CXV&z*3>{|P0U7FM>JaGz+F2in5N&_d6A>ZW z1@Th_9EcVSKyZc(|K8Qnxe8Ft~s+tZo3Tj4fIhC|EN*--@EV|TV6!9>_Y#O zYk*g7hPn8QI+Q(8y99P?^s$XV2^DUK?!x!W(5?3YPDKaMjrCsp&^(VHWYE42{IEKL zsbYg~;x$_~7;pEyn}L{>pa^7W!-CGxg^3jnl6rZxv{01 z*Rz#xfJt9bZ`F{MuVpL08mu?gTeSu&ffU%(NkgXMkbyoHObv)q=?}~gm;-1B%<)29 zHSc6|-i5N?U2oNqId5lkz5%RnthY9hIUC%jSLbr{QDNAFw?(SCjow!&0$`9ic3Z)Q zpbjA0+yB~Xy=M9#+x6`*;ydcC6xsDHY}bdt`hU#52Y?hc`}m)&H@BO+o1JUkfd$1z zk=|@b??_h!M9NX5N)>Ei$1YavU;#udlmkQr>>X?rDR!~`W@o@{orn;mkq-+TYR z*Y`-7JWoFJB$JuRJjp)k5J$%aHUKUdihE)|UrqfTvDdDl{w^3>NB!L~wx0S=#~6%5 zem1j$JryE;Ho<}^i1^tY3Z@|9XHzMdf=KFVf&JNeLpc^`3P68JJ@2N8^L`!A`wckB zHyu*Q&iiFN@2!yawnK_^=M9W--p#=m2ipTS5VSD1Bb^Y@*pILPA^R1YA0XkUkoB2EN;HJ*eH94Py8(N3Wf<69*e|Z6(trt5Xc{$4(0qq9 z-$T_uIHZ)(e1$YWL)I@2IYdVTwWU?!BB^B1Jj@1o&9WO{Y5};BKZRNkPd^9^ z#iT{|O7HoN;hAv-N`u$!z5HI2e=PM^ARTxl_}N?+(xo>5djtXf?2gC+NTtvV`%LskQF9S#-Ud5fAF)HM{SzmAd-rL)@DEW!ca8l4Ug|D5v42jpNCqzGp%4^)T*&HwgUTk7p7Ii3J>?I zP-{QxpN3ioKijOiupDv{P>a9pY-V7d&3f#){bE1$&W3O%O@37rP?ZDTxzIKXrClz)%BS zbtoSK^QTb%MW}lke2b>-BXj_K5Ak1$dFNCA6&RaM{nua&Htnw!pVQ>iqFsdNE-V;h zpHk7+HXr7*j*@4Yu^gKv%Ab>)e@Xsam=}dvpMI0rBt?op7k+-rzbyaq2$Z~n`maZn zt0*}KPh%b|&%xNWumA^R*TV-n+8!1mU`yV_YzL>0wu6YDeMi6)MEq=?0aFn1v&jWa zLB!AI7%-LIS(-GZ{+p2JUh2OMWA{`4ofuol#N%C@Mf_~)0F^<+&*lJlibYbJvl;c@ zjcOi+}Vif@3|#R=~k{2lw?P_WRD*( zd%sD1jWNt*AD#a+`1<6phk4jDpuLlvM4^pv)#0eIQ>IKC-#|#@Z^(Z(LTcx4gtLh| zlO!!i)k`I&u|*4S&VLSkB=esKKYTpJ7r{3lPvT|p*T=k9VF4Dl@axonADZV)>R*Vl zEwCsHkG>6yvM}~8^*@A1--kt6n75t!7h~Q|Se}J>yQu$B%-aL2vamMzAUO^RK4z^I zzl>SL&t_w=?I7Z3Q!tofor}%HV2X7vHvNJr*16c63#K5F+UCuve>p<@2z$1N!K(iJ zpJ725;`|B=PZ0KZSa^c5KVgv=#=sG>d18W<8&zI|8J)!W%qx5Z%4gn^`TxR#LOfr% zYft2{0`Nqh%&RX5Q0sc@20$tZ!74OfX+aLGJ;S59u=WfkqOkT1kHXrOA2C+I-a6S= z@`4!kKgmzAppg1kVhrxYl^83f{&g5DW44N4dMx5+vr%X{b|tYXC`_>{iOodu6pQ%T z^b@8al4|1?)c*`taSWU|cmFFmj`}xZ9?Stehp~E0QM{2^#Ls4}P%Ud@_7aXMh@@81 zlKNjnHO;_F9PI+Pt2X>nEPy-bD|nRMIoWGGDq{VEO<-b5(?8(eGz|?3ch8hM*{vou z3w#T6xg$UUyzHkQgg3byRMsW^Aj}tChzH@0nkos;0O#X5!3%!sLAck?!h?O%55m*e zY&_UM{U8|V0z7zT+Clb&SFYT8`pz6XZA5%KiJSts4;RFbcNkwWp#pYKC>R3X!Z>H& zbJ9fkuvah?KFSI2aGt~NK?TEd3(hGR4mZcX71P)t8TV*TT6U0d_kuF;(YIh^K?N*0 zC>RAxPtrV*)WSIhW8gDQ#e~VzW--60gDD5y=N62M6pSqx?;~v!7qmF1_<9Ch*b+}= zB1O0Sf{BrW!3C4-@FJQ&Il7l!!BYyt1yk7$2?6+=$5)@!o-krS?WvP_otfOg;_Oq{ zCKD4X8ZiH)mJPbbJ+vAM9dHUW3T8T_tl)g;(CmliPRn>6QZ{Kx27h8unin+AF1Uc5 z#f3Eg9z2DM;S?@$NO{5eG=C{(T?$#3Iiv>5`T?`9gsiI^QWLTYj>asQVJLtZhC^9a zQ_O-Hh60#jIE-br!L0d^b&Ep|XXj9gO&mY%wv%8(g#NI;DW~9ec;@Qgvr9kry*NW5 zV863qL2khv1$QNRk3i=g!@mkcr-Xe>5o{I4qy_DxeOU`W z2z(FOxj9wxp=$^_Un*GakRu9~C`)C;?@8e)B)3Vr3mPbRGy+h|sDCR$EvE$?5DKOs z3SbJNR>2bLe-{s~qy?Sv;A%Kn>5wDY!EJbO9WCgF2iL>FXB=`AJGc`MZlnc0qlBI7 zW;pnqo!h}7wb}9Ac>E<=&>N3~LsS7cL>H zvtXEF_Y5`{g{ky=275Gw$8-2Mbwq`m$>#|E4K4T*!M_9W?;Ua+2WN9zs1_nA((KVP z1pYk@<6-(Okv+-;VLlW}qzc0{`IlJrU$o$NY^wcG^*;_d9;=SuuGoZDsx+J=(+l(6 z7x8i3hS4UqOcUz=hSy++sSOLDpuKIYAZ+J4qz={)Zx!}SzNyl1zWn6i40s4ePAvjb z31E)#3*Gb&oK)_vf z4niE2t_Ge8enLweL+x4!aU4J#?~r;35$}VV*)#UY!z+ho;9 z-CE6Is^C|IXin`$yut030MW`J^*IEa9^-wDMN%x$yeSPo7GBPpur+KffR(fXpb_jR z`P2nxIO0&do!y?5??C;3V7=hS(j{@bP@iM@8*}2RR{fzrelYd5!uOKh1y-EdUE%6u zQ+kM=ehsk4E@m8fdYOUTz0yw)UdjGJ$iCF>iN@&<#u?y{6VN#EYoATqr5XnwJP)As zUq^fI41AbIjLG5#}yNm{yF%D^fF!8~L&E2I)vvG@e zlX%~S8WW$**=K}_(}z_Z*?*q>`S zyH;Sy+c5YMLguRgtNljumQ7Wberd42oaE`P*N2Pg4qA+@d{W%XleLZHo@U}CpoNpX z&(n&DzQMk!@pDdZ+LlddAcPkPJb3xp%r#zbnxb(nq8G4l9I1AJfq}2$^xKQl4>qTP z2v!B&J$^P%j8#G8IHe_ErTdhM5o4!T4CTL#K9qZJu^%mFW=XA5LjjX_`*B2gEWLay z8Yn=DCuqRI*i$r6im{c93{)Z+MEq<<8dDJQvu~`JO7EVn8qz>bRPziJWmC<(D2voc z4A!aB3~WuXy(vP1_GS=({o%mHO6ljCpI#h5?dRLY~hV{#S`+bX?0{ceAUp3|A7H*uCVJF8Ndk2i@P^99ZD@?>5 z3A)7}_6PQ^sJ+vM-?>`KClc(v@KT)q+A+1y^ojl8{Vd0Z^~`kmr1Setf(gEg(QMDc z5_Nf!$UlkNpV*&9?2qlwEYcd*3QwOH7lY5vnk6x?@1ecV{*p<21;6ZtG~ZaHjYZnR zc2}7G!6NOT#<;2YYu)%sqZ_xY7zoR<3N*pgSKG5Kb;ojI7L(3( zpHt|`j28n>omy_E!%DI9kLBgYXbc>8!WGObc4~&^Jd4KcSS%9Fi#gC`@XWcJV~dfBvu?Ng>eu0dJKd4pAN9Ne@afQEW>%R5hzw85-X3v=4Bm7 zN7z##b{JgoLmSc95v;L_W3?;-kDUIYjcKel<{WJim=W_2Z9-$mVb1XuVGoc)o6=Z4 z%&BjYZY-x6jWxuaMizmoGXK!#G}aVznpva=%V|MlEitE+MS3Q=g`WXGI}2@jGE7~` z3xVhHmOC{!c1jE`#u9lfK4lLJ-?4Gq)A=$jPSutO3TsA>!Jc6V;4~jp1Etpz+`79ZVvy)HQ}fXRdtVmnR-~{bc^(Xwd>4& zUEoFogGgg6GyBsj2rRMDY-;WtbZgnfZlD9zBEva-}hnz zEz*w$(D6Dp*dqOvhobbI1>#@gxpRMp+fe!ilep{fXFeJm8aoH>I_nuJI0O#Du{@XIW&B zMZh{kEOIuDwhA|4@YA8t3_A=@bZnyoym;06!7ZtAY&Jac;lZQ?^ zl@=LFqbH&%=7A}$aYz$(vgsEsYe2kcug@igu^Zq@VjouuV>emk92z|-dNMQTEuiC8 zJ9@H1n#N{ZWH^ns!vhQ8z+Db$#&So{XnV{BccmD(D>Y}iBWbh)=EC%0>>-D=V7ahN zwj<^)f!w7IX~}X&(P$@xUJkjBJERrMh2@T&F?R*zu5`$WEO!izc0mnmAa|`pPGY%Z zEi%p`<1I3QM!TZWMmW65At$rL6D=}{M!TWhi*Vp2hn&I=Ot#2*7MWs^sWjRh<=%wD zZ#m>tc6b_%_CTR`AopE|v}U=}Ei!{fdt&h&aA2oHPGbjV(&*`!y9aXjI;0KDg>9;4 zSp*^%SmZ()?S&=2fD-#0(w3FD$RZb8tBa-h%TT3bc%)Dd{Z3S z8Yiw;$Imr6fr79TbVx_0X$;roM17MVu_P51!s98UwyF;>?i*U&&KOq@UiCu6Lk zMXt5Tb#{0Sjqc$e37lr258m5>mdPG-6*+n2wXE)6!|>kRHy7 z@Y*v9miP=CF{bu}0VBBnqWGwl7)9X;$vN3M1$HTRPPNGOH2M|VvJDWnbx2RvYj2=| z)_5xLHBj|@Y?5#nbvmQ1x`1R?hn&tj%CFc_dO%iBhxB3{B}G1|VS1x{A1K_{A-$RW z-zYx-vd(ZwAB}wT`1L}avrv8z6dvr5zD)iCuuG;rS^_?@sb|u(VM5bH)HDgiCOhN|rYVe?rb5;+8N}rCQT__Zy3!$oHS$T8oQLx8!OMXU zUPG9?gYxjf%YhGGXERHn`=>J>emS0d95}aH>E@wfm zbEk8c`V!GN$GL|FdZNYegGb`wv#7%s%hK7-Lf9RPdww_%(m-!4@-Pkb!`NcJr;&~X zz89utcdD3}w5J1m5OtPD0OwJQ+ytAq@`)2?ISrhF%AbHM7o6j3!=CL^`9IWoiceNL zD=cy|?D2{Mt1U9$BDc`MStz!S28Ln`Tx8gK&f4r_=v3-#K;dUCaw}{Bi^7{Na+^hN zr-9)p@*)jXVC-d!+(85Am*>1j1L#ZVyg>u#Am_YA1L)M|Y^4EoM04J;$elERu4m5s zG=RQg&USbMWpjJf*@;&DfCkXD%h_!aXyZ$<&JSte3XFYhk-IE%Hw|2k>CY_!O>`|L zzNCR0F!nVK+>EhrY2bE@eQ%L_Epi|1V9j6iou4gozeOIf2<$R(Kc4j;u+cRh{0p|v z&I%GJ*UhX4DsMxMPfDy1>iq9^QI|9cf?_(srh_DQyN1C52s~B)kNh zzijZ&&xJi4Qc>8G2A)TKy(sO5`rwXH2zQK8g*`0-Gng;o@iQpxgU1KL@v|HnI}Y=nZ{TrQ96J(^!`G2Q_&PF{9bZ8MTktq6#GZi1C&KYb zuz4bbdj}6rp>zfwoCXJ{J7gRaUP%M*n-vO?68cwAAuc~ zF}4JDSjL!gF~wKu;X>JXPpazUEsojo6|{wq6|%2|g^vT<6An3#J;Wt0U!}&xZMAR( z3atd8RSuZ~eV44qUJ56?!6MJnz&lcoRT}sOW3O9elSN>Zf6gM$Q@R9g4Zq1z_>M!SF@Py9;u6J7fmSeaRwlTX@AHuUh0ai@XjyQsaqzP6NMVh5KmWFN}Q! zyHw-RZvHALoQ&Y*78zCVaUpH$c0df@HbX}QH0X< zSRURHir^jLB36C_mbW1*=8%h7`Ik9vQ4ws-jj#BJ-#v4$0Bvi2FqhR|RU!VR?u++V-2$UcjFX%Xljdr{XYcI$l_Hql0j zu{4O^go?(|Ab!>8L?@=n7q z)}*D@@d1JsUBGWOMexX51dqIP*sbOj><4ooYpz4)vRlpfX;(hKZLw9`2~}61Dsbv5 z0;jG^nX0!?6+Gh>!87ht1L`Q=q~6CAkNA(yl6_YU?**q*ECHiulnvfe}S zJ0WXQgNBBxF71kn5mS@HjN?DjKYdu}Vt6p!-@l>UYXVE`+F0qkaW@KikbKBa%+!R>HxhePJGgKhBOE=u?FyHF8KvJ}B2%Ps66 zzGD@AOzD4k5Eeoe!9u88*}+aIxsT@g@ZeW)@N0+M#!mHT8tjV4zo&UYtoBDZ{*yy) zXUBh`!5(=0H=37&$Nzxie>&t2ro0y(+)wi&c<^61_@6`WWC#25gT+3Ym&a=^hB=X9 zm=jsRH2+G21Ms*-g99-Zp~1lzqcqP(nS78baL8Ru<~K@y;8#U)Ar0cYL2)q+4o8_% zi~LT56__Zed4;H;CMY=6A$Kzce2;#&OPR19+%#W06)54$)039o7K z32gq|3$`R`H*6|=R46`{y{2i8u}RY6<8zCTE3O0k%yakP;(CfVAWqe%yM*dvDY}1hUR{$itu=UY*H**qesa$P}z(q~-I`;27*fqhT|0EHKvc`6;}FvR!bC z$6LODT8vFDl5JPwZOPt4CD6l^r^m9EfP(5Cl-du@M4$@f1DLgSti*qF~w7S zFP4Uf<6?L?enMBeNkaD`EDe+A#V~pPq^@*g`lWa=mWKO5G29QH(v_Ce#I*P^EDe+G z#W2~vLQ}d?;u*O3DJ;DLO0RUtO4gPBz^+sYS!*1!icJlroOq)on?8*S)zM+4RW63d?P7S`enzJt$-W<=f{&o| z#}3)R6f{GE&mik_hdirOkYwL4Q32f4is7cVQDd>B%^r)t!_wbF=^q@jiB;DTtNR(U zesRcVU3E#l9={V5!#%JV?t#xS1-($ge#rXAA99jyWYtaJ)s^HzR>UDM!E;T)S$vkfBoDIk9r7}p%TDQ$O%sh?f}aIS94KAr zkXJxw!7r$@1hPsU@~Y+(nk5FCl5&Kq0i|m?TH}` zEvXGzM?2&VI0Z77kF6!grI9yF^!JiFsH!f=)pN+3Ox0mX-T<;1I^-=KdBXT5O;ABo zDBa8VBbIc-(%qpnO!T~`E1lHly|8p|DBZ^)@3RvZ{RsSOOTe#o z8$0pDTSls9YN9y@qNcMzY>-2?Gfn5A3D1VCp$^%>?ycZ9lhOlQBy}MCa!@i71S%Y| zlj)d+I^fGe34A&DK+_B@nj|2`Bg6zKJ<%b%n1YK?!FiB1#UZORl5A3o#GAKu7TcxC!^YP5ey6d9-9cpAs#(g$Czh@!P09&t^Xm zQgR1WcBgH_J^y2P=drIt1bC$?fmfquk z)%zgpONV^Ldc;N)`Nr}U!Y|xlw}X9i^DzbsVqvut>s#PASjgt(;!+);LDiz4-LMCvH#%5a`@3w0zaU`)tABpbrHsb=?#;()=R_u zN-Kqr=cVxR{A+fl-N(CmX%w=kL%z{msx1@OdT9YSX{imRV-ES2^$47jE(QGeIACcB z4erF)AvCxfW92mXA;xObAkG4p9!7&-Vcrom_$|hcq`{vsR+|QY#n>@4h_i>K$I;+F zm{*5}d>E@oLt%`aKtniDR@#t;Y|Lv+L&X?tN<-xsYfeLlW2_|&;e=7?i8OR9=ABGK zbue}+4K={nX*ARnW8f(ID;l~z4Yk6&jx>bR4W*rF2=`Sg?Mg$P@o0A%!ngj?o;1`4 z^Lo+H85rwBLxVBakA{X~YykX%8`g0q4UNP+_#MpOF>f#pjm5mPX$Zf%m7YUG=V9J( z8k&Kzku-Dx#zxW59E^>jp(`+UE)89SvGFv7!&K=+8oCwpCezRYj7^~-T(Mp{jfNh? zycsm~2*%E*p`{pu_uZ6xRLjJ(M(KsvYc7IbbFo9dW8(olmw|`>DEI|#HjcTtn9DJt zbS`SS6trCCknh>$Tuejw1*`Nb8d`}c^JoY!@zQH)XdUKVPeadQ>_+MksUk) zb-YQ#H88e?+K=BR z!N*l=e(7%bfj_GhoWLW@wH$u#YSh^CQygLA?&Je#=|`m>gZFspC-D89Z4!eH{1EZ8 z-Ci&S5kK4X1yd05v+Y+f1ra~ng#}X(@v}`-Fa;4m+e-yg5b?8ZNH7HvKii56QxFO8 zwTL;mNiTf+gfviyG{hhc93l-dNCSyTLk!ZuBGM3pG|-4N#2^hkA`LM}1CdBW4AQ_P z(h!3*P>D3>W2>1k&0+z*s!60dAKM~Wq&XkkBT1w=A6tVY(wvX&<}1>ikF7QmY0k&C zFBECc$2Kq&Y0k$M42d-7V~c<=&0+z*@<*gOAKQgaq&Xkkhfbt9A6qpe(wvX&MJLjn zkL~y+(wvX2hY@Mc$9DY^Y0k&?{Ss-;$2NDuG>Ziqv8`!Dn)9*6{36Zy*v>Q}&H30~ zOd`$s*zQLn&H32IMIz1l*xo}T&H322LL$xi*oHtN&H30?37BTFKqIz6k4SSqw#|-6 zb3V3pj7W1nwt0+5b3V3xj7W1nwtb3V58SEM-~TeFL477H|D z8;*!H=VJ?YMVj-m-A6>4^RfL$M4I!l9Y{o)^RYchM4I!l?KMQ2^Rb;aM4I!ly*5Oe z^ReZum}ap+BewWdq&XkkEkmR^A6t1U(wvWNogvbkkL`dV(wvVi#uRDJ#};ObH0NVW zvqhTovBlXU&H32sMohCb+u=6vjyA<~?W z-84j+^Re58NOL}R;}B`i$8H@W&H32PL!>z$yM2f><7>>;vhy^LK|0RIV~~#X@ff7z zd^`r}I3JHeI?l&qkdE{57^LHTJO=4FACEyg&c|bc#`6BbW0017ECy-G$6}C{d@KfO z$;V=lmV7J*Y01Z8kd}Nb25HI1Vvv@6EEZ@i?;kt{Y01Z8kd}Nb25HI1Vvv@6ECy-G z$6}C{d@KfO$;V=lmV7J*Y01Z8fyVOw!DEn?d@KfO$;V=lmVA7Vv&QoN!DDb-^064C zB_E4HTJo_Nq$MAVL0a;$SfH`IfAAQjB_E4HTJo_Nq$MAVL0a06#UL&DSPasVkHsJ@ z`B)6nl8?n8E&2E+5{>2kgU14m<^6-lAT9Y=4APR1#UL&DSPasVkHsJ@`B)6nl8?n8 zE%{gs(vpwG0*&SUgU28(?Z;w}mV7J*Y01Z8kd}Nb25HI1Vvv@6ECy-G$6}C{d@KfO z$;V=W#`6BbW0017ECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8kd}Nb25HI1Vvv@6EEZ@i z?;kt{Y01Z8kd}Nb25HI1Vvv@6ECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8fyVOw!DEn? zd@KfO$;V=lmV7J*Y01Z8kd}Nb25HI1Vvv@6ECy-G$6~R>9yVgRYfjna@VPqe`*vdA zCbl3mr|e3;TchH!mQ!{$&y0Uq=c_bw%C4c29g&?dIaPKY`#p?Ah4G*Ni~Js8>p048 zq|tHF@ho;Tjoy#3TWEA8#%`n0H!yYw%^QfZ1vGCi#_pzh^D%ZW&0B=A`)Qt#T}boZ z!@LJ+-ad>yOd|*Q#t>zTX|y56meOb+zH>#{G8!F$vE?*+5yqaN(IpsribkKq*h(6G z7h|hw^lOa45{SPrwvI;s#n^fZv&C$0qB3w#31Ms_rMVc}46YLx1OJp5#=r@#7-KI} zS`TC3pwbXyuTy#-y`SlOlhTJU1|BMlG4?j4o3Y%xl)jF!_i0`U9^Fp!j>Xtcn%4r4 z?xJ~ZF}8>1b;sC;G;apRKBjqBW9(C!cPGX^r+G^;wvXn$hOw_`-X4s7L-W4H*mpD! z_r@vvf#&^pFczcssTeDyHui|} zVrmbQ!A#7h0g=CE4mMx zFnr{&+CI`Ed3`ee7;=NY@lqqP7`U#aU6*nch_A=NqU{q^pEPOQ^vNeB)gUHbl5zwW3ig{dxkCAAX5}cVRfxs$cEiSx?+d>&4o*Cq z%TJ1wpICl!LAV@tB#4dY#z;b^<*mzGI^>t~)4)TdFZ@!`uxZn$zy<`tc9TXzkk@zG zuo2^W44d4K{pb~2f;@I&MbGIIhF44xIZk)@N$c?ghJm%Agvhj!zZct-p#ru8oeKUc zT_#Sfn8JUyx&o4szLTa;8Bx)3EYn&Bdl9hZ5d+3f9Scg@Oq@7r8vmJWC}_ia$7xfi zO&K;BbwXA_U#M|dmx<$nh5e2hc-!+Fz$dkcp9JAAOf27c0&5J($*_SPtQjPy@~|~I zjfZVS*j9w?MA)8%HmsN<9eKG8Ey(&wZ>Yad zO1iHo*H47~ML2+*A?3~lxq&I^vr_a75^{r6@`s50vs3birlikFN)LnjhNq-Qq@+it zq$^U=qmt6>yho>`$0Vg$ePhYF+`i)k?|6}(kW`N8nJA7=O3G*QlSTRSL^ws1o0?RP z$xlm4Gr8%a+>E4rR&HiW`g~DtmI!By@B$HDm{R^Ck$|g(6%e@*fo8Ln3@wgpY`Du?UxlaH$9% z72z@wJ|@EDB79tgPl)hI5k4it6(U?I!c`(%Ey79>t`XtdB>S@dwNB(eEyDHUyq*!^ z1`$3hj&Bs_?gK6T!deU{Cy()QiNZL<6n#PHzNF2gx`tqdlCL1!XJ~)pSAN(LeJ0Q_%9;; zt4RMQ!rw*shY0^ny1xGcJ%6X9_lt7>B-O|4{jbRXPlN~5-Z=5&A_K5MeN> zJn;KMNommU3#X)WQqopRIyZ?M#w}kY<#<%oOVKXAJQ3!L`~nf$B8-U;R#>t7g0E17 zMIygggeAVx_x=XWB5WYSh9Ybv!p0(OBEqIZzL^M{i?D?_-qP2K z^PMQdlSFv32u~5=sUmDG!qY_9M(ArR!geBTFTxHY>?p!cBJ3=}E<#UN5q1+{cM@Citj|lsUu%8I~i*SIb?+lSXQ-lLWc$NqUiEyw8hlucO5e^l4&JpQh zA{;Kl5h5HZ!U_?N65(hOjuGKl5uPi;aUvWq!U-arDC(Ug!pS24JP}S2;ZzY$6XA4G zeuhZT6yfO>yh7w(DbiPocDq`n z=ZWwd5ne08>qNQhMR5O5cu3-`+~!Cq3%csaNUy;*5GvfwnLWP}OaKFFMM_U3a0XbzF%eEWP~LT;2C>HEs}HN49}ZKQ+m8$!xE zK|JJx7bP2@z^%d*>c{M%ev(i>yFr16hbh!=UZCbGmik>n{ow}nr#X}-OQ}$QNvOZw zp!SNk|4FC=E>P@;r%jvB6O^3{<@d#*{C+p6fH9Omb1+IbB+I)^;DJr4z+@SJ}q5QU&<||a7ViL-6gDNzJ^45GRRFQ-# zc7rN0hVmcc#ZpCzrOG5!xf>LC#Z_ycQlV-}s6*YLz#GpH%726xOBE}YswJV0bb~s| z7|L6pQlV-~sH5GWjxmPvW~mazQpZZDlyK z5~`saR3l?3pO;4$-*t+m8cV1qZcyMSZhG~3YCaXJnS^TY2GznG%2V^HP%R}?D>tYU z&7nM5YPr&UCrPN2-Jnh}hw@}873x$8)!Gf}G;=6VmU>*VR2vD^)(r|4I+%8JPnJ@l z+DoVoZcrV~p*&e?jbf=z5~{NsR2Oq7PnJ@lx=N^SZcyFLp*&e?tzxMj5~`;g)am9> zo-Cz8^^#D%-JoF2PPKOQO^T)ZN~nHrQ2nbJYO?}0Kti4226d)6)LC90T~w$+5^As; z)DUy1q1i*7BcX=5LBTF7)!L_?Q<`stgc|7v1-reNLV0=_eL;a5C80*ULBaMRrcj=S zgclX4u@dTBH>h#d4E2%%HC{qZaD$p?4&~_$`DF!al7yP<1_jF!O`Fft%jhc#)D#Id z)eUM|HAB6sKuwoWGu)tN{!gLKmr%3Zpk`My)N6{RE|5?cxS_r!&kYLJ9h*XV8qrm#YbDfmZcx|% zPoZv*P&c|k-DD0m-%ImtQ~J~`66#hrsN2k;JY9X;6{y=K)E#b6cbY?avXlz7KtkQ+ z26cBeLw%rF>K+MouN%~T=1`uRZ;t|Xzl3_g4Qin|l&9uXp%zJ~2i>3^s%EGU6-zxV zp&oIAf{kEI2TxDU_pt)CL_#ffgL<@@p*~TdmPx3`+@O}5LwV{`Uno$IOQ61)TI~h}TX>j4d9u_Gilx>_sI_iTu$xG=Lj9;f zJuRWuyFtOOMW#@mn(uc7YJ-G&)(r~wMKXo*)O>#_P@5#wW;ZCH`V2%MA+lOfwy)Jau%c zK<$xGd)=U5`?hL@%2S{|l29MJL48uqQ27efrxNNjHz?Tgt6D9ky1#uPq4v2!!RBMt z3Z=TgeI=p3c7uX_(5e+m^)&ldLVf23W$rud_jL8CPF+7ps2|;+eyV0mss2+xOQ>Jm zpnk1pDAj-JHwpE-8`K}>P=9%ON+?x^guf-!em5xCwX#|}`XLI`zY^*{H>d;VP@XJR zmJAgjaj1aL1uEb-hw^mwl`BvI2^DmM3K>HMa=i4Z6BQ^+Lgl(a!B&rkeJVh`K#f(P z@+4Hg8&rWYlqa7&{{;$^Eums=P>wNFpva4*RH$MJRpJI!Y7SMFJyf}bs^JDz(;VtB zFHjdM&3CwjI>HUAmO0c>9-zoY3Y7F64!HRavmaGAz4|;sU93P!-{F9p?=bsiXHzII zA0f#z3Y7F64!HRav)_3(g=*-f`8Fs}jbxu{?AE867(;nmwWfU74m6ce&D@}xn?reV zXeKID3klWI4XTwnl&4i|uPe=WqJ%oh4eDfbC{LDBp-z!dr@BG4Hiinc@zT+i&$WTJ z5~`gWRC{x%j$WX4Da|K6Vgqg-vFz8IO^1YTUZ6fwpt?&-^>DLPPh%)gt3inJX)SQN zgzDu6)w`OZluv7cJ`$?08&tn)hWb=#zWx$wfE&~q=1@bu^r_Djs52$hKsTtfjG+R9 zy+G|#poU1Ov)!PE8bkR#SxSXEM?wvAgBo7VP+u#S8X=)Zx^JF6p}aVsl5Z7DNsrio zn@4P*3LdeZETuw8kJx~lM{J;)Jz~jsilwASY{1PUHc$nRSWj;=ieExNdc+3YJYoaY z>=8>;DCrR!aPx=_n0v$qF7a}kQK9C@!E>(L;CZR})#s`C6c3KTWfJOgH>fMjp}cs+ z5*6x733Zhl)YaxtUi=csPs-IdPeNVe26e45l&6_I^0NYUorJpH4eADCD8HvZr9#~( zp>A@6y4e^iaEq6#PldWwLfz&Db-OW?w+Rjv>JABYryJA)V<=C%l@P_PByg96y4wxv z9&;#9PYDN-8Kc^T1timOuK2?_P28`M+g zP+p!AQlM5ysFiL|tIVOij8iF4t0h#W8`K(eC{G<-ZN9Ym}4P zZcrP{p*&rE5#{Q8RzhubgW6;aiKGhvK6QoB-D#;P%l+8l;YGC zcv(Wd;s*6_B-E#FP@fq?d9zdvW$^r5LVe)|wa*;N%ZF{> z@e0(J63T5i-N4tzP@ZO8QlP$(P~W;)>N{g7PZJy|P~S_aAKajRG=}o@ZN^tuX}+H% z)X#2EznDYW9_FV~pnjE5zqvvEZVu(?6-#xg{6j+h=?3+eIh3at2Ng>C4hP(PhXYmc z9riN0?>klLQ_^=h;O09VsDkgXC#chsp@PzPIOyg(9IS%xu$Ossp9&>?hl6gu!$EW3 zVQ)i18^u!Lct;QBxODWORm~l}tpb%Rp(1WjQFACya|!JfC@P`y+@SK!p*+pHbX1@U zB$Vw275kq;ITEVS4XVf-%G1?1NU@akvJSd=SqII%tb<;>tbOMwP}0jf=;mb|H21Rh z^|k5r(JkWjVUppG<$@-!rjR-lfOP_^Blj;>~?u?o~N z66#ntsN>9`JWVf7Q=pEQP<7m(>Ka1@>w9_foS{ISAfXz#K{YgoYU~ASrUKPOLN#@R zYF5oq=POXnB~%MHsFvnXo|h1>Bqne@SC{R5m)ahRZiFmnu;GBvgMlr~%asb(sQn zhJ-rP4Qik<^}3V1xos(2i^S9gXaF|!CBcu&6bwBz|B$@np^7P?4d4^P;=a% z=9)uY<^}2~rTH$GP*=D?U1Mmob;5}X}b&PWLNiXZ5o0oOa+{-$+&Q|xUzQaMc zeTReQ`wj<}da;z^mk@kZ_NirVed;muKJ~a4DCKK=Q2GuB-F%0G=Dx$hm0qCgDSc{{ zwA5-hOI4a%$`e!r1!|3iTI&Y2u9~45Do{^LsP%48&r~y1BL!-MgnHHuYGXA+HCCWD zNvO?kP|uk|z2K#zH&vislu$3ZLA`7a@Qyb4zW@9%{RU+TjMZ^M4BUfrQ%S2DSTt3bjWZUH?3+*ec?RA5JcH(bp207?K($t`zJ1bCU%FZ9D|1VE>gX!e*AnU*H>hvTp}zNG zDb;`K2MP6~8`MwcP@cvq73yaR^@|(SujWv{d$E-2)Fr(agKpl7L38iLpr@-(h5B1s zYQLMM{xP@Ie_kx5dYT=GLxqS7RLExx6$)ex6$(nIkQ-Fk9Ln+nrMSO^q)%SR%_lEp z?voeF%N{CUTB^X!QntCJ94}DqlSlMWp@b@OgDN(MD)j=@L4i6%LY28em77D=^a7=L zFNO}4P=~oe9bU~)ofJz+PqUDlr&-9{(=1fmi={d%P)AEk9ph%HV~s84?a`&10(G2( zI^GScjxkiIo)=4XSD@-ks1w|v8kj>h@&eUEfod$Fnz%tVHHT{M1*)e4)j~qGbc1ST z4t0_jsM8fF=|2^6^PdWt`%i^V^8(dNfs(&)A9DMJ`;hrB+=n`Nf$FV5b(GE5$*uW1 zn>SxqFHn6HDCrdxa`OrbnR^9=PWJ-USAps!E!Er2Qhm%V)z1r5KLx74gc{%mb%r_A zKrc}J6{xc$)F3yg!NyQQPw#zaC{RNr)Y)!OLye(A!@OAPOa*GVgc{)nHPRevlozOh z3e;!`HO38UtU1&;FHmPGP;&3ZklWsiA@jW#gPz`y2P;sMq@^aiS?WA!hDwOo#2)TK1gv>oSLY|sWg<2ymwbsp2>x?ZG zTJNR#CMeDKjD*_Y2KB5tlqXB6P#Y!GCO4?f#!%k8f>fyIB-Hb6P%jumg=wgCkT0 z4-QXr396;umzLV*W~uGwmh$usS%ummp?11KeP9md=?z(h+9jcOyFu+Shw}6dS%umw zp+0nj`p6h6^of^_uB>ejeJY_obA$Ta7|PS8Sbi1i3kkK)4eCpCC@;GJq(FTop}uy5 z`oY_#T|uH0tiPF*3low`EiJ9T+`99E%zm6rO=%~HRc zTgub(lnNz%T|#cYE+KPYm(YGMLxQr2L+BrAsej!p^`Eh&ygenTP}0{W?B?qdHurT2 zdU{Gwpu+yRrNRLhONE2xmh$wJphATtRM-tF#~jMjQ-TU*NvK>msE9d~r>6uJDk`C< z8&sY-l&7Zz6-s&qh26Y@!scEazNw`cxmAgUJFoyDGDHW=wggVp>>ac2tQnpYDA1g)yp|C$(ACxo>HxWusB!2i0sOr>R8*ZuB zQi3HUWjNdv*nFHVsI<%HWOw@*80pZ44iT{6_r)3k=JvW9NSHFSj$25dTk#pgE*wqOYj)cl8;BOSKa9nu2sBjv|f&U1} zXBCzO%R*)0wc#21YCB^|S#5rJLU<-W-~8~z@cF#j{O}}JEz=mD3^8^x`Qh^*#tfPt zo&vEjhbjw)Cjihy_?rZOli}|?_?yB3XX!i8MS8IG4m6wDBi?~#bCnl|FA>c~1dKOBBUqi_i-Tm}l4R8iq$;pG~IPoTmTpzw(* zDqI;}CAxpo{d9GB!Bbj;rS&?}!B+}EZCUB?YH?+e4!lEGhS!MRmrz|}b@(1*)i74Z zFIH6PJ3`yK>x^30S4%6r-c0yNt?&jj;iJOOidIWp7OTRW%!H5D*8H5A@G)B97tDl@ z)e664CVZS$_!TqZ%LbnQ(n=&F`2ApP&_f&rG<1 zR(PA4a6_%|4m06KTHz1Ogd1yxcbf?}(F*T16K<+)=a0;Un`wnVF%xdC75>ajxP|td zzc3SS8Qv$yFh9RHhTwMd)pG59BIU;FJ5ekAjhXOCTH)`^giqEA|6nG3idOh1GvQOU z!oQdax7G^(W+r@^R`?Gy;WpYH_Lo^FX{#09ZzkMMEBvpSaC@!r0W;waI^i6jnQ%v~ zaKKEslU6unCfr#ooMR^3Mb}Aka?LtPSFLc=Ot_m?IL}PDyH>csOt^m+@&!Zpl<`)Y*`H52Zq6+YZdxW87omYMJX?NxP@ zneZ9fnvXUUK2s}vteNmYt?=zWA<(hAo%6CSJ;ZeS)nL@V6LO!#cAa1%4( zq1sN;%uM(kZOtvrgokN`TbT(D*9xCxCOkqbe2SUyNUd;dGvNxYa2qq>QCi`4X2PSj z!X31M(cw8Fj3gePh*$-ZX7leEJ9 z&4edwvCl9QK2Iw=&`fxWR(Oz^@Kmkv5HsOvTH&E)!qc_Z9%d#yLtFC*GvS$9;R-Y1 z^R>dG&4g!Zg~yr+&(;c$GZVf*D?GtW_(E+{O)?X{NL%xHX2KV1g{PVcU!oPBZYDfO zD?HOoc&^r9v&@7q)e2u=CVZI|`yw;p%eBInme5JPL%gltY(!Ls9VfJct zwYKJ~%xa#e6`p4%e2rH4S~KBmwZhk%316o**o|feyIw1NvzhP>TH#yFgm2Ue-)1I! zlUDc+GvS-H!VAoV=WB)UHWR)@D}1k+@U7ZTa=%$8xlJp)&`kJtt?+|p!gpweA2t)d zQ!BjKOn8A-c&VB2U0UH~X2N%Cg_oNN-=pm$PndO*d$qz(nF-&g6<%p3e7{zBwVCh( zTH!Tj!V9&+>&%1~X@%FD2|uV6-e4yDkhYU-H0vY}YlSzP2|uD0e%?%Yu~zs+GvOs# z;g`*XmuiJyH4}bREBv~d@G`CNn`Xj~X@$3#2`|?^hrMkk{J6H}cg=*K(Dv>3&HDC} z+M2hU)%=uJc&C~03a#)iGvSq5;XP);tF*!&nhCGg3V&=ST&WfQ)J%AdR`_!>;k8=f zeP+Vzw8CGR2|ukB{>Df+=UcrW(>m{dOgZ1_Rj$uM<@b7(8?sROL(Y#n2d+)VDt)z6 z9Jq3R(o;TXtTN3fEazvv$``Uw`HNoVOIfJ=Rj=}uEL8rcSNU2NDu36v_#4J5(_8!x zJ>^@*D$`s1Pd(*UW0mQYf9WaTF;ds(R5uUEM(3zh%qRqn__<-dBBA7r8O zKfTJ`S*SdqSGm`_N{i_G3zdGo%FnV;8PMDE3uBdOSC196Lh{~& z%aOu$4qqMq%2*{^a-sMmTVcJ*Z?aICqgVM|7Ah^h${(^&nX6a%Qx+;CdX>Lqp)#sh z`CAq$sb1wDS*Xm@Up;@Bt4w_+vhwvR_h+H9K(F%OEL7Thl?Sp=8PlrF@nxaX(W?w( zp|Vh~GL(hNBE8C-EL0Y2yLwKpxyrQhvP7>knuW?zy~?~SR34&NS&)UwGQG-J7Anj2 zDhsnvSwpX~I180E^(srVPs20`h03G#Dv!@X3zaSPDo@WsWh=eP-dU(TQ9ro#HCCBExSga|**^=FC+koA3}cn)@U- zd8%IJpe$6j)~g(nh04?PDu-sFvW>pQhZ(C(??i3&lp~B)rnh)Iy~>I#RJPZv9G!*A z4tkYivrySluX0=#Dm&>_PRK%KXMHD{WUMm16Lry3o@cBwy%TlStDKsJ%5Hj<)3Z?7 zU9WOx7Akw_n`f4>%Jk;xsaJVH7AjBIpZG<_D$`rMmtN&1S*Yx-Kk>Q7D${M*M^AZ~ zvC8xl@2j8Gxx!dw`j?b`ddjPeRi;z+*Q=bDg~|bXmDgsW@(jJo>$6aKroMS@G*+43 zJOlMAZ_YyHS$dVXWTA49Ugd3Bs2r?Uc}Es1hv-!<$U^1WdX;x)p>n8R<-J*`JV)Qv z?>APNevJ>)t6Z3c%HevI4`!iqgkI&tS*RSTSGhO~l@)rGOS4cpO0RNR7Ai;URW8p$ zxiSltEq>jdX<~AP&q}f^7$-OPSvY?F$nYzgR+)bFT%f9d8uCIr&*}HOt13uEL2{u zSGg|>l~?FhewBsFEA=YB@vf4bWL+gUC5&$=zsj0tuw^jpV0emkjYjx7BVp@$>jvSU zdI!lRY&+{tE3Dfptvh={u)?}`g|%pfwKz~|En8tdS!6v`uhLp`Ri(B5hDvK=y}D0Z z+Xz`6G))=%VQezcR^ zg}ReaapUb=*n*q%(JHA?H6?wur*Wu(5+LZO6+YZdxW87omYMK?-1u*oB<-)Ad$fVD zFyK*uKTxaW*s3WxOWO*^n=4^WIY?`Zx&}%>c(9hBzJYL3XBwguu2cWP^1WLEP6ZOspv3E!m^e#A`pZmlr?t+j)n^F3PON6l)! zS1bIOnecsD;m6H{@7FfflV-vXXlq_!CcIE9oHQxyHq*FBi(P3}^MhL9wPwN(X@#FQ z6Mk4L{EV6KBU<5S&4d?gg*TZAFVPA=XC}N17iPliwRes$&4i!P z3V&@Tyg@7ct(ow%+H?NiOn9SKIO(&m+ud@L7W-$jnm22Oe>D?+PAmMoneg-4Zu+Nz za8fsYL968NswsIQ>iEkTKa z66oXaYR~-;GvW8N!sTYd?`yGZnh9^y3Lj=Byj?4NgqiRT?KvN5CcINCT-!|e1Fi5e zX2QF)!pE5j@74;}F%#aS6|QF{yjR;)CzuI;sI9r7neazi;l^gdA8Uo1nhAfRJ?G|T z!k=n|Tbc=fro}$dO!#xH@X2PvUudyUH51;a6+X>O_)D#DTQlLWwCCL3O!#YU%^l5z zztIYJHWU6k_!o<{HQ9?bhYGP7Gs1x#aDA zH%0EOjNDThxgVBfz=DfaiIHC}xbWQ-SRoc%906B%IIuGE04!ecv+F#*;3DueF1QeT zjI(7H#OL#AN0mj1I$UP=e15I)LuSGOt?(me!a?nby2Lm^k5u;YC8Y0v6Z77*CF{`}|@OuKPSJ>Gwg&Qc@Gj2FlyRzj-9^4=K$d{`NVM_cOVe z93gHUPIzg?DwklD^;nhLR)?4TpH=x}#wwq{DjTpWx33OA@qbgLT^899*(sJaErT_T z@Q_R)RL_Vuo8QE7E`8x5CVNbMYWWH^GUm5wSZq4MIWWL^LY*l@^(OdHPS_sAdOTrL;q($Vc) zCfOHchQo8IjQpp8oRkFFG4iK?>=^lr;5x~UD&(I4_?Lt{5QW8)Y#o_@7C?px@jYLs zGP1Qty~=3r+Gw<1U_&&oG8$V*O*&ct*<;Ef<3tx@N2)@;%gWSPixKgv`a0AN)XZ=I6<1El_+EN4Hi%^k}6Dx6Twj zPAO`imyw3m5!rfTuq?<8UZ*T5Egn5FR2D*;{|JkG6^$`+y^G2xVMq#*B2pR+_@?`= z^v#RH&*AVvr#%TCfKey_!;UW}8Y1vdphHeH?|^R{3B@zx2M&-NHPfGC{RoB2JHSPN z&ku8W-#Zmjr6e4!8?6VIN|4ly)+eVz4fBW zdC$b3+{yJveYUuCq77YpWn;5mIoD&aoNJr*%DGkRm2+*=UOCrmubgY%E9aW`%BE($ z5-R_HqgT#VdSx@&E1Sz+*+TZpmgc>(ReT1AG=mGw2R%3ncclQ{mDF1YAy;t*T(=U1 zeh#+|@!E&Chvsb}HWhvUy*iNsCw&e%Y)~hPoRvt`S z@2Y59b1-dvqJ72q_8shZ1jwsc}_Jt5QGLPkBZN@+-f7rqvA7m zkBrY;5jGtipS&l}*rPL+jHWGJM(PR>5?5Vzx5%o|IfuS#+3qQz(lLXRkA2{}jl!Ap>4uq9e36uh7_h!@+DmTCw>C zW1DXp#ZHG+Q5UVwjrE<35_ zy67}Nfwuri%z*b`_A;fIbfY~nbC;W$+&MSenP>3%8_z6Nag1D^QL}o1Y_z9jEE%n( zFvQ5b%th0#kd3^x@HPAwd4>EEod7T2>@n;Yeyzk`!`XWT9E!h7%=X@PSTA0gWzh>{ z+g+I4b{9a~UC7(+B6+<`gqCAh=81_douJ|7z&=iOYCIjiCV5Y!=ymI&H~Pq?=*>?@ z?_vYMeXO0+CTf#WPLw7%F?umGLHyEXCw?(UxnJ9j79F%3Z8Gjgn-12E9#Xo|Ci8Bz z$+#PV(yDZ$O&Pk;1DU(g1F{=E?7bUdz431Ji0np-le^I)(2W-Jwp(J@jh1KXMzA?r zrf!ssV$h9NROKH2JU6e)8_!DFDZj$8&9@A$ipm-|wna;m=IUbP1$#*55n^VN9G{w5 z%g01(Rq`P7f;~I4hV@Fx7`Z8P(Sb@)dqn1v&#EPdWiH8Pmel8v7h>emjOEpF7K+}U zv1n9=?}7gfe&(E3E?qG@CD3yF)#w=6y&L2MOXOul95WAZ9_F>UmIF=4&&i)oX* zm^LS0Oq<|h+RQJe=L|2Vmor^VuV=oPl2HsUrZ=?Re~Z5Rzj(0jAD7G6{a-Zh{x6z$ z{}+wB|BLoPy1)8J2n?p(ABviGe<*6&{h?@8y8nwAy8oM*yZ@WA`)~E${juJ7_kUY< z|96tR|J%_0-{IZ=UBm9bBUAU^ow@rbqZoAmJ<+}5$#xHXY4F4J@55{mgujpA?-S)| zVT*lA#-T_Z3%A&rU5_+Rvs>)G#){iyEE%mOU-h=wWl&7GXGOn&GZ_tkU#6YWR^v0; zdayH6AFQ?-pV3y^=!~|iXO!4!l{XsMLf#=;dFSVy(D$$$`ybBq#`;xNo+92o*i*#U zvP*Bnenb|ni2h((VDkQ9&709SdqC!foLL$D2?T$U->2--r-FEw=o1cDLS~X7KG*Gx*;JGx&D5yIY#U zlWVUZ-7gLPPqM-HgTepd2LIQ^;6aVScT~mT1$MIUDA{3b@Euh$_>QU>{J(=4e20Bb z=8lnO@Z{R-M-RjePD!%C4-gwNV4{oqq`}`8L&`!qq`>E$w8@s8RWW!@Ai0C@G`9E7 zD%pEylD!wo5oKY95rz5>X78PDulH&8POiN^4M=+jlk5%IHVtxnhorsJhVTmA5WXvm zA$*td5WcHQL-?*FgCBedr{RMce3y&+NBR(+Tzh?*BMojP8$1ULZgGR>x)@wHgzv6O z2TvKocN_Qc-Bs%0yQ^mK$iWQ0yGlcNa_#kLR2rNn8$1dIr`+IqE(X^P;d`oL@RT8Z zkFmk`RLS6bs%G%~gBg5Jm4@)-+UwH-X>dE);00iCn;SeP4W2fHSLlZDy;U)|I)v{v zw)fsD*?Vu2y$yzN=V12UTcsg9x%T?BP};jF+1`avR;<&wiOG!R|o13tLf~yy; z34ezr-SK=Omp32yD!_$~NPheCh4~vurnN7i|47@aF~-h0hXu)1h3)zo9}?(MAb4~} z!OSZ*=uv7FR^}>>gNb|BTE=IVRcZ;@CuAxlkRM(ZZ`mpag2>hhZE$^P;XiSqt3IYWPm zx?MEMlbgP%-8!R{_`AO{sQ|@Y7NpFFc2j#{)a5gTG6w;QGe4U~Zcm*G@I_{CsW`&47-Q%!iE zYD&Q^j=9JA@?iL3pVe7C|q>R+0UBTUveKNlVTSvGgvQOr4JLo2@lrOAwD_W^XMk}3e zU?n?7E;BTfZI8@YGFlC0vK2G+l4j~H%yg^HOns!8rh}P6V9izBOtS0rOE-%3<0d*F z-HObq9{Spu^<`g+qA$3vx5eyBG8%^}y)EW)M@pE{50i@~dpfPUwrl7YRI_N-d`5fq=XK~AS zBNiP5c|p>W97YF29=m2&=~`omY>kvoJ2c(g;?f?L-acuUwgZa}46W_By-8-*X@At2 z&QUt;aM@``xOLi*(o8c%r(Laf+EM97aqqNaj5=*$j9g`C$3nNM8fnM>Uwdt#(rd@c zUVERMqA<&!{uh2Ii|_xnC{jb zGh}nj5?9As_3Ai3y*cRYO>}l8y(oQ{5u^GgMlDhtBUc(;AjNJzj+zVPWYUfFtn#p4 zUm3!2^w$jQuoQ?rdZave6e}$S*?GbfVzHC2M2@@cmUWFhw`=*i0ifde!9TL2uAgvgLSkeU-12SBXAVHFfH5%%uKi6ZK^WQ$Js-|NqGQ4lpT-CE%Hz zi@UeGv$uB#P0sm9l01TdASgKtB0*7-1Odq^A|fb~qlujJ!7XrPML>BfCQK-ZN)jbK z1q1R|_oVKb>E1n_@BRPx{eJJ>F1_8=)z#J2Rn^tiT|imD*k@*^EC5Y;kpG$fZ1S^fAHCX9VKJ}q`$#FWbre6-k%R@&%*V6Ax8&k7T}H9B@9qG4B7 zeUK|EygGKP7++dhbqK$O%avETEH#J`<{(D!2C>>4#5NF|5Y-_)8*LEfL(*|RPTD9q zH%4V>`vbSFuYk*>l&8k?tT~=%dE;4=7Y3{njRDu@1zNRe(AMPz+FjA0txwsYFOJS| zj{q<2O863ixti;VTqgE#K)D{(U8R(b)LMjpVB2!jo!j~vY(3^FWwUAPZ=r4d&0y1nq)ur4|)W-_c;KO~*G!;xjC?4d`thxE7ipBmXd zb7cGJk?n(#?I%4Q$V2Fp+>~N9w=1J52Tjjty1rw42iwuxjKCjAHetcx$ve>Gh^+}f zRFm~b4^-Ro=YftUmIn%a^UP%_sF{b$Qu07TBW=liXNvRhc;fu%ozFDg6H0m4oay)I znSK{$`aLq!$IQ8@A*GN54oUM`JL&y|%0F#l>HUOYGVuvV%KMxHttZqT+=(JiXnsR} zBpK_2enPFp@50SF{Dd`ivSdu1Eb*r9gFBx(swZ#`e`rqKaeC@LgsD4DrtYJ>?5kQz z5bKtML+Sk36lU)G>QJ>Dmu}8enxT|TsFd;vEz3S3$bWk0WYS<8+s|hvnNHAT`V7c) zg7oxxlz|NwoyIu!)#1#*zMu#81?ld~J0F+^+ZdRUwgsh-v@QB&sT4@o0tHw#IcW~e zeft?Mve2DVMvp8rCpq^Gkf=XCAWW|&?ehAByVV5+hs)!Hyh$}qiRou6xl=}3wj zT@BNVW`93}orAUIx4{tBBs}gC(zoDD3m}p&(Mt3vHH%AMa)1jBeqkF3u01hFnxjPF zYQDanXbQZmGvk^G-ZrWKw!oW{ zIwtCH#!&saPU^3`{%*MRH#RC0jg8jdOTKTLX# zw~vnsGSL>v_-Ot8ZT82qhhMV#Gugud{%H!l8+-U=9(W}d@Xtg8A7{>v4f_OZc1-LY z%>8UM@QFO|$+3W+5DmPS2RK&WGso(sCT#{_zG-QB85qBh}s>Qi`9gDXFt-yQDpk|E-%mP#$ca0X>e7_V=*)-|*SKnGg<=z(GjK3Vg0Zj&^QK>)9M| z#(5BvEzaJGoM03IUydSAk?w)NmGV@%f;>g;C{Ohy$x}Q%Wi6u>&K$Q#O7NG2!xTkY zD;4sWg2NP#JXlKdmxi)<`9Z0;zYLT?<~YwF!)o;KA{zZ=jg=QS5LR9iB-;d4NOSq~ zQu)a*{|77Y<(OCA%dxJ!^8A%o(VAvjX`B}G%9|GR%6mEXm6s`8c^qjmO^`B%l@`;) z0mPKcdYW+M5owWt5^%(gzY0pALT(aKqLpZoFD<62L-{M4krvZbenN9r!c7z1-(;l4 z%P~ufm-8qs6uY$W1UyAOB}}QYLQ42wNDVA&5+R}pL`=M7{2B7b@q<9-ybLnuWso`3 zK<2y*GH04+kNmO{mZy<@yFG{nEOV-wGN+m;bE=y%=PoRBJS9L5)qt`@PYTGPnotIt zxl-JaLrQUu_sU-@FOJ`tls@6+`1RK|or@E+>{oJ2;L^MCIV7C2(xPCtz~J=JnJnbl zg5XZyK8RYjGN1bEvR&}+XtFDJw!jID-IxCQt|REiU1a_SEF%2VPHR}_fBM_LZ(lkd9B~9)J z@Hl*qAT=t>;d2C0;#>}&qdv_gH_PF3M3({?rE^Y5TEGSBWbru`i+jo$Ebb}CWAWy9 z&f-*0z~c9tEdBt^;`alKKR{T#MPB4)v<#a5md1jSi)#x;`WaS9YZs|AUv%q)k(=`c z=N@*s*_K{A^VLq=8DhC=zHt9$VLr}<>74cX)~riE8?!F`%$xOgcRuTMPsrciob?X$ ztha|*??7g~V_r^RqUHbecghP7EswVMcFqg5mC>Me$qTgA(V#t;7iepvLF;NHC`zmh zK5j_C9sd-g1~3WG<@EwNO#BtywPnWKQCcq$^O{K36M4Zh?Ljm81~rY#=p+GrNvk2F zt!z-M2pjtAJ5z_r8w3_1E1@^2cXPqBI>-jKD7PkdW%zqx14RoR^*`bkItt;~?2WID zYCbMVvitNQHV;W74>r%^D4w!;h$Vy*`a7Z45bd z_kbN@FoE_kzKw#|WmeX16x<55Par>$44FWU+QgOwYWG8l6t$C3l4W7iBiy8hgd+qG zS29W_HtPVCOhNP_FAri#Aw-@pY?CT@IgPPyH>owmJ>YqFnUzg3?|_>G-T`)2Hi`l^ z8T)y?K&vC%s@YR)9zuDOAZfpkPOJ(w1z|J{CYuB}<6S#W9wt90PXh1VVfl0UE1#m& zP?{>u;d8RGKzY@)%P&Ytx8+GBTw5kavtcabdpTN3obJifoq4UK1oJ2Q(hgf1Z#B}| z$&X1%Ogp-*^A}sE_e)+!V;X?_uM804Py}NfF7U0F2Fn}d{_;jek~b(7sK0NlJAn z8wzEK%BxWJB$RoTNl-Qn%98P3XednaPx-rPS>B)w=U=50&%#f74p#s0J6E5yap(aI z{t>47^c1Z=jR5uODWX1&G}K&a7k zJiT#3UbK=e(X^8146Q`21M`3{0{j7+|nY z*(%s$SQ)idlre%a(}7V z$tHikNb~1p;LjHce@-#^Q?=P_FpCFP%MkGRRUSXb_Au&&(KKSyI-D07I`IVS7QyK~mn`ZZX0zR9`^Xx5z% zth<1)?!p*Z_YEHFF6FZ>jY<`zK{+Xd?6k3FV{udAtzrfH~C`Ko%4m(ufZ2MH8=hb`Pa~V0SUUH z0J~z>#>f|&czlt~=L;H@82G|WtDUe&Guz{ELRHJR$;ycwwIf$faLx7{Q7R#DcaTsl zcYi!Pq#bHS{*dqq3&#TAA>;y@ygP)J81%rgz;_73`dLY`BW4RcA~*?lvB3AlW`XZi z!$KNT7Wlr{EbyIbX(2?O1-?_@gl1{Blf)>wbBO7Aak0R6#ysykh4apGh~QM5v3qkw zb}zGM>=X$cVjn-nyds)tj%aM1I?G;NG#+TVEMj9 zEaWhhy^Sqo&n+lBL@Z=*2l(G17IGUXJFHpAai@*#I_|Vze+B@`9BLd~XoEt$i5|K0 z?OGd$v0WcEw`+V)$4GSMKMLD5+|YrZ-ZQsrv4#90Z@d1)ZM)Vi?-PvOhIc zIq9~p${hqr`nj7bw@VZ@1U9YzOZQfStALEbu}kG2x3hcAF45aC4n1xcrNSd%q3Bu(S*y$&wTwYXGnZ*ZyH-hW=VLfbpJ^t{QX7w()(wSEmQ zy=ZdjPc)Za1TOuFh_6dAa_Lnbm;TDW|A#tVu?*ux>xO_mcuHX(Q&baC)XH{CLcR=CV5 zDbgURP#_L8F<6rF34_b!6C5rNm^s)zpJ{>8vUZ!z#(M`vhl0R+fkY=iCC9)|2Sx82 zVZ)WfPX`6gB$k~HiqsY8!MZvS@VN&tZ-(R_}P;k8MV4j1~Q~fGZ zW2v3g5t!$itjGyq^c0XQ%9TxK+JeJ#JLZj(6+tj_S-piHmWa^5+{k@*_Qhi(CW^~NW+XCg; zdBCAPho}v4wn@y{JYp8Vb7Imxp+E_fm?ddqmH=XwB*ZLb5L13sUk9h%hC49Sfk>x$GyNZA(xzV=L;*9Cc7V>L4aF)H;ALZF(mo1@omjtY>^9Ty zL|Zl>@fd}%y6gP0v1#uJy}JAj{vAO|Wp;yqM--XEcoW~r%PNP50Qu?JHD0<$YAv-h zd8sNE!R-XR1X*wmU5;alp)*d*~dpA@)Ek`A>6Y{9qQS5ct+~l!#RiCgI)~*`p z?A69(uQojPy2qS!q1JRn5U5GE-bR%Czt?rPS*_`a%8x?Dlx0G3j;O=An+1-VxpS?_ zmfrM;Nl>gcH8HiOrm<^H_uct=)p|G9Ycq4bHmBEXGgz<9$$GutoVwcjMh|()vGLEk z7mh|-uPvylYvr6eGY>AcE{;ZHby^8Kst)AN-ao4I9%W{)wK;oj^x4z%i-Tpbowpp? z-udily&JRF&YV4bJHqfx2in2x!MzCBygQh)w*@wDuyLegZnO8U;FcFzc%8Dn;1M=0 zmM**r40MAziQAiWrK^IgYia0{cLut<8pqnC2YPlm;9VH;z8>)|rf2kB!5tYQ7Po+# zq5)VQZDAK3EIlhtG zUBV*Rrfdrfycc1S`XDiT6Bd~WwkOWF9xpuswkOWFj?Ktph7^^K@z~~Zb25cX@x3UX zzCb@}CI|3lGG!_~7w<*!PBDV?Jwg1>KPpJyW0oS0)Kw&Ge&R@7>{O0nDGs{xrKt68 zEXBd*QXE1r#lf%?hmfT>)Ofl$aR?|r@MvWG7_fbY0B57n7c0@3T}>&)nukFVO}E+;L|~J35QwFV_erWxdlS%={Mv95bx2wj zE7~DxDYq(n@&dR_;#OtPi;qXU{oTu=H~qA)41IjS?}Irqf~xOwt2!{>!L90@Z?2)^ z^!wL%4Fz7p3*A^tX1$L{Dh)lU9H}?Wwcf{Se(092H{BE*ejW)v5!|q0S?&{ocabH) zCxVEczzi7YEVU?ej`WEjG=QA1lyEc{#-%WuJoFQ_2)`zdEH=sf2}{1Mu=lFqg2u@y zwn>MiBk=jPbV<4lUh!&jW4S4W0yXfo@w7J-3b~l%y)BgxC=^N}IHkct$4}b48G|YT z@84i2cHSJ}q!aTJPBOj^V`R86K8?#eu0Mhs7oO2ElJdxLlFtnUeXE9B0o)M=D9as@ z0d$q*4g)OfHXhXBfE**qjdJfs8kAOBbmuN#_=F&-6W)t`rD54K*GW&ryceIa z%Mq8ocu9I87C_Pjbi zL&99T9Joq>4-b`t&f5GzKY6yM7s=Zgf2GITl-f6uM<`?0$bs48{1+$SKhMw$yXu^ z0PAyY0d@`xy9F1v!w2?N%$-=SaEfe-lCu1H`{Byb=SI7B1$wZQ7Z zflh(L@OLyOK>fI*V<{(mKb-MLe;rM`Wpc=VEqbzvO!s@t$V1XxF2=s%=EnLpNg77$ zCI8a(7eZ&V-buAEfTQXeax6gVlazpzyWj)9NTad*M&KaAV*-eVA#yx6;rERoX$B?y zzOl1a;A5hWnJIkDfq(0$`6;d7k%9Y)Fp)Z^1hyTU#paYiRI?__DSG82uBQYt zMW?G_ik;w^V$8j+Q-W;uY%YXTg6m=w$M<2B(9;4!u{z~x!GWcJ zt~srz)j}*k2XwuiEk62(+$pyD(xI<^z`g?)d=Gb1pkJ+yJ zp5>OUpaJPhppv8@r4U@D=%x%*Aa!V5cHG->hkZ)?7x5S3FZq;&tqE@>ykpu|1EiFg zl|#?<80;(BRJuP8u|`xoxZL1sMF~wTx_#mQz`mm3NXI2V&rYLlHDM(S9?^R%U`t8< zO71Iv?KvW!^jwy|QTEB-D(B@>@eSqE@%!cP5*Es55}%a6C)V3^P*bs9{Jp6c|A6&k zr4Z=AKVrRDNdUe0EY^#a!BBP%>%|JBT@9SadU4!gD7ye<$#Gkt>>`vU#b1K5pPOVbFB>{_=uIgVHwyNE1>@pfz3Qq{ z$OOQ;Q_c$3CA)*46`YOQVb?L^k02k9c=wbB1^J+o< zd_pW?FpkFNyudc{g3~+NWS$pj!0cq6kFrhMCiA?&zs^qPc~M|KGnwZ_du;Ivn3U9P zMuG_}r9|mSHJq43 z-b-o$E+4pv@=Jod#_a09BrsKjsWhiw5@hNo^QdoDHoW5xX)?M2Cda}q8IHg$Fq zE(uLodIUcSHg$HZzDU*wZ|9_8UQqeBHYpdz1@eF;<&n`WSKvUh@XTTVoF~ssFfBIE z%`Y)~Zh{%Hd2W6Y>|4~Zf3eFM7sH+PlU^1qKFl!SWYV1>!Telj2*ZMx1<^K?i{mnD zTmf6Un&P^TAy^~&W}>4>nrg)VKP@~U8Iv*O~aV2d%< zv#U_ODhiWf@V_b$^Q=(45|U4O*@k%`dFk8id_#38JnGBKleN^tzDIiK6Z9xVbL6CybBs z9gdspg3Mg5j+^TO!;5v?To=68Kr|?V4}0W(UF5vCgOBwH{W>d+s3Y{`!O71iL+oAh zf#i>pKlLfzf!^`nXW{d__jm7Kri-UQ9*)qHyZ&!nJkqlN%*7*(_(xnk#3l2%guo?p zR&FAn^K6&T$3GySiytMQjo%|*NT@AeOsETg6Xc%~Q{{_^_2f%Q3*?`Y4$2pkev&UF zXUab(canecmXa@fKa{WdYQW!W`D#jE`AW)c`I^6;eAWNBe8s;;zD^&;2MdC$g&cDR z3xTV}lMJDW!NO1$pA4ai!6Hx=m;5P|6@{|IBWMcQbG|;(k+Ga9cQxxZebu zCTo}d=8)C;0iR|h!9=;~$~Oc%zJD%FmK(xdSU~xkYC3nk!Sa9?F{W7joW2|ym74+w z1&j1e!TTueE_+ig!^M)_Wp4zG{cEvq2HpTt-*cA8Q zSw^JdO_V2;rxmyruk2GkR6aKL8)Nk0jd;?xFyXiS6-%vWp zzZ1Evho1y1gB;c5autxH9%TZ^R{YXXyfOe}D}HGxPWc$h@JmC9${r}gFAYKXNnA-o z-o};W$=e!+y!E^T!B045(I`bV3up} z#w|hqG!nc)d9@802J)s3EfQ`CE>g1#{gx=14imR=OLR3H{Bu?qM6h0LYx0&LV3;y} zZ?WXu3Smw9VVyivUMjCJS57y4dm_e2EqPp?Rt^<(^%n$P-6C9%ITsF>g4)$G2)YWA zt7Y;E;P87e*UQs^!y96*$INPQxcn=R!y6eKE(akx3*Q7dW^gz@)G$OOj30q?rhFj5 zd$Ferm@7_u4Zy4^lb0i?ni?y*)b!Y4nxP?zc35P>R2(&#&=9j;99wTI498!M5~? zmd7XZQsARieW`E;;uBo;(+6UIS=r;G1~S?t^=KZc+wlg{ff-1OD5ZDwfusmrXuN@> z+07x;qG(5^*Z1ZWz&Fbxa<$Oy6jE3 z?>ON4>~si_BQ6839+ek7;u@7_!ae4In?kY|Q}j()PPP<{6|w>-fTR6_@1p<}?H5Er z676Tg{m22=Z>NKHlxX0qB9*}X1gR9<5DKwyKX;>r$=@#7M zX(YFoJHh87d7ZowKCgN_o_M&|bHAsX=VAC<>dE%xz~}FZSMeLFFFe(%-j-HJyXO;p zgIwKd6RYZL)_1%=Ys8W{ejxE$X1hC#Lo?fRukjfDb1SfT2t&*aSi(vyyr3wqjgD=L zT9JhtiUya49aCisK2g2zlR{vMye}1zKY+x&pGjHrmr`^2N2#;?tJFvSQyM1UmY##( zU+^SKUx1lk)sqYlmV4#X9-pUn08(xf!v z+)odlH@E1?y7t#zGbN1RcuTD#mX1w74TYo^qS+D-y)Hy;zGm)KseSN*)R4LO1qE>N zD|pdVp-;l*i|^g{)P<40-(3>ycULqy#JpJI0jy_nvDOk#BuV+C0)~i@%Hm8v1q33- zlStMr;WLs0*rN>+C-^A!3e|)4KBbLVgBQ()i5`v_O>y#u;R3-tzk}W4bIf6vbpRw>dS5nS$1k1qZx+< zR#OZrepr+fnWy82sd+K4WlCdIk8NI{09Z#g(}_y%t5Z$n&x;CFz*-}_Isxm2|LxVO z4N{*%HN~7lf6S{>4cz(aq)Cj_ps_ktm0F!ptU>`Mafrt(X$-TZ3DRW6z$|H^3;sN2 zN#ig}s-0O<$w<=8Ma*HAd;(@E=*BF7_00b^vuJ}fn5B@(EQModmLhk~EHsIcTGV8g zVl=Z91@aanBreWlmQoC}qzewtVqlhZQLa25v!rvFrG%YXK%)n?FCC3pT+WsayGE_( z#w>vK^#3-qXoEDErIN`knXxlVUJimPB5%#V(7l@tF;9ZqpraO|H!tlVQ$Q9J#%L3$G+`1 zFh}z%V1~~M{VvT3CZ#kQCna_w351m z_NnMs{7Dkao&wc2K2fTYn6w?|ph#)Vbczfy6`>(K zMX0q&5gP}#i-`mC3sOAtI50nl1KZd+unpnB_9liL4(#Y8w<(4m{6{%Z!`$G&t|kX| zi=6`>GDmYvH>R3u92g++eB`Q(7G6u@`53->*f~&|uS!bQrJ5{X)x0ylLMC6;1itD) z##sXRs;55ArY2uC z-g&Ay4^3jEnyKbgI@KH$t5AToouMX)7wSiqkUx$0n4_nVAcTXtzXmm%ap~-MSJ+dC z;)^~eU-aRvvL{VVrMHfBW`&CMG#66&&uOS5?WenR@0sc_U3b5*i!?YR5PO5oQ&>PK93|+e-jYi#T%E}Y1i;&HYpbJaIusVXrhx;+wG<~d5m8ta zk;h1g!os{TEF%1rc20IEY_S8KB!|ZiV@!5yb2^byQ67_K|nK zsP|0uMG5pM_%BnP0^f}Mcu=fD0ahTUsxRricLvQ~hN_h+&_gdNx~E3<+ifZVq) z;{BB+lj5mBaisaym&OPy(w362#BnQlfUQ^jax0(+4)mMRJ|$GPZO6-5tyFodiq zddZ7UD&VOo2x;^ccOhg&ijX(WE%GLBi=1T=631Tyzsa+r60(v&J0wqTGAYD7l?0NX z<*!Pj7giXuR1&4Rz_&Q{cxpN|2p}?u%f9{wV9x4S%WaMLc?Q{itCBjF3!b?&0f-FX z*2I&F7c6**&PBWzU1qqFHN)~OV}@nkgiKW;j!@TDUWuDR`xko#9N8^Mt;93fS}Sy^|RR8eYeaK$rQ=q~>>q zIlnV_D|n7MzeDu-ts8ZIE9Y%~E5|&)m1CaY$}!F_b~Cx8eDG8j30r0^D*p#_QJI>H zy5?Ne<;}(1lnp{vrMh|-f8jfLsglFRR=>=nMag``KE^23N$0EEFkc#f1N=54}3wFPiJQ{30jzV3aE2BtVNGqcYpqGWDr$vS`DnG3I_u-m6rHnH9Q$1ON zoC0`*NLj`BB(nspz>1G7pS z>%m6}^xGSrEHZ=GQMVTSy{{90?|g97y`lSiqra`DzGt=`e+KB9M)5h+%VxK z7Xck@xmuJ?odgX8@9M$rUGrkSquqU6y7}nz{m?@lZcXOq71R`6v4maA$n*G3?m!v)Qxydp&^-&gwGt1X?w_qt_E`IyO}n-~CZMb*09hdX@n8 zbTb67ryEZIuQ1qEegif`d_$|S3%fEIr#$@y$w&#iQgUS#N3J+bhyH@33am`)uZkwc zp=J5DmmB{6?RaC*zP><6R!Spj&5>D%qK46`yt`M6bjcf#YKKe2a_thn`f^WX54$Xt*Ox1~Z-oLAb(`3zLccyfU-ELf>cD^31y133(9suS%S1&Dz4^2 z8GbE9k1J={dbh!5(q5pA0?Vi+%Vv9B*-XC(>boc6YizEv@g&0pOL2SPZfPwhI|_xV z(T^viF9xXL(eE=yzn_dA&~+?UN%oFQSO(74dnIM&Mk&7xw~-FMT|vWZhx%59o$6uu zI|hI6gE=uTlC&@zD}#hJgwFO$4+@bhX( z%~pQ{%GeRg-*UB7YPFPqwUoYEN?k1lS4%0YrDVA1 z0oRLmhYED9v?Ek_f5n_oQH{9ULq#K@65&uuLPwxePI5B!OPO$}to4hJ`lUiRRMGmy zPyJFk9I9gd5~O~q9uD1Q{Su;nsTB^@wtfjyzhs3&b**30$uHTVhS{NeVRN349l8&s zomxy!#Sm()uRnD($rGtBzfcRSI0HniQQSHlYNNsS{+W}ETwy0TK#w8GE)5ta=rJVC zrcn%_+ghLtYM{M8L_!@klri!qWXGndj%E7j5)M6R(V(zK9h|WtKgy3cm{2#ApW0v$ zLfQ_v9j>~liHVl^p*}6jDOVsIddTWVXAs;?0aSo=^DrtvbyE-(w7N;49zIZ?iPB3C zs~4OtSN$+MX&E4?M3UAMx+LwdkQ?eJLAv9wBT1(sNk4`|{k0~(Cv%cZbDIoinhdd; zl+~ILwn=oE07Gg^6G`?CV-k(Ig-Bug!I2P5?obUl=9}^waB6ZB`7;}mo@cs%seRI- zM#Wh1oWuaJ@SJ4FbC`u^X5R38f$0M99B$!Rg~HQXhSso6dQPSvtT2}$+_j<8x?y^b zu<)z~5FsAaH!?Rg4kk1-Arg8n5}J4*G|2?}BFP49Ow)_5*flwS@zmU`t9 z6Uz`>0Bes93$(!d8CV=-UbpWNdo5&IxJqR><1zAPQB(W|GnLj9zhUROeHM9J0crol zf4QNzIOKiPjpwL+nppr2B`hQ@W@I(c=WUBVZ5+d6#QYMqEHzEmh?b}&sd8Z2L)M7e z0dbP>UvB6qXGBXGg6QH+%rMLBbM&q?M;&6BWbndMC<7JVvjB8r0BCF2eAO=0+=Zqq z7yvgTWIG84@Lz7|IETQi+*TxIZZMO)nwbr2l2?-? zk;b}$N&d*f;UVZBOqbxjxuMTEIIK~96v5d^cF1iP60fzB_=H8`hh0hRqARUqX5AXw zI%ZA4*mTDL)`WY4E)Ptsw#yBD%^BNzI+civ)Wzd-nsXs(14lw^V5R`jIcbr)H=qI= zf!a7Xbeeh4uvvNbXC2*I&Nm2otv$ls8 zNcd@W=(Gqbf;&x>Q4y-sqNpgp(^N>1>e^{4q_=i-y4ThzZ~)~~(T0&4q#%YTnoom|m-{mSYA627O)UsGxH$mc zwkhusUnl#tr52;`r=16fnDz4_bo7{`ZD~&1cWiA5p{d0~ZCx%K^AQ_+rk1hTa{|Nc z9DVz!ZMCEt&RJ0YC&mKcT|0nsItBn>5&=LMwiqf#7`6f`Mlo!0RNP=#@GBOjpPiK6 zQ!9eb!inX8$HfAPjs^|64VcFQ05m_8ibPEGvmBfusC_>dF1ej6 zq7o`W(V`?OsnOyJ+>c}-J}Q71PR+CkKG`C8DO8GdQU#TwIw_4xa|wPduLM6PxKhFr z{8*j{ek@M}KPI?qil+w%UIvvRw5W#4P_!tE%DNN$m>_3}uXs4Mx<&9Q%o4$>rscA9 zS+4IhGO9~Bl{&n5$ssc8!X44nd$b-XgMp{ee$3b@*6#kX%AQc9Hq`LPf)V_0zU%le zH?;{zFn*$@0Q(tApVM{_3#d;Sq+rBNb>e|T0Q@^JIpt6}GC9pqIcjpsqw)r$;=|BU z7I6UE31k;dZApt%*gaF*8XFmTN+E&7F}gMEWN+BjXqdFULsxjez;Skv+QZ)D5xNNo zE@zXz_9g?VCI`F=Bi`2|-o@s=c7k?b>)U(<1fzv*eh{ia(WWAT5CwePznx?ZcBRcQ zd*>sK&ZE#~oW0?*Mnf)bCfS=zp_{nU22y!ax(H0&EUJlwfMr-Ak~&Yj(@x9P)55zr;nexoGM}j}!lXB{Q(prR342rD=&BeY zM!>_BQDuV0VpN%$rz)rlK_g}Cft0b4)MZ+5KF-KZ$%)hz5XOy}PQR%G(5j-U1llT8 zm4a3cRimHA1lk%@oq~23x{HR^O@pQe-N5Pdk-Gjs(z!^|#c-7* z8WVB}H8Z&zX6m+Z>UK*6%_ZmqdJ*PSGbH^ec*H8DWH@z)1!KMmgFdnhNoS*g*lB@S z=%k`4R#R6{WCZT0g=!JP<)T^?;cBDW2H{|xnky(fFi}m41SS)b*Fkki%RQ(L)$(q1 zx6#s0@^hk%n2vIl&Iuk8N!?Gcx^sdXcX)CRSX6n{AnaSV2garI#I|aL~MQC^!Wl=P&i|Sf5qyvnli^RF^j;T+xSGG1P!U@*V^cN+4)8 z2^YXpgm79z)R5GEA2p9s!oBWCTr66H@yl)P$6FM4F*y6x#IGqTTy4B!DHeKeg7?GK-y?qvizq3Dlf|em}Zj8zweI2ss`=50H9a zpa-aWEl>*@i?2!5mZ&AE`VDGHRc(b@Ss>|I{6&rNk#Ff2a=y!1N}%Zb89VG3!9_zR zwjAKL;;s^`?tiOO4h-5A7Uf!_)?}EcQEO_LZBQF)n1mc{QCm{)3~Ec&Ylqrd^=NWj z7Rd`d$Ubas{^bI8ke3C|*5W}Lo?IB@DoqrK&w#ElPFK+D4d*QYSff+P-wUqm&?z~j ztD@1-mo%Yf3BjZg%C<-C31!cs_7r71pbqYoy()O{$vZ!sdd`~Wb=EX@L>);d7f?s4 zlTN6U)d@kSGwMw0{e(JG^}3)gW<5G6Sh^;78<+{qg_;$FgkZV;nn+2~jNu2-g9Ou` z(SsDGT~SwpsjjQSQg--Pt_kw4;>RIjckG6`5!jbeHwyMc=pl^?BzlbX@>~-o*kskZ zYwB=5CFtkrfo46qHNEuxKB)NswUGmg zZ*6ks{{3&eZ|&cf5Z}T;<9PRewVfKI{%VaUI~D-i`+A1)599gG0sv|G@Cx_m$EzGv zXt+g>Ev-MCJM|6WojzKi-4MKWik}NiDFlB-*cOinW{|Dfo?nv@J~u@7k{m+#W)c@H zMmfN+=1p=M?7oSS+Kp(GZwho&Qk!v9-V|)5>~3&V@MbQCoB9`v#l3*9C2Q|WJOT}1K>?GHP&+ZME)d4~3<4O&>Tpyg`NQowud zWaL{UzQdg}h?}_pNz?~Ywma&MrEJ)Px>Hj2Vf3)M*MQRIF9CndO1Y&rEiZ!r3WMH_%a5&B?-ltPfvvYc&9t7O<=J zQ6H+_W9Tuh9`?Br)E-BVlX^k)I90DN>TA`bmWHf7(IzCQet?E8&bKVo9h@S|QB(ro z8O9L-_4$`o@y4A}%wC_reKLN1fGkj*ZyNmC5A`FIN=5xBO7%zmY03vYED|2IJfB-# zkoE|?c`VW%l`pXE>i|YrkdFprvfLgHhb@jfq%*t~m2bLn+(MUR#ddrbPP1Sg)?k^A zW$ny03~wt_o>S}SI9yowpoYUmEFecIK5Ae|QZh6A4O>oB>vBgOW*&h|6qs3o8M;=W zKSD?^heW{z`fw@I&T?b`K2^B*IHoL-NMPYgD{WEeJxvzF!XJPJ5H>A~22gA|5Dlc+ z6zV;Jo*?zgqbI0(gU}$W9zD`zkb4xgfr1l3gIWwlNt$}dOiRZq*!!0&6Sfw(o`-Q?1D}f zU7`JjC7U2)pf(r7&@eKzDrgurwBcyDIlHtWhtZtFMU%1Qa8>>TD|i|htqWIQ$A!$O zbGYgne%0cJJpq#tf@-=p4|*4<(qtK^EWVi^V)iI z3n2;D;)$~?Q=Czkwf^NU6C3h?z?mkAYzc>{W5*A$%>uVDi4X+;1eNfZF{b4JF~8i$ ziKPx`S>a|hdj$lUG1(al2<>ztsF};3fJ=UB>QY`ei5#Hst>7v37cd?r8;M4eS-l^P zq-J##8l_1U^7vhDxQ&*G*n0a;4$=p{zOx$1^#{~OqtOIrJ2aYtIR=ekV8Zz-ykHUz zcecdraR$s-G?svQ5RIk4j6>rXFx_=94_jb9X26U`;|Z9aXgmexY4kJ$=20C?9}CQ< z447xoGX%`z=ot#kv*=j{jFz!E93E(aIRT=xkwNYWXaa#U2u+}%JcpiRpgidycN!gd zWEev_xhJBD1mAia!UW{{iV7%)6LV=XcaGNrVR z=`04*X=oaOKL<^t;J<=iamTcP9aGS}bTUpy(+Q+`XgURH2AaWOy2ycPL3(P8HA+Q| ziK0;CLL||Q<K%4$xVl0|58rc?KPKhoW4{=8+7qv;bTr z0AN|KCR?igyiP7Hh%duYcj2|XcFnoU4U?k?df>^X>V>POzwE7 z*_L0^p~aQq+nTIKP+FMECmRlCO-nF4X-ittR*+1WUW_E|o=$?H!dqz_h{S1taY`#E zWys=k*JfBnwG3HH?lp0$r)a1|qM=fjPZS#_qJ%|cMt9OfEGu|=hoyE|kvUQvOnQs0 zFKO$7IPESF&5XqF7#Cb1JOlp(%uCs;yR@OycI1BvCb^3go6^ue-RUXU!GWwP>upcpbo9D!F};2U8D zUU`)-8gUOm-MMHkq1pj7m!jG{1Oahc2%$aj8U`pb)uS(ec@`9wPtgJ0B zNFHjPCjiE2mfmKl(6Op86>PT|!-p*pe7|nym$Bfu;|VKtk3NR8bIw?b}CKU?Eyafc^_Dq<}6$i_C>X$D6}|I&wES z=JXV5*5}rQ)6?+#mK41fi*`}TJ{2EW0R9~dfK0mr`Jn~iHw^$!fvn6Z#g+nDnNgWQ zfjq8(#L?3?!Q8Ho|8m2h0@J%(E0L?vcDtcK@^GlioE=#>RJBELhd;A$_yg>z8~86b z{3Qp6YP3Ng4TtKChG*eWof!zw;VTP=zo7r$@n3HETMiC)(FTiwgIHOuVV}%X)?{jF zp{&CC96!nTmozZN@4A0LJx801y`o26dQA z-vGdh)A1(60^n{EmqrlDN{&>I#+=$%gBDrX7fF=*8!OAtrOZo^rE9IqgD!Eu0(la@xpppq~z z-Nfq7d%AyriUn|i{(wA`*4$XXSlDbipqyH=6H0ye@H{j@f6$PaD)-?$`f>Go6N35#Q zUEfQb%zeQO9B-=DHD!a~kUM9#2)PNP@mrQa6WZDamwK9>n-eS|ZyGF} zYWOcVttPz}S(*0arm7d1-Oxz&`=rE$b{0QCff;TcT1RM68?B>gu^z3bn7|$>wgGJ* zZSF=Js5TqXM!F3ZDF#%)m^z4gpFq>niJ4^)GeaY$*bZrCvqRF16K!CG`~(2t@lH6c zo@JgC(BNuh0kxDcJ3Z(3N1yZh7Mg_^G-C*{lpnAiT%INWwsrsySO6+;iP=tYM~WSAJ3-VP zA!bV;W^0<|+6iJD?XYWb$qr7dG(CSWWWV!P(r96`f3?e@6JUSza*E|!B%~UpgK*n_5zKY)t}o7UMyy{`1XQx39M-Y{TLKP z&rE28v(Z}=Z^ed%wHH0H>Fa7kV=|X$Hc|*LtS}Z{d%=^3EKjzN5^1zOoYqlaVX%W& zj%A5ahUmY}d29SQyk`0B9SMFw39Zh4I6738z{4 ztG%`I4DCTXb-G&Qt;0a$>_=T~uEDf!*5I-T0DU{TO7mGa!L=`z&AJI=yjhrq1*{9= zp*ve!YeT+lp`Bu_sd9VwM!$1W)JKY0-v1LTt5yv6j|@#`huOFn8C8 zS=bK|WtLM@GpIJ9O+>Tog*H)|m7?H*RDb_+=_aY=w} zs@@j&)nnK@4>%ksj`3)b;R3 zEi@ZC1}zS}?_nRZ6&&bo%)sKT|DJZ~_?R^VOfD$)EvW zRbltKULw^BREo5|^z!H>aB!vdgW3bw+Jb-=#tqa<^h(!mfXgh>UZONhHn@V|9DwUj zX7LrglVpR-SCxDK7fjJDXbV~VPoOQ-;@^t4x-b4-kl3HQP}$WFRVQ$(;@+M9r`{rA z#f+>sxnbwNdWd7PJ5SX27QBwjiu&FHm75h`y<@$YDEAf|rrTliFNm|s6%cx*fY4?@ zX)m{1iMnF~e*iaRqin(rgHblc4cpK*jT`X22RetH{D@$oK~@Ot?no&HysKr&(X9pe zFv2%eS6Elua1t!doM0-LtuZL7HWkJ5h(JseQHmXKly8X{!lR-yF#2I?`B6bP0eClf z6z*drpsD+>{*ggbAl(Q5sNk4I8$3g)LR?PKHy!;A5D4J(-luI8EQ@SM+X;(2iMCTL zl7n(MEYc@Biv&F8B)f3{HSVY^67W#9se$(q%$J**&$Id2BSu!AIHW?5joA6}G9S&P#6M-}tkQX-TADvCcT5Qr%V-qtF98$aC zbkb>%qS1=Dq>ufd*N^?fX_G7{tvOJp@}NMnM(q||+H?y_TMY_zjD#&EQ<)mX_(!b6 zqe0A}5*!}Q&_LqDqxO)HUB!R7X>&M-M}z6(UI-(Da}z8Gz_efvAchExM_)Mzd|?82 zh6pYu5M`N(#26yD8%@G|xZy-Y1POFl)q05d{2zD_%tgY3VEojDHEq&;h$vRY-ihFN z9|yxgyiywTIuy-XuL&bch9+?JDAq9m_%7vF#aOtWwhmQ0@mn#L&``Alza|s%1AXB` zWgsgXd-bG1Bxw_F9Ln14{b7P20I>I=J7`E|(a}(lsiP!4-NQuZQ_M-$lY)Z^%;fCl z<6#0fK0ATKgz*Eg%YdPI-I07%9u{*1*)TOq1et3jz;IQ>fk1nRJ4;(kOBtHEmkXJD zcr=E<5^OaOHoUK8i)o`Lbhto9u!J8jq9A5$94EJ#hs8EA79nY?VAaqF4^ss%$kVyD z@7M!ni1hIF?xFfm`?ITd& zlY+7z?Ps9Ci&b#d7LwDLn2nFbaf zWLi@L{-Yo^^nH+IGPJjsZ@vvov_B^=bGReM~_9g+8W0eu6%sL8eO%kk8p>mLBY<=u-?c-Ge@* zV19-^V_?F&DloO-^h8TJ?uMQD@CTRN(>lOfhPV=tRVUC10xlVypul~OKBvIpdS9R~ zNIf6=f~xl=`jXUhT!epKFza8TuLvkV`icVeHTs(BGB-V>k08|=L3c9i+7Qwqs!Jcj zNpzCH%Rnb7c;BFJD0p}X-=c3xz5M7~s@^GdiWx#U9a%m0fE{4HF`K8+Y0|zRI!(3z z4t+=Ulbc@DF`JWVY2tD(9;^%N1u5MGhWlKm%zTm9V@7aVmvDM93&CF6LNKi_(BHI& z2-4}dUBc=56D;r!j`vYeqAO;nSG0Pn5J}IBq*paNe2KoBV<7C(1&*dOUJMGS*V3@U zUFffP+4`sheT38RwvgAK=c3Ft?PQR8KFq{v1{3>b*={pzkI<#-fpFM%>klKn8~^2| zH=uP6D)4Wn+aq)?L%o+8D*ZT8dNW;T38xzYPavKKa%ZI#4^BH1PJ4?$`jcES5oj)R z_-7G_edx4xIK6`g9}{Q@5T`Z%%T4dXA<#Tp6d8A2cte2>1@gpHQ5FMWVHsyApxgqJpA z$0hwy8!lEd81IU_z!_wNGuRr_DvhBjMr0f-OPHt{i}#i=k_&iGKd8XGw+5yk4*dMx zbJK@&cyDQxS1S3^Vi|MC%|d7yBSiqA5!PZ@2gt0%f4S+SIS4JMRZU|tP(x3D z$U$f|y`=Jl&>Dsk7D8)m&bjpGErhlJb-u)Zx#=%*5L!!XX9hwp@(aIuZtZ2SXno+l zEZf2Q{8`@2@VhmJ&9=L;>AKGnuLHP5=AVTBa?@vX#;}Fnk6{e3qgjbJtQ{Y_#f%|P zFTu%MX^XFE%4d_l%kXGWCh&gxaXoBf zsqNfg?xSH+8p{Fuh}6bz&EMuUKWJN^kziZ5=J;i1lOXhn{^c^Fw`iDnM8OVjFhAnK z{LBR=^YkHsNxfKU@jO_??s&qTZ_T4cQT`CkO9Una+&X9TdmhYlE->Grr-Q(34<~D(%fKzC2OHX!~EL?=281F)fz4^GZY#o zHOzNy8)rl<<<>ljZcd?;k>Y~Vd-UGtJL?8d1zQXrtBV9ZZoj7UdPC0MJRy1nWS#?V zFpJPIEdqE_X=L#{r>t^oUXpH36QHaMN@1FJFiIKa-C$Pc!L05AGmRE_7^bg?8_e1~ znD9KHec5@^?W9Yq<_42Vm`RZ?!@m3Z3b{3JN~1(I&u3ErAl%2T`2(Eh`DucX&GWij zbI4d}EhegWWba)CL&dSaGdj}E>3M(9g`Nd$^u(ru8_aiZ3IlR)ox(= z^1zr+2U;T5Q<&D)F#q5jRL=1Z<-r``GTtJz_C#PFb%QyE2NRTM2TvBY%Se0$#EB6FpJSJv0(~6rytM{*bK~=ihiKXz#q|%hR+EuZ{jRI)@iAyxG3DvG=rg9 z5jYCay+ZoajAzkV(%Z}EEY;gNbk6FH+-n8I&ZG0B>MQ6xRrLb8U{uu~S-OZWl6o`H zMXKIU=qIZljeH3~bTB^A%a}!yjQJ+S^??`Q*p~boMHzF&El2SWMd-1>MDn2ZHbyEB)3cDNn+HO-990I;ZoGk-z95ZWz8zfiQh zj4so(1Gc<^u8?}m(G{xRRdm&;r?cfXbdA(oiLO!gt|K_rHJ^UVSVQwyv^ z3D9-u-xSba(XSNH)UR2ff;j<71JK{lZv^ND^cw~A2D)L6h|Un_DXsS4(wK?WhMN2Z zgJ&7~zKL#HAtXx#uU+_?iCGYS zlc7Xr$XUdMR-V4Hru!M0^m(RjmBCn`+AFIW`z=c5V(LI|9_Y(SV+NfFC5gtMleR-J zbU5Rr1?+$U3}+W0z>=Ur5&`xt{>A`HhLU6(FeA+wj7ob31|VjX*MWJVftLXL4u4~S z`Jlu{0ShLCGpK}PhYZXh^d$ohkEwmrdPXGUqP2hOU8Vro6w>7-{Eg|-4<&wEm&VyK zNPxqnOLEU4<1z?y!>6Bd)$)JC;I4!3A7UBp8G}7{36hmEugXGAzJSj7Eu3+~nxLcl zumXU3fDG$*{EZn_5K4mDu&$8zelq^lTdDAiN?P5*-hw@b(fbscH!0 zw9Lg?!*#Ky0tTrByW991gIyR(!p2l-@_{^Noy0t5olmY1$p=qcyF9q+sVTUz$%Ga{ z&3goU7I|x$y3C^H*OOi|PaWrrR}<-r?Vg$;>3J^Hl#&)lu}{C6BXVZL_0$r)6wQvP zmLO2qSKKiol`|sdWkohQVKABdFYKsHixRY5s=AqXXC1Ws#4##-;fpcKa zSmgMbsl2n*A}FSbxxSp&WBmNHyt%?HhXH5QriuCOVOYSO2G*hI#89UVq~ zJ}!ITWL5QOG|n- z8VFvI2zT#|0=0$8^tEi#}qgS5zpzcDRvNj|Fui&P7~ z$kU#nA>i`^i2MXR!rvJ1xC9yCHJc9fx&lyIfV3!xzcDRvNkOXxgODh`oVlUtX&{JA zCLREtG=-qILIjh-_#1->E-7qaf;TNQ(jCC(6O+c|%?9+D31Nk1de=n&d=b)hQT&bR z8kZC`x;DvH3`&cU7RB*5rUfo3ZndD1y+=R`%80wifhmNHkO?jUuuG7BOX6=#zqq8N z)vw;76qJ@CElT5WObc96+G;^#+Auau8`4g8LQ|ZC!JgyD(?}3+>z$96ApF4@r!}pO z1P&EghD=TV?Rd>72R1JQBP~OyP!@kKRt`$bkrw6gH>L$HDQ~r4vEdu^ z?7C>AcI7gz*9#T4dsH zObc9+X|tCN23!rz#Famig;zu0AB$`vLN9(I-oQ*>xG zphXP=ttS4)K*J?9U7$6k5AQLwz^?$&pkys*Rf|BZjlVGvaY=0hBG?_S%KLqyd&cEx zTHYtfB|*_rOO!|R=V^*Z>i|x52)=jYZw$V;-OzWS0B$v|#369$w69Q`pO=nxQnyeW3*egTqypI__d&b+ z2+aHOHwGr|r5Od2XzT+hGJumQ=rn!WM!V2&qSV%vkDEic%?U~^@HYk}jO6_mN|@!; zqAHxJTl!8rfrgY)((Jn(Z4;S{@c_Vofb`u8e`ET_*tD?vw&-BOY)=O@m@r#HyOsoI z8~lxdiF;|qz{IvQfHu;{rmirdcW|XvYk<(2KyQb?G0<^;Z5Zf^HeDvnj;p)ODbMi^!ASBhF-!6;u}ShSuC(e1^yo-v)dhcJXoacQ$(mDeBXre6zSDYW{vUI4 z9~9ASH&rdo(u+;5?F{{NCOABVzcDyqthz8bJZwVi>dH|MLW>6pw4V4I1Fb8RbhTz5 zI7;^)nJ~M#!t4g^x)GSY@izu0#`z%&rW;3f7il>Rk93csMKH5l71U9(U1-reS z_qvPx*fzL=?B~`|cXwBL(j6$*olx~r{EeaN!%*@tJ@1qj@vy5F(F0llTY_cv82-jU z!<^95f)-Va=S*B6_$k(_S!uUSx26@i%60m?e8V1{b3ik)Z7X?pj38 zn6-$Wu2??;m_0(U?vKAQSmP;wl)~DnMf7ro*$3M7AutExZwyR4@sCk387%@9yOo*? z{^6!i^p07d=bPdwtPPxJ%${Yc+K@HeJ! zO#c2>-!41pqposq0JIxGU_ObzF)%Ut2Qo1E`a~aBYCQoEo*>YN<8KUfOus=4bh|$B zm@CGEq1|8t^C|p|fr;rdM1#rECmwfYxuMW*D1kW&e`8=`x;)9iwC^Z=U11J`cEbqF zG58w;6H{Zj5N1DDm?NOw2m*5){>H$>)Od=5>F$%C!1u}bCp(iBVL;+bSSWBk6Q{Jv z_ksgTINxOJ5cfUZh#UzhjU?z!!QU8kF`-9U=;|#-L+NPJ;wAizX@SW&#%N)>e8)oR zSkht|{>HSxL>gzdV4{nj5X6wNN4`%8T%MG}EVUG?YB8 zVTT=8WXmG9_cQRrGo;Z>{Ecb!ER;NJ4hg@2h{GcC&BpIzY2OKm2~ceU0WlYUV?aCy zCC?fCk`M{n;u$1(n3LVd2H8gp@7_3N{9yW`8FuUt{3Zep6A6Cv@izv)=b_|zV~E5k zurIiwuHtbLw3|d=F2vs$m@h!d3l>Zri^)(rnY4Hne`8v_2qiBXElh61d_0A;cpZOZ zT1uuNw-=J=Ng>^Jc@2KLKP^0Eb6#~*Y2G}2-T{>HR;1xjAATCmvg zUEHiQe7L|w!3P9nE-`0MCs-`Q-xw@rK*zSm*3jB>}F$+p&SuGg6V@~ew z8LkfIYQvNlf4D0n%?1?UfEV=4Rrni&-W({IW1wfAAL2zem$X=ezcDT5LCHL$g~>>m z?dOvg>+mHR;14`a7T9`D$%i>MaA{&2WS}cZ=#a0WNW={#C z3n^ZBiVoN}Emyp-mXJQT<8Mr#OQB?`(Wf~sya<+&77_f7X|WtimK!b1ap6UHRe z3ngpK7B;;3(O`DGyKz@BE2u^Z%sEp~;gz{a}S>lsc3Pl;Y!2cA4fcqUY^^16RB_H<1Cog}*Ta z+6*O|$$<3SNBJNel}(G|8AD$|!Urq<5*Bd0j<*otNAWiX_*N*{$^eJ^lQ!UE?Z63_ z;kBMkpnibAF;KTb$u?sSKvmGP&A>SEV%<&}9mn68MmbQD!=U0wQsiMW3SnW8kz)bJ z>pDV!pTOT3;5(pX2Ls%ZuE;Z6b2BrQ^!G%2&!aJ|(VdT%))qJ|*qX^py0VPe~t|{#^PbpOU|L{_6Q_`V^Fj z8lXn-IU6lUt9(jaIhJ8xW zRz-Uh?G2x=72QyDvrj2jz1Y3Q?(-?d2NoY+{8{*1Sv*pFmrp5ip~N30Zuyjw^-8uX z*%m&(D|xNtuRf(zuvD>9CE;^QqEl{>%*~&hp+=y~7l$!#dUzWRA?q{D;UM`;S zm)}!oJZb7a<=k$7u>n(%NU+Uei@9`=1 z>(sx$eoLRyq+XL&O@N+F`ZRf}$!MR_^wp;8n{M(c&6+ps+N?W#zR_$`Gl19P?G~T5 z_}r(oOmA7HWqF^{zC`=G+Sl?a9bWG6dWXgE`AvskI$ZTB9q;eht>eS+d8FeP9l!P| zof>!Q(5bUe>D;<=&(4p)=fuu)I?wkhy-xP}xz`n+(&x)Q7yAIcA3yi_&By=rDgC|u z3-&MKQwC-ZY%mb`X;8;Oj}7YQQ$~&%`O?T&e9EYdQDsL}fY0uu28|jDpPNS=81=SK z8SNPz9-RT7okl-Cx<7ob8NF-t9{BuoOv)JG*DCe0(YRY&*X9_&z>m!qXF`PnhLXrcataZ~8*`yf#Cb0sJ+i@Qlhcs=;T|8J%Zz z^(iyk&g?xC>d%@s>y24U;B)(|cV-=h&y%x$o(1%nU2S&b*-hbd^6Uk(U-c<-JafWx zU|e(N&sjZZollutaBii!Rp7JP+z01A1fSo`y*3xt!Mwin#?N~eKEIlGdEPajGXKN* zKg>VpQx+6jP;EgC`0T&nnFYYN3r;S$x&YR}!fgwWE<6UG7Z(1#NcJg3HES|R*#a5k7Y;`PY&U;q$_hzn4P$ zrM;JqTsj6m=PX^bbiGemwrJU=WxzMfjx9UA?0cWGe9-cV%U^)c#ml!Zhjp?d--=2r zU>qy%U(sU)(09eb6(?4F=~Gq)SC(2?7Cw8g9JvzUtqQFwvkLfa)!tPfulmfVtWI2A zXmwGavgWQe&DXSm&*#?6U$e-ktnIOO_}Y;^WnJ33^6M(WXTNn%ubTj$f38>8gPd7E zYW=kJGvM>s`qS$HpAF?VG}zDxKA+w&d&4~V{Ak134HtaM##@_GH^I8!^xUTTn*i>n z4>$d=3Fx@F-v-}W427+0`t7($d;2^PWhCrE4J?13VfE`Eqh4zF!MF5ZuopE=cOFr z@0@pYzRmg0r$k0aUWv?v&vlXgk+!hR@AA4(&JspVxLK?)3VU zowIkY-Ujy8|HEM>OH{wSEv0DxReZe#aAF z&Y#wed{ROH`5%Fp=!*xSKIOKQ_|F10IML_7E%lHJ{*(C9IRE2-DZJIUq{9C+-UjZ? z5;0+(kc$2{I$%2StdWZUw>mL8!rb#;?Sz^YS&>TqH^)Pd>A&7l93F}KWn$75!Vlf0 z(*NzD(ZluzkUo- z>;SBM=d5MRe1n(x`mOhkn2P`5GPN{Uc)F`0il)@)=tn3;58_@%sd!3`l76I8{6PNy zXw4EOQ+l-YBbF)-CgD`Hk7*~1AOn-|c7bpAe*bgbYNVP2;>{k5mj6KBA+u;r$NzAc zC|5;A+0-&_dW)s1gYv#LejL1bx0M&=Q`4yFM=sSJnEukCuBe#W#!WwVsq)|w3SIo| z)3c&-Y8*oSFs9lA{Qqa#Xi+7#j;?-$Q}sdKWt6S9xRcIwQ7tu(vVNpf{ej+Pv~S&! zM2i}!eYEu>o+b|VE~9?S<6}gvv@q)Wkxw%Re3#L`<@si!PFfj#{S2h3gVtZzMTwZS zG~W6#Pjd%uxFF0So=9s$uOI$2dGHB$gqL04YJ4slq{Ug#&qSJi19ujpMrm~(^mCD> z-^85-N;VQr((;t(rzOq5kvpeGJSm!`^{LTM&m-|>?yP1l9)o?hx%C#ktha=Og-Fmoykh>nlyRO+Ymk$#iwPnJ#sA`; z8K^2cKg#o~pW{dVjk;3zRuzsCT_5$S)lYA_c(bk#E91|&EYMNnsq`?*`k78QZ`gIA z96#Fu&3Q(a*+uvCGU573Pgif+&4snLQuItubFZKOboa(J*Vj=|qE~vGbp6Dq%QuhT zzb1SgSx59qkMpnhK)M|Oy$?2te(BW*dM~8wLD2i*T`?d%`$6xCbUzS!UtAJ{(z`G8 z-cW;q-#@u7CgmF;lL!a&PZjQJFTO)j~X0LYAqO#WAt);h@8m#|g zuf(Nmvhvse_m|#dYQXNnVKAH zqR$O6SNZV%e5m)L8pQkbA_vj+pagzbF<+VS{>`X2q#DQvFyyTw>3SMiJ|-3_Up|;G z_1;v2`GDRu`g4O=to-@l{?vO^4QLX0lmqH|>I9$t@TZgY#8PF|q_L{ru4+(|$gUhz z*AIRZeh%c7MJ!jYO*+@=ovQ{m37yM<)&DG^j##05o3y^wdshu^5_{L+Umq{AO8GbG z{j2w|8sKE`u))W&gIJ?{oIF0(dsz)~GI^PUY`BrDBi1QPC!eMDwpIh3jJ7thc4x6c zSvz^Ht+%%t>}0k#2ix_T#_d01Hb^|DT%Pz%I#{vkS_1Apfq?o9Yo+S^qEJlq7(CxIILhnxf)d@CJ~f37_K zQlI~5x#16x`!^ChRaQLwtk7qMnt&9@3{HUSMmXWi{R~&cZj}uwlMVWeP?L~C8No@2 ze`sJIAJNjh#a@*UDVGoWoKO>yf;qv7xbvn0S5!=pNU>ifNXjONK1tMMq;QhlB~cEl zL`nHX(I<(;+>bL$sb3&b&%I;ksl^y#A}CzYj7dG)cvZ;vJQW zX)_h|>8K_#jiw_f@y>6(-&6URcJoo6lWHQxccN(6Z;4yFDKS;gWs>yxC(l`Db9RKS=QJ9y|w|=G@CB9IJ z{OBdJKAF`7KZ4233HD{?;xDo$By>R4xPJ__wD?Np^rM;6`n*ixbJBB&AJn9$k4bk;{7vDj zCY^(kUjEDA8_=BpT1NbLl$TV#r=O|U=e(Nubd>X)c&}S|AO8uf)Wpv!_0w1CyV5`I zj!qUAzbXTyKLgxh0z9Pjn=(QAG=a+qya7-qNM{!VoP5`R8ZQju?*{%*#o+e1xW*?v zwbIv`fdxSsL6;!u$pEhR8uux|t%ot{i9c0cNZ)mVws6%3WrlQK8)$|*>%%owA5?wN z*9c_@RU_hVF!Xg|fVi&egsKy+OH9}GgffMy6$u|k=zUE02UL54}m@7k6JyP}P zLDC}On=tP-(xJ?x%=92;;%i->94RxY`!sZ?gKaaAv6DpkFbq7sfKt%_C~qtui)JW>IBKm9hIRS?*rvDrL%C zs+1*ZDWl==Phq=03%gatddnzP#Z(n@SB)NoyDFJ7n5tw+Udd=o&2=djEwig4ri$2u z6sxX)q$y6armO@7J;b+4Mx0XF+PF2rTJ-b^?deCjInx>4VYFe_@G@4X*kru6r znks4!TDbn->s^ISnN1b8WG!qoqW+@oy3C{Mo2qaBuRbNkc2|v4hEp{z*=wA!&PB_7 zs?Mo8mlSpEUShavoid%Obq}T1(Ue}dK8(BdCFw$0K$SdI^6sTvB~>3+*;B?-W$&St zJ(^eddEH~G=&7QYR0Zu`t2-Dr%*%UapemRlQX8@_uSta=pe? zGnFw_%}g~llV(r&q_U!{sEVd4n#olF?>8zAnL}qKd4d zOsY(poMwBF*td=PwydU%s*L&|W=ihY-ZtxISwopsnKii$_n`4_8@86Lr3|YK`=Ew; z7_Yx=+DWpGGOaT0!!TV^?Blj^`^Xq&TxHy(FxA6~aofDLMF98GPN>wN|-P?_IcabwPYJ*Y-Q}^Fj-2)Ofa{s zBikx-D|4rm5tD1b2?ocbnC+FpmBEwCXek-@w#na?9hJ$I$y3sd$+_>_M&B$uE2Ar; zC#Ts`I`(a|*OFb8*_GK-+K|b;|J#P2BcD=+SB6h+!=;A!w@u$ic2}lXrcVu~d>F@Y z+xWF)Pi1^%{D)z>)UuD;=5Hi>Df27yrxs&AtmC+y0JUTvl>jOM9+vS^(|+_x&`%|S zN`lm6&WCv{`a~F@5WNs$tRulAWb3z6m<(A?K*yD6gOaCNdsopYpeCuQcv zb;3}Iq5ds~RP}E$q;x^Zz>|jG<+$_4TscymH&UiPrL+yy{@u^}?Yr>2aWDJW-OZD3 z#)miVIG^C&-ESYyCjK|#&Mouhcy(@37D+d0a33Y|_6>b4IZ-8xN*0wYclW^Ugo&1u zRl?kTu#}n9_|OBcyBL))_i>6=NtFJQNca58RCSU`fAg<${{H6Nb)r#8qfRvHtCxH9 zfd09c26DPO-`rpAQ8hb#j+^V8qY~#H>y1j3^qDBedDowJqUB6=-chzNT12hi-4m{J zk4heO?oszU9(us**7N?i9{C?CXRA}t-OG(C$7+1cJm5MFsRZ({1epz{V(Bl*?z|P6 ztIk8|&jKp#lV;9c=OUFv>RhC5iSE+_hFha~a=tnxB~5u!Wj=k5BTq{)xBeu}LX}ME z(*!E{lWyK!*LfO7Si>inZ{46bvPN~$ENQ;&in)O&dF;;KJiJtdc`^VNe7sj{USLzf5i zpQx`;$)$|pGKOlC#tW{CLX}+VqELN+k;ER*ed4}KoxGB!V5!2d#!>G9*9lA|SP~a6 z{UEF5^qX{de!{UvoyXFz6;v5W-nrL*+ObY0TJjFIGIRPKU)P1BN;Gxhs6P2fMi1yd z1=*lZYU#V^s*`T=&%f)$rjjigi<&ZW@*6oVjIsXHk)iTAb$(N}NDH=2>&NIi$Ek!< z=Qwrq_7FUvKhH(W&FVa-&U0z~9FkU!-*v82N%s)yTv{=OI?m)Rz=l7LvrcYRr@Z7H zaph<=zIqS1PJ1fx)M-!M;ypwU+9@;$`5r;MHa#!d@k zY`FD%N$ymqK;?|I;M_;;7+t49m4NCrsBQsMzyle4{r33=u88}S#tr3ebskjb!AI>J zlUCl3>s+XkFa_#hS}}<_&g3o7`txBOxmTSJlXtk4oz?i>%>z6q#{YX8dF6hUjLIx` zGmF}m{QhuVq^e|87pdw4n-umy-1qniAKn~P=f~u)f9f2g#`ynvz;%vP37Nw6@&8Sf z+MYg=86WCgj{lP5hNv1@LkO$C_M#BNUpR$DL<_4ZinhAwCt}dH6a7V3v_r%IF%s<@ zF;FZ;yG;xdub}-v#A;r`qFK<|(dI;(A8kdn)zP*<+YW6%v_sHNM>`Mg2DIDI9v4G0 z_z6pfOk!w;&S(dVVHtLzJtanZg$avSelf}m`}LY5#(05yof2cczCn9cjPrh6SiGBv z@!r$Xt`rlzFQUC6Ci)Z?7N448lFuBpE5&4=x6qywQ+%@ui*G?O)weC$PGXwxTC}^w zbl_K}% z%(wi8_NrLmUrkv28;OPff5jV_N(xJ+YU0gItr1&87Qt@Ht*TqMfZ;JC-%8C!NG!ma=*)KlLa#4JiHIw)}Ycugl zR?K_W{^HB5@1Xrwd=*t)d>u7Ud=qs^Sfc(D-)2MXY{$ffY`+Lgb}7Egj`xtgy7)dv zEn&&gT3pOA1MMpDLyou6ej|R&xmQ?nzAP@~S|)yqZX|w=UL`Eid&DofF%P+a62Ino zL0Iypi0^gy% zBCZwqM_em-N&NNL-{M*!c%)DjalOz8w6n$Eh0db=Ox!4pxh`Bl{9E`pVJYGz{wsoU zERspwEHWAGGAW8;u8TI4vRJ6pioK5Zy08=vkQs{CK-*G!7at>iiciDx3h7${`CQ_N zw3OZ_{mbMKmNI#ywM;9t&&Yr>E75L~8Ou(Vfn`4yma<<+dpYDqx!f|S9OkB6FBx2J z4cdJ&q})ZcS7m7VI>J)EzI2oyhjxy1mPg)~zbL~hWEPeR`DJ*8u`;5-Kb6A$EcUYFM_lK-d@0u+CM0Q!Bekob8!KboPgD+*phE`djVJTUzVFy{M zaUWT(G3K}N3|YDH5wvf}$D8I8mZlYCm8OW-^oXq54D;8lq^#C#1=?M*db7WUrMaK1 z(Y!3$O0s72AJP6RYqcmKEG;U@+AXT0Z6@oqK)$wEFYC5IKDYQ?#o^+h{gwrqzSY4?t7)ebq*K3KNyFif`T*jTpih;v5AIr7Pl zuc7^1w(ZnkSUOFV?K+`*{Rb?R z{RSMD{Ra+_0|xyp`wtG2eFs;O0|%d$0|sA~ePaEDCDtYf$5uhxK*q+dl|y3xltYKq zmcxcDm&1qrB1a6ZB1aBgAS^?d%27k%(V<_;(ZfQ8Wmpb5X4oJ(b{NKW*c)=(aMah~ zfpYwCDRaXg`n>N1#rQs3s?kScG=DoIK(Kv_HrxBQ3%*GE7bzSqp7FIejF2 zJ94y~IdVSQjdJ$LKZIqJlygQELt9$T8-?woM$7r5_Mtr^7mUU+jLsn!j&6dsrCc<6 zCfen4@#qV3$ryNjObPkynC@s}<%%)L-7zQR$}xWm%UD0TYHUTc4dv>wbI`7oYsS8X z_MBWhF1xUdD=gQI>wvbaTt99P+7oiaIMlFlSLMd>I1i6+B%d2U4((*QY5X~~U(3xC zked_2<<<#h(8kD}6PBReD0fZxQ0|`SlzS#NlY1vFkozaTCl5~wmPaNvlE)`)kS8X6 zD^E_&DPNo%D^E>+O};cGN}irFK)yU>k32KgN4_$(wS0BzQh9dj&+_$YzFN?d=f!TIQMAgk@$SEz8VtTGp8# zXi>BBYuRRv(z4I`Ny{;(u9kD|BrSUW94+^JyodScwLA-ag=K+5%e&xxE#JbN!m=>0 zmVaR*wC%M53*XfWE<#;iR9Aa!(EzlQv_gw^qJ2>-yyzccSsbVpSzHJ0lUmWmnCHct zwPH&!ze_S}#g`z@mu%HaEFGFunH6ibvMa7>C07P&BVc_Nvxm`=46N9YeKNJI-jW zcV^O_+|^HOyX&~tZuhfV`#p`d4tq9g9rsq#I_*8Kb>0`Eb=lWX>$>l>)@^@;_SF7f z+SB__YTXZ%5S9aFwH^mLq3x?ZbFjbG>rfZ1_n~Q8-$S2k1D?;L4LsUL8+5F=7JK}L zHuOYGZPo#3?gd^VV@^A$vvWos4r>@r<^C6h$pZVBKwQMq8^s)vN5iK zW3XhC#l%rj7fb#!SbQPsV98hfA*HB=C9e#h3BRy!tp!CyhBG32*acBZgnLy7`wlFV zS0S+P!6F@jU>Cu%gkA^x0W7m)0@#mWQI6hVm%y@ymjwF>EPHqq*w0|uBC3G>0+utP z0NAf!IU+WKT?UJem;?43Sgy!DV84UqiF_9953t;s8-QH_%a^$<*q>l|v-AYJ3RWOX zGq7u5`LoUd`wQ%`tb@U>gB6Sl0s9-QaMpjpZh#ev>In7^Skb5$uz$gdMC}Co53G39 zQm~s~#j@2De&GVFWVRxBFA}Uo_R(M(Sn2HD!7_lA%6=Hk3#@GRbzt6LWpexr<^xtf z$M;~qVC8Z)1oH!{n6n(11*}5OKf(OLD(CzH%nDX1S5B}1uqwH1U^cMFa~%ZB2v#lE z8n8gHs<|$J*}-b$dJ8NFta@~BuwbxS(JjG3z-mUn4i*YlC;9-G1FUxR6)-1QO!Vhq zVPJK0M}dWdJ(1f276Dc-j}KTRScBY`!7_o>&r=92GgzZM;b2+78s?n>mKCf?-u_@w zV2$(s43-V7S>6x9vV%3v=K#wA)*|msu$*Ac^Bo7v1=cFxX0T|mmiaS*SOKthMV0u%E%GW@QLrvWeZh)>Jyqm4u;O6diWLPb0oJ`(Ca{uVPnY-ztQ1(!5-)<4 z2J2B$fRzF3RpLjmvS81YYz$TotWU`bVCBJjm&yQE0jyuipTR1E^)2-|SS7FlrCtH6 z4A#H&yI_xl4Jv&UtP0q`vYWuFg2k4d2UZPiaM^#rs)G$J`yE&fup#BDfz<>XUhXll zT42M+_1zQsHAy_xC2)X9MdAwzA18uxG&5G?@z43v6|h-@tl4ZH7Fa*9^-Vtk>ksx^)6-xBz&18(4mJ>MbF;_627zsA-U)0l*w*It zz+%C+G+zWZ1Z;crFuInFV267(2Ac?WXz)z1Nnp

myfxSEIN3hjk?+-f%wg&9n@UmcQ!9EhBMDMgMB(87uXiCFGgep+Y0vih%R8;z`hz$A8b3=mt*FF z?Ew2`%y6)sU|-K#0=5h6!mM#%yTQJl&3eBF?E6`)_j|#?m^u}qhzvgcN zdl~G;`~_fV!2VuP80;0We-}i6y$bfvf;M1h!EP?70rnc$e+&K;0pYJ(3re}*yeJU< zhNvXP!qH%Ff@N6P8SE`EZQ<`=Z-aR+`~d77Ft0`5gS`vpyXZBr_rQD>mjF8lW?38# z_CA>3;;~>KfLRxJ1^W=pfAJNtkHBn;KLR@s7O>7%3tN>1>>DuWswH6Gf<>$v z0d@f_eAQX7@4zyx+5z@GSmf%aU>Cu%tS$rg16bzOZ-M;?7PWdW*d?&6Yj}J=fn{IK z3Ru3i zXTbgh%e!tO*j2Cs>$-zo1IxeeAlP4EkF8q{b{(wX`ods;gB4yM0(Jwe(E5&G|9}-; zUjyu4up;ZvgZ&3qeEl)7n_$H@|iyv*ujFps&5$s77SKvOBb*Zu$o&#z(T?5 zY`F&J0IR)qHkcDEX6pd3FtEB?G0qX;U{7qtI7dW))!SADEE252wrH?SVD+~x1j`K8 zXxmV*EMN_{{Q#B~tjV@Fz@oq!?{I)+18cVZI#_nFraSoFa)7ni!S|LEtoaV)OhhiQ zRy&Y05z$~Rca{gs4c2C76j&ax*1P6{qa@hV`-+2=0_(Xi46HO*k9|D8GGM*-@%YMuJ+touSUIph`(6br57v8sS+ELV z{q|=8s|eP2|4gt-U<3B|1FHe_##4CLa14tP$9R!$rUvgH1jh3f2T{(&0{E zO~Ixft_ju*Y|7ymz?y?iKfD&K1=zI1m%&+f2b=dibF~B5!snT*9l;hnkD3$F32gE6s5udx!4@6$ z1?vK~^!ZC*UBQ+d9S+tFZ28glU{8T9JGve0X|NSX=Yn+yd-f>3(F1JNQF@~%*vex~ zz@7nHbF4I2FR<0eP_H6-gRMJ;dKJ+JZ0#}BtBAf}8;+q~Mf3w(|3XEu{$S6&kR5CQ z*v1$7fDHuO{6Z73L13GXvz817+j^X}Bo=JT@kU@nz_uSR2{sgL+ld@t!@zc)@BteR zw&TQTun}OpPjm(w3AXD5>(wZ*y(d_&MuY7+Ngs>>+kb*S7z?)VHLf3GL0# zF+{fbUj85B75qPc|4*>Q?f(9sM$E!1YGK)y0UhkvWcUA6*s-H4et){#(H!HB`rNUj zzY=zIT{JY?(O=lfb=QupW3A&7?I=_HJDO+Q(Q*Bbtde8G_hIcN9yi&MRSG*c-FipX z&F*)!z__CmZg#ZV{f<^A?C6yH9W65M=p{Eh+UI^p`x16^&i#&-7;P-_bI|j^tMJ9obsDc4Qr;a~k`iqTz6}Z zK6k&P^~N0?cC(}R-M^#v6L$2o`yFjG?&ye{9bI(4ql>N`1+@?AaH|AW6dL|t;H%XF zaW!?5VLxI_+8q~vWtbL7l!Bkwatj<4nS z967t&$Onv(I8xUp7Ym+@r`L4!4nCF+`T$ zo<%O^Hu70xWUFV9E4YpPx-oKqXOXvsY^KjGV`_$S2)Ke%BZ| zpGT2Hhr1o;bH>O$J&QciZR8J(k)QD>vLn0O(fG(1d5UL|i@J^cu`%*=&mvcLI~t!F zBhT|JaviskKQ~66?^)!QZXyN!I=7}?GBvpd%vaU1z}V`Mki&+Z~0cN_VNG4e^za_}X$k*^vf zzvx-yH{3@4%NY5TXOTZ}8~JZzWH)yc?#B5Gw~_xbMs{;I;V$w;w~_xdMt<3|(fHY2 zv{+?lBD?*3BQmQo zau&}bKjZe8vl%02^(^urw~=!gBS(1_d6c_PTqAQCBWLq0@)39UR*|`lk@I^N`9-&p z^BN-;@GSDHZX@S6MlR@CJ;qO>_@o6B&hF1mX9iA;J;&yVG#A5m?WJ7QgQjSji;%M=xF#az{lon-0IXur_2WuMIx@fJ~?vMYh14KY%j1Zyw z#1(m3Ncn=_d&1A}q~FVaulT*{ch>K9{P)T&_E%zNooJox>W3w~);iz1$htUzEslS! zb(=}QT_*irHtBcPq~C`o{XR+D&o(S^Kiepieltw^%`xfstVzGsCjGXX^xJLH?@g0_ z@0#@c%B0@~lYW;?`du;f^9k%1I1v90iksi}1lPwH)+ZR&rx?~}7}n<)))(m3{p1UQ z8v-}FJb@+n1Z=knJ7~g=nXprVFD1l#=~le6ChTn!_JIle)r9?@R zD`yg~g$e6!e68Qfpw2;EUGEo5aXA{)%Y?-S4N2%X6g)@U$9BP5{Yv8Ak~dRxz>H990v0$WhZcAJ|7dlYR|N`ZYJ%M;nvZwl{fg z|HM9Zm|Pz@MwskltO=WB!loOK1@CRHNxwxV{g#`s)h29%3EN`AcABt#MrNJwIAk(b z$Bg~(K3+6oXN(N-UNedJwn@KpCjHKv^!v=D-`6JnzBB1}$=DC?!{naGVZ0~8^^oJb z@wIqw|0dptQ#1DSJL&W_GV6S&)$m$egE<55yasb7y2iun!VRy(u{pCCKsehlwpqH~h#dI3vu_ifIl&SeQ~S^PDGbEQeYV~K07^Rx+j z-Gsek!ag)%7ZRU8oj)XgZ()|i?=8%h_?W|j6YnEzvsjlE)=zB1Y@=*5Y;$bS+E&}P+jiUDw7qNl%67qa z*>(k=h>QuG5I7}pM&O*l1^B#Tci_RmV}Yjv&j!97_(9;Wf&be5?UDBU_Hyf(8Zu%gZ>WsFW5WS5}YwO zG&nLiDmWMJ!m0(w1UCq78r(9tZE(lnZoxf*`vngQ9u_<*czp2W;2FVlLc&8bhm;H{ z8&Wx>T1dT+rXg)YI)!u(=^HXQWMs(rkXa#1LRN?D3fUj>QpkHDABB7t@<+&DA^(Jm zP_IzG(2SwMp(R2`g^mlI5;`MvUg)CGWuYrW*M@Ek-4ePZbWiA!(37EOLf;5|FZ6uq z7oitIFNOXddOh@}!`oqZWOd|nlyFpZRCUyJ)N?d+GJ7c-wK#ao+Kn<7>xvj!TZqjw_Dqj(?q+)7NQr z20BBX;m$119M0U%$DBo-rJUuQm7Ud{b)5B`O`I*9PdYm|yE%I}`#1+Uhd4(#$0Bc* zA}5YHPdi_CzT^DRdBOQZm?g{>792J@Y(m)Su-C%g3p*cKPYCCEDZJ*|N?V&qN9CYv z(pK50s ze$IeZlFzA0TOKaqxiT405M4s>#H0*(3|#^oT*afAG9VvUi*Oa+Q_6s%Tx}dsQU=6O zx=`9uW>5x0{6F$PFZo+0>3`M#n&gj-q_qe>ndJlX(*Gux@KCc<>26_8`8EB0c>Zv0nZ{y+g@(KPc5YF3^#nn z4S1AD+RkwUei|WdA9KS`+<+&6q_r->+rH;k{GLJDe&E)t+={1pr0p74|K=(l!I2rg zxpbUMp{W^BmSCS1LVRck#QX~u3?<)a8PV=rtCY;FBqX6#FOma>`h zD(gA_!woNzWhSG6t@wqSv>xZy_qYMSM3C0kxdFc@lh(Jnp*$wk`WdJ82L|Aj z1=nW3E8KdWtBtt&H&_4VYHP0kht&YdRgP7F#;w&5Du8ntj0fgrfDgM^xQeITWq?0d zZCu5p=`w(m91z4+JWnnIg1K6Pb)y%=TAiULaCJ6i9%TjDa~P(OlNhFeOX>45cadVQYpZYi+JJr?jB7 zq>Q0V!I1FbP-z>>T7n@t!|qbQ>(V<=;}VH{;VS0_*=a&;1yCUcmkaA_)K8f7|V1~<&4%%aSu z%%RMs%%jYwEMVt_ltq-qlqHm$2Q~er|oU)(No?H7+ZbGCV z#hcCe@ZTslwrlropBE~P%D9_0y$Peo*zPZgi4!lxLQa3v^wdip#g z#9tijzbP*=z{`|beA#5mCdvu2rIZ<5-Avg|*+SV$*+E&(E`zwVi?Wllk+OmtR#Vnc z_K-cxrQKXw$)$ZErGL`+7QKnF8f%(n!n??Cuh>CvGApUO(v4ovl z@f|g%OlRk5l!;s&PkEAK-Wcd?|hu3&o#er36rHd__h|AjM7zq6AYyD4`SwJ3A?1lyFJ}C6bbfl9`f)JI_jq zqGY3Fr{rLioLtI9iKgVHMN1lv0dRoKlific*?V zhEkSNj-kp^DsZ(Tr4pqw<#9?CZmr6tYLx1f8kCxpT9n%CQin@*DKV6Klqa~MKBWOy z8&Vo^wK12Pa7dbRsTrj?r3IxWH?*R(rnI3vNoh-IM`=&#z|I{hohY3tT_{~C-6&5{ zo@VFnlpd6xlxHZtD7`6tD1F(vAEiHK0A(O$5M?kWmNJAgl<#90mxlATBe*n@GKw;q zGKMmiGLAByGJ!IYG6^EiA{V3sSt0F|P)Z0{AeS;yY+MbX1aUPOOFr{_=0p5n6QaD| zWFanMgLs?rIpt5vkCaQ4Unn2pW#VJXRj&R-`I+(w*=Lkrx%xbp{-C@^IY)Vw@&)Bf z$_2_d?EF3D4X*w`d5dy|>|M%tl=msWQ$D1;!Y)TCzflg7y+Ap})o&?pLge%EsL(P( zGE}G3q12}|qBNznptPp6r*xupg=isMdYaOc(wowcGLRBW8AcgN8Aq82(VSeGLYYpP zMVU)kL|ICCma>Ynma+k&W#ZB%%2vt_%5KU&%0bEz$}!3b$|;DJl}j&EUZuQFd5iKc z<$cOWlusz1Q@(;|Il1&L<$KDHl%FY=DSuF|Qm#|}q1=RMxglN}#hcEFNY@=|F zw9;HUKsih~N;yt>k#dG|mcp6wI!8GV(aLe@Q_2?<&WG0zl%FWSQLa!p3ts;~yd^}d z$R!^N$KKmcaZn;DQ4|igcOFW9N@0lhIG6Yay~|QK&fe81wJ97}@5YoClqV_eAzECQ zE?n(S=}qZJ8B7^Y8AF*!nMUEzX*IdDfU=ablCqAnk+PYxgR+;xq4Pcr(duyN1I45HQJ(wCGAl#7&06wazQ{p@{}!jbg;58@Lyk`1`zMe(Kh zQ*0EDsSn50C!E6M^vMd545e|Hv}Tl+l(rNaPNU&88cyp;;aF)LEBP0f22x@v!zd#u z<0u?DjYFr+q|Bi#gvc9QT0&V);UH>jDC;RSp|*vxowAF<;nW0$W2(iCDeb7yjvDQ# zou<4(d5!Wm