From 4e2d0d75c2f7301876cdbf49e7c3026493274ff5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Wed, 6 Jan 2021 17:30:00 +0500 Subject: [PATCH] adder added --- exu_div_new_3bit_fullshortq.fir | 3195 +++++++++-------- exu_div_new_3bit_fullshortq.v | 1216 +++---- src/main/scala/exu/exu_div_ctl.scala | 22 +- .../scala-2.12/classes/exu/div_main4$.class | Bin 3905 -> 3905 bytes .../exu/div_main4$delayedInit$body.class | Bin 744 -> 744 bytes .../classes/exu/exu_div_cls$$anon$7.class | Bin 1694 -> 1694 bytes .../scala-2.12/classes/exu/exu_div_cls.class | Bin 11054 -> 11054 bytes .../exu/exu_div_new_3bit_fullshortq.class | Bin 118291 -> 118893 bytes .../exu_div_new_4bit_fullshortq$$anon$6.class | Bin 2817 -> 2817 bytes .../exu/exu_div_new_4bit_fullshortq.class | Bin 49555 -> 49555 bytes 10 files changed, 2231 insertions(+), 2202 deletions(-) diff --git a/exu_div_new_3bit_fullshortq.fir b/exu_div_new_3bit_fullshortq.fir index 30270ed4..1c0fa8d5 100644 --- a/exu_div_new_3bit_fullshortq.fir +++ b/exu_div_new_3bit_fullshortq.fir @@ -9,70 +9,70 @@ circuit exu_div_new_3bit_fullshortq : cls_zeros <= UInt<5>("h00") wire cls_ones : UInt<5> cls_ones <= UInt<5>("h00") - node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 775:54] - node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 775:54] - node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 775:54] - node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 775:54] - node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 775:54] - node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 775:54] - node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 775:54] - node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 775:54] - node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 775:54] - node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 775:54] - node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 775:54] - node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 775:54] - node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 775:54] - node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 775:54] - node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 775:54] - node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 775:54] - node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 775:54] - node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 775:54] - node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 775:54] - node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 775:54] - node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 775:54] - node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 775:54] - node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 775:54] - node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 775:54] - node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 775:54] - node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 775:54] - node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 775:54] - node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 775:54] - node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 775:54] - node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 775:54] - node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 775:54] - node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 775:54] - node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 783:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 783:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 783:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 783:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 783:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 783:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 783:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 783:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 783:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 783:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 783:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 783:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 783:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 783:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 783:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 783:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 783:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 783:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 783:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 783:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 783:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 783:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 783:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 783:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 783:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 783:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 783:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 783:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 783:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 783:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 783:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 783:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -138,167 +138,167 @@ circuit exu_div_new_3bit_fullshortq : node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] wire _T_127 : UInt<5> @[Mux.scala 27:72] _T_127 <= _T_126 @[Mux.scala 27:72] - cls_zeros <= _T_127 @[exu_div_ctl.scala 775:13] - node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 777:18] - node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 777:25] - when _T_129 : @[exu_div_ctl.scala 777:44] - cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 777:55] - skip @[exu_div_ctl.scala 777:44] - else : @[exu_div_ctl.scala 778:15] - node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 778:66] + cls_zeros <= _T_127 @[exu_div_ctl.scala 783:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 785:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 785:25] + when _T_129 : @[exu_div_ctl.scala 785:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 785:55] + skip @[exu_div_ctl.scala 785:44] + else : @[exu_div_ctl.scala 786:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 786:66] node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] - node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 778:76] - node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 778:66] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 786:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 786:66] node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 778:76] - node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 778:66] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 786:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 786:66] node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 778:76] - node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 778:66] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 786:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 786:66] node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 778:76] - node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 778:66] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 786:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 786:66] node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 778:76] - node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 778:66] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 786:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 786:66] node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 778:76] - node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 778:66] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 786:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 786:66] node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 778:76] - node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 778:66] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 786:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 786:66] node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 778:76] - node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 778:66] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 786:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 786:66] node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 778:76] - node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 778:66] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 786:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 786:66] node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 778:76] - node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 778:66] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 786:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 786:66] node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 778:76] - node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 778:66] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 786:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 786:66] node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 778:76] - node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 778:66] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 786:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 786:66] node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 778:76] - node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 778:66] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 786:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 786:66] node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 778:76] - node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 778:66] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 786:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 786:66] node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 778:76] - node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 778:66] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 786:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 786:66] node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 778:76] - node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 778:66] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 786:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 786:66] node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 778:76] - node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 778:66] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 786:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 786:66] node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 778:76] - node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 778:66] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 786:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 786:66] node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 778:76] - node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 778:66] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 786:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 786:66] node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 778:76] - node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 778:66] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 786:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 786:66] node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 778:76] - node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 778:66] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 786:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 786:66] node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 778:76] - node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 778:66] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 786:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 786:66] node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 778:76] - node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 778:66] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 786:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 786:66] node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 778:76] - node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 778:66] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 786:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 786:66] node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 778:76] - node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 778:66] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 786:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 786:66] node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 778:76] - node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 778:66] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 786:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 786:66] node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 778:76] - node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 778:66] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 786:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 786:66] node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 778:76] - node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 778:66] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 786:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 786:66] node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 778:76] - node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 778:66] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 786:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 786:66] node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 778:76] - node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 778:66] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 786:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 786:66] node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 778:76] - node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 778:102] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 786:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 786:102] node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -362,11 +362,11 @@ circuit exu_div_new_3bit_fullshortq : node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] wire _T_345 : UInt<5> @[Mux.scala 27:72] _T_345 <= _T_344 @[Mux.scala 27:72] - cls_ones <= _T_345 @[exu_div_ctl.scala 778:25] - skip @[exu_div_ctl.scala 778:15] - node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 779:27] - node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 779:16] - io.cls <= _T_347 @[exu_div_ctl.scala 779:10] + cls_ones <= _T_345 @[exu_div_ctl.scala 786:25] + skip @[exu_div_ctl.scala 786:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 787:27] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 787:16] + io.cls <= _T_347 @[exu_div_ctl.scala 787:10] module exu_div_cls_1 : input clock : Clock @@ -377,70 +377,70 @@ circuit exu_div_new_3bit_fullshortq : cls_zeros <= UInt<5>("h00") wire cls_ones : UInt<5> cls_ones <= UInt<5>("h00") - node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 775:54] - node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 775:54] - node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 775:54] - node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 775:54] - node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 775:54] - node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 775:54] - node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 775:54] - node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 775:54] - node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 775:54] - node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 775:54] - node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 775:54] - node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 775:54] - node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 775:54] - node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 775:54] - node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 775:54] - node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 775:54] - node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 775:54] - node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 775:54] - node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 775:54] - node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 775:54] - node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 775:54] - node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 775:54] - node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 775:54] - node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 775:54] - node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 775:54] - node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 775:54] - node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 775:54] - node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 775:54] - node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 775:54] - node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 775:54] - node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 775:54] - node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] - node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 775:54] - node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 775:63] + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 783:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 783:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 783:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 783:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 783:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 783:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 783:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 783:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 783:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 783:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 783:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 783:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 783:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 783:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 783:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 783:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 783:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 783:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 783:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 783:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 783:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 783:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 783:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 783:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 783:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 783:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 783:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 783:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 783:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 783:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 783:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 783:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 783:63] node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -506,167 +506,167 @@ circuit exu_div_new_3bit_fullshortq : node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] wire _T_127 : UInt<5> @[Mux.scala 27:72] _T_127 <= _T_126 @[Mux.scala 27:72] - cls_zeros <= _T_127 @[exu_div_ctl.scala 775:13] - node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 777:18] - node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 777:25] - when _T_129 : @[exu_div_ctl.scala 777:44] - cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 777:55] - skip @[exu_div_ctl.scala 777:44] - else : @[exu_div_ctl.scala 778:15] - node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 778:66] + cls_zeros <= _T_127 @[exu_div_ctl.scala 783:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 785:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 785:25] + when _T_129 : @[exu_div_ctl.scala 785:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 785:55] + skip @[exu_div_ctl.scala 785:44] + else : @[exu_div_ctl.scala 786:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 786:66] node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] - node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 778:76] - node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 778:66] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 786:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 786:66] node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 778:76] - node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 778:66] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 786:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 786:66] node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 778:76] - node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 778:66] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 786:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 786:66] node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 778:76] - node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 778:66] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 786:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 786:66] node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 778:76] - node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 778:66] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 786:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 786:66] node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 778:76] - node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 778:66] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 786:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 786:66] node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 778:76] - node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 778:66] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 786:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 786:66] node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 778:76] - node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 778:66] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 786:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 786:66] node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 778:76] - node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 778:66] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 786:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 786:66] node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 778:76] - node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 778:66] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 786:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 786:66] node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 778:76] - node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 778:66] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 786:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 786:66] node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 778:76] - node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 778:66] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 786:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 786:66] node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 778:76] - node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 778:66] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 786:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 786:66] node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 778:76] - node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 778:66] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 786:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 786:66] node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 778:76] - node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 778:66] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 786:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 786:66] node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 778:76] - node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 778:66] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 786:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 786:66] node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 778:76] - node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 778:66] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 786:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 786:66] node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 778:76] - node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 778:66] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 786:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 786:66] node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 778:76] - node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 778:66] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 786:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 786:66] node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 778:76] - node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 778:66] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 786:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 786:66] node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 778:76] - node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 778:66] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 786:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 786:66] node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 778:76] - node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 778:66] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 786:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 786:66] node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 778:76] - node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 778:66] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 786:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 786:66] node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 778:76] - node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 778:66] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 786:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 786:66] node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 778:76] - node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 778:66] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 786:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 786:66] node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 778:76] - node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 778:66] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 786:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 786:66] node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 778:76] - node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 778:66] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 786:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 786:66] node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 778:76] - node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 778:66] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 786:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 786:66] node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 778:76] - node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 778:66] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 786:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 786:66] node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 778:76] - node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 778:102] - node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 778:66] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 786:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 786:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 786:66] node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 778:76] - node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 778:102] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 786:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 786:102] node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -730,11 +730,11 @@ circuit exu_div_new_3bit_fullshortq : node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] wire _T_345 : UInt<5> @[Mux.scala 27:72] _T_345 <= _T_344 @[Mux.scala 27:72] - cls_ones <= _T_345 @[exu_div_ctl.scala 778:25] - skip @[exu_div_ctl.scala 778:15] - node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 779:27] - node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 779:16] - io.cls <= _T_347 @[exu_div_ctl.scala 779:10] + cls_ones <= _T_345 @[exu_div_ctl.scala 786:25] + skip @[exu_div_ctl.scala 786:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 787:27] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 787:16] + io.cls <= _T_347 @[exu_div_ctl.scala 787:10] extmodule gated_latch : output Q : Clock @@ -1043,1234 +1043,1248 @@ circuit exu_div_new_3bit_fullshortq : shortq_decode <= UInt<5>("h00") wire shortq_shift_ff : UInt<5> shortq_shift_ff <= UInt<5>("h00") - node _T = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 605:35] - node valid_ff_in = and(io.valid_in, _T) @[exu_div_ctl.scala 605:33] - node _T_1 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 606:35] - node _T_2 = bits(control_ff, 2, 2) @[exu_div_ctl.scala 606:60] - node _T_3 = and(_T_1, _T_2) @[exu_div_ctl.scala 606:48] - node _T_4 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 606:80] - node _T_5 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 606:112] - node _T_6 = and(_T_4, _T_5) @[exu_div_ctl.scala 606:96] - node _T_7 = or(_T_3, _T_6) @[exu_div_ctl.scala 606:65] - node _T_8 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 606:120] - node _T_9 = bits(control_ff, 1, 1) @[exu_div_ctl.scala 606:145] - node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 606:133] - node _T_11 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 606:165] - node _T_12 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 606:197] - node _T_13 = and(_T_11, _T_12) @[exu_div_ctl.scala 606:181] - node _T_14 = or(_T_10, _T_13) @[exu_div_ctl.scala 606:150] - node _T_15 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 606:205] - node _T_16 = bits(control_ff, 0, 0) @[exu_div_ctl.scala 606:230] - node _T_17 = and(_T_15, _T_16) @[exu_div_ctl.scala 606:218] - node _T_18 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 606:250] - node _T_19 = or(_T_17, _T_18) @[exu_div_ctl.scala 606:235] + wire adder1_out : UInt<34> + adder1_out <= UInt<34>("h00") + wire adder2_out : UInt<35> + adder2_out <= UInt<35>("h00") + wire adder3_out : UInt<36> + adder3_out <= UInt<36>("h00") + wire adder4_out : UInt<37> + adder4_out <= UInt<37>("h00") + wire adder5_out : UInt<37> + adder5_out <= UInt<37>("h00") + wire adder6_out : UInt<37> + adder6_out <= UInt<37>("h00") + wire adder7_out : UInt<37> + adder7_out <= UInt<37>("h00") + node _T = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 612:35] + node valid_ff_in = and(io.valid_in, _T) @[exu_div_ctl.scala 612:33] + node _T_1 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 613:35] + node _T_2 = bits(control_ff, 2, 2) @[exu_div_ctl.scala 613:60] + node _T_3 = and(_T_1, _T_2) @[exu_div_ctl.scala 613:48] + node _T_4 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 613:80] + node _T_5 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 613:112] + node _T_6 = and(_T_4, _T_5) @[exu_div_ctl.scala 613:96] + node _T_7 = or(_T_3, _T_6) @[exu_div_ctl.scala 613:65] + node _T_8 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 613:120] + node _T_9 = bits(control_ff, 1, 1) @[exu_div_ctl.scala 613:145] + node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 613:133] + node _T_11 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 613:165] + node _T_12 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 613:197] + node _T_13 = and(_T_11, _T_12) @[exu_div_ctl.scala 613:181] + node _T_14 = or(_T_10, _T_13) @[exu_div_ctl.scala 613:150] + node _T_15 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 613:205] + node _T_16 = bits(control_ff, 0, 0) @[exu_div_ctl.scala 613:230] + node _T_17 = and(_T_15, _T_16) @[exu_div_ctl.scala 613:218] + node _T_18 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 613:250] + node _T_19 = or(_T_17, _T_18) @[exu_div_ctl.scala 613:235] node _T_20 = cat(_T_7, _T_14) @[Cat.scala 29:58] node control_in = cat(_T_20, _T_19) @[Cat.scala 29:58] - node dividend_sign_ff = bits(control_ff, 2, 2) @[exu_div_ctl.scala 607:40] - node divisor_sign_ff = bits(control_ff, 1, 1) @[exu_div_ctl.scala 608:40] - node rem_ff = bits(control_ff, 0, 0) @[exu_div_ctl.scala 609:40] - node _T_21 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 610:47] - node _T_22 = eq(_T_21, UInt<1>("h00")) @[exu_div_ctl.scala 610:54] - node by_zero_case = and(valid_ff, _T_22) @[exu_div_ctl.scala 610:40] - node _T_23 = bits(a_ff, 31, 4) @[exu_div_ctl.scala 612:30] - node _T_24 = eq(_T_23, UInt<1>("h00")) @[exu_div_ctl.scala 612:37] - node _T_25 = bits(b_ff, 31, 4) @[exu_div_ctl.scala 612:53] - node _T_26 = eq(_T_25, UInt<1>("h00")) @[exu_div_ctl.scala 612:60] - node _T_27 = and(_T_24, _T_26) @[exu_div_ctl.scala 612:46] - node _T_28 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 612:71] - node _T_29 = and(_T_27, _T_28) @[exu_div_ctl.scala 612:69] - node _T_30 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 612:87] - node _T_31 = and(_T_29, _T_30) @[exu_div_ctl.scala 612:85] - node _T_32 = and(_T_31, valid_ff) @[exu_div_ctl.scala 612:95] - node _T_33 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 612:108] - node _T_34 = and(_T_32, _T_33) @[exu_div_ctl.scala 612:106] - node _T_35 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 613:11] - node _T_36 = eq(_T_35, UInt<1>("h00")) @[exu_div_ctl.scala 613:18] - node _T_37 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 613:29] - node _T_38 = and(_T_36, _T_37) @[exu_div_ctl.scala 613:27] - node _T_39 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 613:45] - node _T_40 = and(_T_38, _T_39) @[exu_div_ctl.scala 613:43] - node _T_41 = and(_T_40, valid_ff) @[exu_div_ctl.scala 613:53] - node _T_42 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 613:66] - node _T_43 = and(_T_41, _T_42) @[exu_div_ctl.scala 613:64] - node smallnum_case = or(_T_34, _T_43) @[exu_div_ctl.scala 612:120] - node _T_44 = orr(count_ff) @[exu_div_ctl.scala 614:42] - node running_state = or(_T_44, shortq_enable_ff) @[exu_div_ctl.scala 614:45] - node _T_45 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 615:43] - node _T_46 = or(_T_45, io.cancel) @[exu_div_ctl.scala 615:54] - node _T_47 = or(_T_46, running_state) @[exu_div_ctl.scala 615:66] - node misc_enable = or(_T_47, finish_ff) @[exu_div_ctl.scala 615:82] - node _T_48 = or(smallnum_case, by_zero_case) @[exu_div_ctl.scala 616:45] - node _T_49 = eq(count_ff, UInt<6>("h021")) @[exu_div_ctl.scala 616:72] - node finish_raw = or(_T_48, _T_49) @[exu_div_ctl.scala 616:60] - node _T_50 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 617:43] - node finish = and(finish_raw, _T_50) @[exu_div_ctl.scala 617:41] - node _T_51 = or(valid_ff, running_state) @[exu_div_ctl.scala 618:40] - node _T_52 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 618:59] - node _T_53 = and(_T_51, _T_52) @[exu_div_ctl.scala 618:57] - node _T_54 = eq(finish_ff, UInt<1>("h00")) @[exu_div_ctl.scala 618:69] - node _T_55 = and(_T_53, _T_54) @[exu_div_ctl.scala 618:67] - node _T_56 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 618:82] - node _T_57 = and(_T_55, _T_56) @[exu_div_ctl.scala 618:80] - node _T_58 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 618:95] - node count_enable = and(_T_57, _T_58) @[exu_div_ctl.scala 618:93] + node dividend_sign_ff = bits(control_ff, 2, 2) @[exu_div_ctl.scala 614:40] + node divisor_sign_ff = bits(control_ff, 1, 1) @[exu_div_ctl.scala 615:40] + node rem_ff = bits(control_ff, 0, 0) @[exu_div_ctl.scala 616:40] + node _T_21 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 617:47] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[exu_div_ctl.scala 617:54] + node by_zero_case = and(valid_ff, _T_22) @[exu_div_ctl.scala 617:40] + node _T_23 = bits(a_ff, 31, 4) @[exu_div_ctl.scala 619:30] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[exu_div_ctl.scala 619:37] + node _T_25 = bits(b_ff, 31, 4) @[exu_div_ctl.scala 619:53] + node _T_26 = eq(_T_25, UInt<1>("h00")) @[exu_div_ctl.scala 619:60] + node _T_27 = and(_T_24, _T_26) @[exu_div_ctl.scala 619:46] + node _T_28 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 619:71] + node _T_29 = and(_T_27, _T_28) @[exu_div_ctl.scala 619:69] + node _T_30 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 619:87] + node _T_31 = and(_T_29, _T_30) @[exu_div_ctl.scala 619:85] + node _T_32 = and(_T_31, valid_ff) @[exu_div_ctl.scala 619:95] + node _T_33 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 619:108] + node _T_34 = and(_T_32, _T_33) @[exu_div_ctl.scala 619:106] + node _T_35 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 620:11] + node _T_36 = eq(_T_35, UInt<1>("h00")) @[exu_div_ctl.scala 620:18] + node _T_37 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 620:29] + node _T_38 = and(_T_36, _T_37) @[exu_div_ctl.scala 620:27] + node _T_39 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 620:45] + node _T_40 = and(_T_38, _T_39) @[exu_div_ctl.scala 620:43] + node _T_41 = and(_T_40, valid_ff) @[exu_div_ctl.scala 620:53] + node _T_42 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 620:66] + node _T_43 = and(_T_41, _T_42) @[exu_div_ctl.scala 620:64] + node smallnum_case = or(_T_34, _T_43) @[exu_div_ctl.scala 619:120] + node _T_44 = orr(count_ff) @[exu_div_ctl.scala 621:42] + node running_state = or(_T_44, shortq_enable_ff) @[exu_div_ctl.scala 621:45] + node _T_45 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 622:43] + node _T_46 = or(_T_45, io.cancel) @[exu_div_ctl.scala 622:54] + node _T_47 = or(_T_46, running_state) @[exu_div_ctl.scala 622:66] + node misc_enable = or(_T_47, finish_ff) @[exu_div_ctl.scala 622:82] + node _T_48 = or(smallnum_case, by_zero_case) @[exu_div_ctl.scala 623:45] + node _T_49 = eq(count_ff, UInt<6>("h021")) @[exu_div_ctl.scala 623:72] + node finish_raw = or(_T_48, _T_49) @[exu_div_ctl.scala 623:60] + node _T_50 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 624:43] + node finish = and(finish_raw, _T_50) @[exu_div_ctl.scala 624:41] + node _T_51 = or(valid_ff, running_state) @[exu_div_ctl.scala 625:40] + node _T_52 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 625:59] + node _T_53 = and(_T_51, _T_52) @[exu_div_ctl.scala 625:57] + node _T_54 = eq(finish_ff, UInt<1>("h00")) @[exu_div_ctl.scala 625:69] + node _T_55 = and(_T_53, _T_54) @[exu_div_ctl.scala 625:67] + node _T_56 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 625:82] + node _T_57 = and(_T_55, _T_56) @[exu_div_ctl.scala 625:80] + node _T_58 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 625:95] + node count_enable = and(_T_57, _T_58) @[exu_div_ctl.scala 625:93] node _T_59 = bits(count_enable, 0, 0) @[Bitwise.scala 72:15] node _T_60 = mux(_T_59, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] node _T_61 = cat(UInt<5>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] - node _T_62 = add(count_ff, _T_61) @[exu_div_ctl.scala 619:63] - node _T_63 = tail(_T_62, 1) @[exu_div_ctl.scala 619:63] + node _T_62 = add(count_ff, _T_61) @[exu_div_ctl.scala 626:63] + node _T_63 = tail(_T_62, 1) @[exu_div_ctl.scala 626:63] node _T_64 = cat(UInt<2>("h00"), shortq_shift_ff) @[Cat.scala 29:58] - node _T_65 = add(_T_63, _T_64) @[exu_div_ctl.scala 619:88] - node _T_66 = tail(_T_65, 1) @[exu_div_ctl.scala 619:88] - node count_in = and(_T_60, _T_66) @[exu_div_ctl.scala 619:51] - node a_enable = or(io.valid_in, running_state) @[exu_div_ctl.scala 620:43] - node _T_67 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 621:47] - node a_shift = and(running_state, _T_67) @[exu_div_ctl.scala 621:45] + node _T_65 = add(_T_63, _T_64) @[exu_div_ctl.scala 626:88] + node _T_66 = tail(_T_65, 1) @[exu_div_ctl.scala 626:88] + node count_in = and(_T_60, _T_66) @[exu_div_ctl.scala 626:51] + node a_enable = or(io.valid_in, running_state) @[exu_div_ctl.scala 627:43] + node _T_67 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 628:47] + node a_shift = and(running_state, _T_67) @[exu_div_ctl.scala 628:45] node _T_68 = bits(dividend_sign_ff, 0, 0) @[Bitwise.scala 72:15] node _T_69 = mux(_T_68, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] node _T_70 = cat(_T_69, a_ff) @[Cat.scala 29:58] - node _T_71 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 622:86] - node _T_72 = dshl(_T_70, _T_71) @[exu_div_ctl.scala 622:68] - ar_shifted <= _T_72 @[exu_div_ctl.scala 622:28] - node _T_73 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 623:61] - node _T_74 = eq(_T_73, UInt<1>("h00")) @[exu_div_ctl.scala 623:42] - node b_twos_comp = and(valid_ff, _T_74) @[exu_div_ctl.scala 623:40] - node _T_75 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 624:62] - node _T_76 = eq(_T_75, UInt<1>("h00")) @[exu_div_ctl.scala 624:43] - node twos_comp_b_sel = and(valid_ff, _T_76) @[exu_div_ctl.scala 624:41] - node _T_77 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 625:30] - node _T_78 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 625:42] - node _T_79 = and(_T_77, _T_78) @[exu_div_ctl.scala 625:40] - node _T_80 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 625:71] - node _T_81 = and(_T_79, _T_80) @[exu_div_ctl.scala 625:50] - node _T_82 = eq(by_zero_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 625:92] - node twos_comp_q_sel = and(_T_81, _T_82) @[exu_div_ctl.scala 625:90] - node b_enable = or(io.valid_in, b_twos_comp) @[exu_div_ctl.scala 626:43] - node _T_83 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 627:43] - node rq_enable = or(_T_83, running_state) @[exu_div_ctl.scala 627:54] - node _T_84 = and(valid_ff, dividend_sign_ff) @[exu_div_ctl.scala 628:40] - node _T_85 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 628:61] - node r_sign_sel = and(_T_84, _T_85) @[exu_div_ctl.scala 628:59] - node _T_86 = eq(quotient_new, UInt<1>("h00")) @[exu_div_ctl.scala 629:61] - node _T_87 = and(running_state, _T_86) @[exu_div_ctl.scala 629:45] - node _T_88 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 629:72] - node r_restore_sel = and(_T_87, _T_88) @[exu_div_ctl.scala 629:70] - node _T_89 = eq(quotient_new, UInt<1>("h01")) @[exu_div_ctl.scala 630:61] - node _T_90 = and(running_state, _T_89) @[exu_div_ctl.scala 630:45] - node _T_91 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 630:72] - node r_adder1_sel = and(_T_90, _T_91) @[exu_div_ctl.scala 630:70] - node _T_92 = eq(quotient_new, UInt<2>("h02")) @[exu_div_ctl.scala 631:61] - node _T_93 = and(running_state, _T_92) @[exu_div_ctl.scala 631:45] - node _T_94 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 631:72] - node r_adder2_sel = and(_T_93, _T_94) @[exu_div_ctl.scala 631:70] - node _T_95 = eq(quotient_new, UInt<2>("h03")) @[exu_div_ctl.scala 632:61] - node _T_96 = and(running_state, _T_95) @[exu_div_ctl.scala 632:45] - node _T_97 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 632:72] - node r_adder3_sel = and(_T_96, _T_97) @[exu_div_ctl.scala 632:70] - node _T_98 = eq(quotient_new, UInt<3>("h04")) @[exu_div_ctl.scala 633:61] - node _T_99 = and(running_state, _T_98) @[exu_div_ctl.scala 633:45] - node _T_100 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 633:72] - node r_adder4_sel = and(_T_99, _T_100) @[exu_div_ctl.scala 633:70] - node _T_101 = eq(quotient_new, UInt<3>("h05")) @[exu_div_ctl.scala 634:61] - node _T_102 = and(running_state, _T_101) @[exu_div_ctl.scala 634:45] - node _T_103 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 634:72] - node r_adder5_sel = and(_T_102, _T_103) @[exu_div_ctl.scala 634:70] - node _T_104 = eq(quotient_new, UInt<3>("h06")) @[exu_div_ctl.scala 635:61] - node _T_105 = and(running_state, _T_104) @[exu_div_ctl.scala 635:45] - node _T_106 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 635:72] - node r_adder6_sel = and(_T_105, _T_106) @[exu_div_ctl.scala 635:70] - node _T_107 = eq(quotient_new, UInt<3>("h07")) @[exu_div_ctl.scala 636:61] - node _T_108 = and(running_state, _T_107) @[exu_div_ctl.scala 636:45] - node _T_109 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 636:72] - node r_adder7_sel = and(_T_108, _T_109) @[exu_div_ctl.scala 636:70] - node _T_110 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 637:28] - node _T_111 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 637:39] + node _T_71 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 629:86] + node _T_72 = dshl(_T_70, _T_71) @[exu_div_ctl.scala 629:68] + ar_shifted <= _T_72 @[exu_div_ctl.scala 629:28] + node _T_73 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 630:61] + node _T_74 = eq(_T_73, UInt<1>("h00")) @[exu_div_ctl.scala 630:42] + node b_twos_comp = and(valid_ff, _T_74) @[exu_div_ctl.scala 630:40] + node _T_75 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 631:62] + node _T_76 = eq(_T_75, UInt<1>("h00")) @[exu_div_ctl.scala 631:43] + node twos_comp_b_sel = and(valid_ff, _T_76) @[exu_div_ctl.scala 631:41] + node _T_77 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 632:30] + node _T_78 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 632:42] + node _T_79 = and(_T_77, _T_78) @[exu_div_ctl.scala 632:40] + node _T_80 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 632:71] + node _T_81 = and(_T_79, _T_80) @[exu_div_ctl.scala 632:50] + node _T_82 = eq(by_zero_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 632:92] + node twos_comp_q_sel = and(_T_81, _T_82) @[exu_div_ctl.scala 632:90] + node b_enable = or(io.valid_in, b_twos_comp) @[exu_div_ctl.scala 633:43] + node _T_83 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 634:43] + node rq_enable = or(_T_83, running_state) @[exu_div_ctl.scala 634:54] + node _T_84 = and(valid_ff, dividend_sign_ff) @[exu_div_ctl.scala 635:40] + node _T_85 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 635:61] + node r_sign_sel = and(_T_84, _T_85) @[exu_div_ctl.scala 635:59] + node _T_86 = eq(quotient_new, UInt<1>("h00")) @[exu_div_ctl.scala 636:61] + node _T_87 = and(running_state, _T_86) @[exu_div_ctl.scala 636:45] + node _T_88 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 636:72] + node r_restore_sel = and(_T_87, _T_88) @[exu_div_ctl.scala 636:70] + node _T_89 = eq(quotient_new, UInt<1>("h01")) @[exu_div_ctl.scala 637:61] + node _T_90 = and(running_state, _T_89) @[exu_div_ctl.scala 637:45] + node _T_91 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 637:72] + node r_adder1_sel = and(_T_90, _T_91) @[exu_div_ctl.scala 637:70] + node _T_92 = eq(quotient_new, UInt<2>("h02")) @[exu_div_ctl.scala 638:61] + node _T_93 = and(running_state, _T_92) @[exu_div_ctl.scala 638:45] + node _T_94 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 638:72] + node r_adder2_sel = and(_T_93, _T_94) @[exu_div_ctl.scala 638:70] + node _T_95 = eq(quotient_new, UInt<2>("h03")) @[exu_div_ctl.scala 639:61] + node _T_96 = and(running_state, _T_95) @[exu_div_ctl.scala 639:45] + node _T_97 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 639:72] + node r_adder3_sel = and(_T_96, _T_97) @[exu_div_ctl.scala 639:70] + node _T_98 = eq(quotient_new, UInt<3>("h04")) @[exu_div_ctl.scala 640:61] + node _T_99 = and(running_state, _T_98) @[exu_div_ctl.scala 640:45] + node _T_100 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 640:72] + node r_adder4_sel = and(_T_99, _T_100) @[exu_div_ctl.scala 640:70] + node _T_101 = eq(quotient_new, UInt<3>("h05")) @[exu_div_ctl.scala 641:61] + node _T_102 = and(running_state, _T_101) @[exu_div_ctl.scala 641:45] + node _T_103 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 641:72] + node r_adder5_sel = and(_T_102, _T_103) @[exu_div_ctl.scala 641:70] + node _T_104 = eq(quotient_new, UInt<3>("h06")) @[exu_div_ctl.scala 642:61] + node _T_105 = and(running_state, _T_104) @[exu_div_ctl.scala 642:45] + node _T_106 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 642:72] + node r_adder6_sel = and(_T_105, _T_106) @[exu_div_ctl.scala 642:70] + node _T_107 = eq(quotient_new, UInt<3>("h07")) @[exu_div_ctl.scala 643:61] + node _T_108 = and(running_state, _T_107) @[exu_div_ctl.scala 643:45] + node _T_109 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 643:72] + node r_adder7_sel = and(_T_108, _T_109) @[exu_div_ctl.scala 643:70] + node _T_110 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 645:26] + node _T_111 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 645:37] node _T_112 = cat(_T_110, _T_111) @[Cat.scala 29:58] - node _T_113 = bits(b_ff, 33, 0) @[exu_div_ctl.scala 637:54] - node _T_114 = add(_T_112, _T_113) @[exu_div_ctl.scala 637:48] - node adder1_out = tail(_T_114, 1) @[exu_div_ctl.scala 637:48] - node _T_115 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 638:28] - node _T_116 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 638:39] - node _T_117 = cat(_T_115, _T_116) @[Cat.scala 29:58] - node _T_118 = bits(b_ff, 33, 0) @[exu_div_ctl.scala 638:58] - node _T_119 = cat(_T_118, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_120 = add(_T_117, _T_119) @[exu_div_ctl.scala 638:48] - node adder2_out = tail(_T_120, 1) @[exu_div_ctl.scala 638:48] - node _T_121 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 639:28] - node _T_122 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 639:39] - node _T_123 = cat(_T_121, _T_122) @[Cat.scala 29:58] - node _T_124 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 639:58] - node _T_125 = cat(_T_124, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_126 = add(_T_123, _T_125) @[exu_div_ctl.scala 639:48] - node _T_127 = tail(_T_126, 1) @[exu_div_ctl.scala 639:48] - node _T_128 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 639:76] - node _T_129 = add(_T_127, _T_128) @[exu_div_ctl.scala 639:70] - node adder3_out = tail(_T_129, 1) @[exu_div_ctl.scala 639:70] - node _T_130 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 640:28] - node _T_131 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 640:37] - node _T_132 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 640:48] - node _T_133 = cat(_T_130, _T_131) @[Cat.scala 29:58] - node _T_134 = cat(_T_133, _T_132) @[Cat.scala 29:58] - node _T_135 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 640:67] - node _T_136 = cat(_T_135, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_137 = add(_T_134, _T_136) @[exu_div_ctl.scala 640:57] - node adder4_out = tail(_T_137, 1) @[exu_div_ctl.scala 640:57] - node _T_138 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 641:28] - node _T_139 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 641:37] - node _T_140 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 641:48] - node _T_141 = cat(_T_138, _T_139) @[Cat.scala 29:58] - node _T_142 = cat(_T_141, _T_140) @[Cat.scala 29:58] - node _T_143 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 641:67] - node _T_144 = cat(_T_143, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_145 = add(_T_142, _T_144) @[exu_div_ctl.scala 641:57] - node _T_146 = tail(_T_145, 1) @[exu_div_ctl.scala 641:57] - node _T_147 = add(_T_146, b_ff) @[exu_div_ctl.scala 641:84] - node adder5_out = tail(_T_147, 1) @[exu_div_ctl.scala 641:84] - node _T_148 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 642:28] - node _T_149 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 642:37] - node _T_150 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 642:48] - node _T_151 = cat(_T_148, _T_149) @[Cat.scala 29:58] - node _T_152 = cat(_T_151, _T_150) @[Cat.scala 29:58] - node _T_153 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 642:67] - node _T_154 = cat(_T_153, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_155 = add(_T_152, _T_154) @[exu_div_ctl.scala 642:57] - node _T_156 = tail(_T_155, 1) @[exu_div_ctl.scala 642:57] - node _T_157 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 642:94] - node _T_158 = cat(_T_157, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_159 = add(_T_156, _T_158) @[exu_div_ctl.scala 642:84] - node adder6_out = tail(_T_159, 1) @[exu_div_ctl.scala 642:84] - node _T_160 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 643:28] - node _T_161 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 643:37] - node _T_162 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 643:48] - node _T_163 = cat(_T_160, _T_161) @[Cat.scala 29:58] - node _T_164 = cat(_T_163, _T_162) @[Cat.scala 29:58] - node _T_165 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 643:67] - node _T_166 = cat(_T_165, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_167 = add(_T_164, _T_166) @[exu_div_ctl.scala 643:57] - node _T_168 = tail(_T_167, 1) @[exu_div_ctl.scala 643:57] - node _T_169 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 643:94] - node _T_170 = cat(_T_169, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_171 = add(_T_168, _T_170) @[exu_div_ctl.scala 643:84] - node _T_172 = tail(_T_171, 1) @[exu_div_ctl.scala 643:84] - node _T_173 = add(_T_172, b_ff) @[exu_div_ctl.scala 643:106] - node adder7_out = tail(_T_173, 1) @[exu_div_ctl.scala 643:106] - node _T_174 = bits(adder7_out, 36, 36) @[exu_div_ctl.scala 644:35] - node _T_175 = eq(_T_174, UInt<1>("h00")) @[exu_div_ctl.scala 644:24] - node _T_176 = xor(_T_175, dividend_sign_ff) @[exu_div_ctl.scala 644:40] - node _T_177 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 644:68] - node _T_178 = eq(_T_177, UInt<1>("h00")) @[exu_div_ctl.scala 644:75] - node _T_179 = eq(adder7_out, UInt<1>("h00")) @[exu_div_ctl.scala 644:98] - node _T_180 = and(_T_178, _T_179) @[exu_div_ctl.scala 644:84] - node _T_181 = or(_T_176, _T_180) @[exu_div_ctl.scala 644:60] - node _T_182 = bits(adder6_out, 36, 36) @[exu_div_ctl.scala 645:34] - node _T_183 = eq(_T_182, UInt<1>("h00")) @[exu_div_ctl.scala 645:23] - node _T_184 = xor(_T_183, dividend_sign_ff) @[exu_div_ctl.scala 645:39] - node _T_185 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 645:67] - node _T_186 = eq(_T_185, UInt<1>("h00")) @[exu_div_ctl.scala 645:74] - node _T_187 = eq(adder6_out, UInt<1>("h00")) @[exu_div_ctl.scala 645:97] - node _T_188 = and(_T_186, _T_187) @[exu_div_ctl.scala 645:83] - node _T_189 = or(_T_184, _T_188) @[exu_div_ctl.scala 645:59] - node _T_190 = bits(adder5_out, 36, 36) @[exu_div_ctl.scala 646:34] - node _T_191 = eq(_T_190, UInt<1>("h00")) @[exu_div_ctl.scala 646:23] - node _T_192 = xor(_T_191, dividend_sign_ff) @[exu_div_ctl.scala 646:39] - node _T_193 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 646:67] - node _T_194 = eq(_T_193, UInt<1>("h00")) @[exu_div_ctl.scala 646:74] - node _T_195 = eq(adder5_out, UInt<1>("h00")) @[exu_div_ctl.scala 646:97] - node _T_196 = and(_T_194, _T_195) @[exu_div_ctl.scala 646:83] - node _T_197 = or(_T_192, _T_196) @[exu_div_ctl.scala 646:59] - node _T_198 = bits(adder4_out, 36, 36) @[exu_div_ctl.scala 647:34] - node _T_199 = eq(_T_198, UInt<1>("h00")) @[exu_div_ctl.scala 647:23] - node _T_200 = xor(_T_199, dividend_sign_ff) @[exu_div_ctl.scala 647:39] - node _T_201 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 647:67] - node _T_202 = eq(_T_201, UInt<1>("h00")) @[exu_div_ctl.scala 647:74] - node _T_203 = eq(adder4_out, UInt<1>("h00")) @[exu_div_ctl.scala 647:97] - node _T_204 = and(_T_202, _T_203) @[exu_div_ctl.scala 647:83] - node _T_205 = or(_T_200, _T_204) @[exu_div_ctl.scala 647:59] - node _T_206 = bits(adder3_out, 35, 35) @[exu_div_ctl.scala 648:34] - node _T_207 = eq(_T_206, UInt<1>("h00")) @[exu_div_ctl.scala 648:23] - node _T_208 = xor(_T_207, dividend_sign_ff) @[exu_div_ctl.scala 648:39] - node _T_209 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 648:67] - node _T_210 = eq(_T_209, UInt<1>("h00")) @[exu_div_ctl.scala 648:74] - node _T_211 = eq(adder3_out, UInt<1>("h00")) @[exu_div_ctl.scala 648:97] - node _T_212 = and(_T_210, _T_211) @[exu_div_ctl.scala 648:83] - node _T_213 = or(_T_208, _T_212) @[exu_div_ctl.scala 648:59] - node _T_214 = bits(adder2_out, 34, 34) @[exu_div_ctl.scala 649:34] - node _T_215 = eq(_T_214, UInt<1>("h00")) @[exu_div_ctl.scala 649:23] - node _T_216 = xor(_T_215, dividend_sign_ff) @[exu_div_ctl.scala 649:39] - node _T_217 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 649:67] - node _T_218 = eq(_T_217, UInt<1>("h00")) @[exu_div_ctl.scala 649:74] - node _T_219 = eq(adder2_out, UInt<1>("h00")) @[exu_div_ctl.scala 649:97] - node _T_220 = and(_T_218, _T_219) @[exu_div_ctl.scala 649:83] - node _T_221 = or(_T_216, _T_220) @[exu_div_ctl.scala 649:59] - node _T_222 = bits(adder1_out, 33, 33) @[exu_div_ctl.scala 650:34] - node _T_223 = eq(_T_222, UInt<1>("h00")) @[exu_div_ctl.scala 650:23] - node _T_224 = xor(_T_223, dividend_sign_ff) @[exu_div_ctl.scala 650:39] - node _T_225 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 650:67] - node _T_226 = eq(_T_225, UInt<1>("h00")) @[exu_div_ctl.scala 650:74] - node _T_227 = eq(adder1_out, UInt<1>("h00")) @[exu_div_ctl.scala 650:97] - node _T_228 = and(_T_226, _T_227) @[exu_div_ctl.scala 650:83] - node _T_229 = or(_T_224, _T_228) @[exu_div_ctl.scala 650:59] - node _T_230 = cat(_T_229, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_231 = cat(_T_213, _T_221) @[Cat.scala 29:58] - node _T_232 = cat(_T_231, _T_230) @[Cat.scala 29:58] - node _T_233 = cat(_T_197, _T_205) @[Cat.scala 29:58] - node _T_234 = cat(_T_181, _T_189) @[Cat.scala 29:58] - node _T_235 = cat(_T_234, _T_233) @[Cat.scala 29:58] - node _T_236 = cat(_T_235, _T_232) @[Cat.scala 29:58] - quotient_raw <= _T_236 @[exu_div_ctl.scala 644:16] - node _T_237 = bits(quotient_raw, 7, 7) @[exu_div_ctl.scala 651:37] - node _T_238 = bits(quotient_raw, 6, 6) @[exu_div_ctl.scala 651:56] - node _T_239 = or(_T_237, _T_238) @[exu_div_ctl.scala 651:41] - node _T_240 = bits(quotient_raw, 5, 5) @[exu_div_ctl.scala 651:74] - node _T_241 = or(_T_239, _T_240) @[exu_div_ctl.scala 651:60] - node _T_242 = bits(quotient_raw, 4, 4) @[exu_div_ctl.scala 651:93] - node _T_243 = or(_T_241, _T_242) @[exu_div_ctl.scala 651:78] - node _T_244 = bits(quotient_raw, 7, 7) @[exu_div_ctl.scala 652:38] - node _T_245 = bits(quotient_raw, 6, 6) @[exu_div_ctl.scala 652:57] - node _T_246 = or(_T_244, _T_245) @[exu_div_ctl.scala 652:42] - node _T_247 = bits(quotient_raw, 4, 4) @[exu_div_ctl.scala 652:76] - node _T_248 = eq(_T_247, UInt<1>("h00")) @[exu_div_ctl.scala 652:63] - node _T_249 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 652:94] - node _T_250 = and(_T_248, _T_249) @[exu_div_ctl.scala 652:80] - node _T_251 = or(_T_246, _T_250) @[exu_div_ctl.scala 652:61] - node _T_252 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 652:114] - node _T_253 = eq(_T_252, UInt<1>("h00")) @[exu_div_ctl.scala 652:101] - node _T_254 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 652:132] - node _T_255 = and(_T_253, _T_254) @[exu_div_ctl.scala 652:118] - node _T_256 = or(_T_251, _T_255) @[exu_div_ctl.scala 652:99] - node _T_257 = bits(quotient_raw, 7, 7) @[exu_div_ctl.scala 653:38] - node _T_258 = bits(quotient_raw, 6, 6) @[exu_div_ctl.scala 653:57] - node _T_259 = bits(quotient_raw, 5, 5) @[exu_div_ctl.scala 653:75] - node _T_260 = and(_T_258, _T_259) @[exu_div_ctl.scala 653:61] - node _T_261 = or(_T_257, _T_260) @[exu_div_ctl.scala 653:42] - node _T_262 = bits(quotient_raw, 4, 4) @[exu_div_ctl.scala 653:94] - node _T_263 = eq(_T_262, UInt<1>("h00")) @[exu_div_ctl.scala 653:81] - node _T_264 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 653:112] - node _T_265 = and(_T_263, _T_264) @[exu_div_ctl.scala 653:98] - node _T_266 = or(_T_261, _T_265) @[exu_div_ctl.scala 653:79] - node _T_267 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 653:132] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[exu_div_ctl.scala 653:119] - node _T_269 = bits(quotient_raw, 1, 1) @[exu_div_ctl.scala 653:150] - node _T_270 = and(_T_268, _T_269) @[exu_div_ctl.scala 653:136] - node _T_271 = or(_T_266, _T_270) @[exu_div_ctl.scala 653:117] - node _T_272 = cat(_T_243, _T_256) @[Cat.scala 29:58] - node _T_273 = cat(_T_272, _T_271) @[Cat.scala 29:58] - quotient_new <= _T_273 @[exu_div_ctl.scala 651:16] - node _T_274 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 656:48] - node _T_275 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_276 = mux(twos_comp_b_sel, _T_274, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_277 = or(_T_275, _T_276) @[Mux.scala 27:72] + node _T_113 = bits(b_ff, 33, 0) @[exu_div_ctl.scala 645:52] + node _T_114 = add(_T_112, _T_113) @[exu_div_ctl.scala 645:46] + node _T_115 = tail(_T_114, 1) @[exu_div_ctl.scala 645:46] + adder1_out <= _T_115 @[exu_div_ctl.scala 645:15] + node _T_116 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 646:26] + node _T_117 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 646:37] + node _T_118 = cat(_T_116, _T_117) @[Cat.scala 29:58] + node _T_119 = bits(b_ff, 33, 0) @[exu_div_ctl.scala 646:56] + node _T_120 = cat(_T_119, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_121 = add(_T_118, _T_120) @[exu_div_ctl.scala 646:46] + node _T_122 = tail(_T_121, 1) @[exu_div_ctl.scala 646:46] + adder2_out <= _T_122 @[exu_div_ctl.scala 646:15] + node _T_123 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 647:26] + node _T_124 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 647:37] + node _T_125 = cat(_T_123, _T_124) @[Cat.scala 29:58] + node _T_126 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 647:56] + node _T_127 = cat(_T_126, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_128 = add(_T_125, _T_127) @[exu_div_ctl.scala 647:46] + node _T_129 = tail(_T_128, 1) @[exu_div_ctl.scala 647:46] + node _T_130 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 647:74] + node _T_131 = add(_T_129, _T_130) @[exu_div_ctl.scala 647:68] + node _T_132 = tail(_T_131, 1) @[exu_div_ctl.scala 647:68] + adder3_out <= _T_132 @[exu_div_ctl.scala 647:15] + node _T_133 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 648:26] + node _T_134 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 648:35] + node _T_135 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 648:46] + node _T_136 = cat(_T_133, _T_134) @[Cat.scala 29:58] + node _T_137 = cat(_T_136, _T_135) @[Cat.scala 29:58] + node _T_138 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 648:65] + node _T_139 = cat(_T_138, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_140 = add(_T_137, _T_139) @[exu_div_ctl.scala 648:55] + node _T_141 = tail(_T_140, 1) @[exu_div_ctl.scala 648:55] + adder4_out <= _T_141 @[exu_div_ctl.scala 648:15] + node _T_142 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 649:26] + node _T_143 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 649:35] + node _T_144 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 649:46] + node _T_145 = cat(_T_142, _T_143) @[Cat.scala 29:58] + node _T_146 = cat(_T_145, _T_144) @[Cat.scala 29:58] + node _T_147 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 649:65] + node _T_148 = cat(_T_147, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_149 = add(_T_146, _T_148) @[exu_div_ctl.scala 649:55] + node _T_150 = tail(_T_149, 1) @[exu_div_ctl.scala 649:55] + node _T_151 = add(_T_150, b_ff) @[exu_div_ctl.scala 649:82] + node _T_152 = tail(_T_151, 1) @[exu_div_ctl.scala 649:82] + adder5_out <= _T_152 @[exu_div_ctl.scala 649:15] + node _T_153 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 650:26] + node _T_154 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 650:35] + node _T_155 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 650:46] + node _T_156 = cat(_T_153, _T_154) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_155) @[Cat.scala 29:58] + node _T_158 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 650:65] + node _T_159 = cat(_T_158, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_160 = add(_T_157, _T_159) @[exu_div_ctl.scala 650:55] + node _T_161 = tail(_T_160, 1) @[exu_div_ctl.scala 650:55] + node _T_162 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 650:92] + node _T_163 = cat(_T_162, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_164 = add(_T_161, _T_163) @[exu_div_ctl.scala 650:82] + node _T_165 = tail(_T_164, 1) @[exu_div_ctl.scala 650:82] + adder6_out <= _T_165 @[exu_div_ctl.scala 650:15] + node _T_166 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 651:26] + node _T_167 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 651:35] + node _T_168 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 651:46] + node _T_169 = cat(_T_166, _T_167) @[Cat.scala 29:58] + node _T_170 = cat(_T_169, _T_168) @[Cat.scala 29:58] + node _T_171 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 651:65] + node _T_172 = cat(_T_171, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_173 = add(_T_170, _T_172) @[exu_div_ctl.scala 651:55] + node _T_174 = tail(_T_173, 1) @[exu_div_ctl.scala 651:55] + node _T_175 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 651:92] + node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_177 = add(_T_174, _T_176) @[exu_div_ctl.scala 651:82] + node _T_178 = tail(_T_177, 1) @[exu_div_ctl.scala 651:82] + node _T_179 = add(_T_178, b_ff) @[exu_div_ctl.scala 651:104] + node _T_180 = tail(_T_179, 1) @[exu_div_ctl.scala 651:104] + adder7_out <= _T_180 @[exu_div_ctl.scala 651:15] + node _T_181 = bits(adder7_out, 36, 36) @[exu_div_ctl.scala 652:35] + node _T_182 = eq(_T_181, UInt<1>("h00")) @[exu_div_ctl.scala 652:24] + node _T_183 = xor(_T_182, dividend_sign_ff) @[exu_div_ctl.scala 652:40] + node _T_184 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 652:68] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[exu_div_ctl.scala 652:75] + node _T_186 = eq(adder7_out, UInt<1>("h00")) @[exu_div_ctl.scala 652:98] + node _T_187 = and(_T_185, _T_186) @[exu_div_ctl.scala 652:84] + node _T_188 = or(_T_183, _T_187) @[exu_div_ctl.scala 652:60] + node _T_189 = bits(adder6_out, 36, 36) @[exu_div_ctl.scala 653:34] + node _T_190 = eq(_T_189, UInt<1>("h00")) @[exu_div_ctl.scala 653:23] + node _T_191 = xor(_T_190, dividend_sign_ff) @[exu_div_ctl.scala 653:39] + node _T_192 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 653:67] + node _T_193 = eq(_T_192, UInt<1>("h00")) @[exu_div_ctl.scala 653:74] + node _T_194 = eq(adder6_out, UInt<1>("h00")) @[exu_div_ctl.scala 653:97] + node _T_195 = and(_T_193, _T_194) @[exu_div_ctl.scala 653:83] + node _T_196 = or(_T_191, _T_195) @[exu_div_ctl.scala 653:59] + node _T_197 = bits(adder5_out, 36, 36) @[exu_div_ctl.scala 654:34] + node _T_198 = eq(_T_197, UInt<1>("h00")) @[exu_div_ctl.scala 654:23] + node _T_199 = xor(_T_198, dividend_sign_ff) @[exu_div_ctl.scala 654:39] + node _T_200 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 654:67] + node _T_201 = eq(_T_200, UInt<1>("h00")) @[exu_div_ctl.scala 654:74] + node _T_202 = eq(adder5_out, UInt<1>("h00")) @[exu_div_ctl.scala 654:97] + node _T_203 = and(_T_201, _T_202) @[exu_div_ctl.scala 654:83] + node _T_204 = or(_T_199, _T_203) @[exu_div_ctl.scala 654:59] + node _T_205 = bits(adder4_out, 36, 36) @[exu_div_ctl.scala 655:34] + node _T_206 = eq(_T_205, UInt<1>("h00")) @[exu_div_ctl.scala 655:23] + node _T_207 = xor(_T_206, dividend_sign_ff) @[exu_div_ctl.scala 655:39] + node _T_208 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 655:67] + node _T_209 = eq(_T_208, UInt<1>("h00")) @[exu_div_ctl.scala 655:74] + node _T_210 = eq(adder4_out, UInt<1>("h00")) @[exu_div_ctl.scala 655:97] + node _T_211 = and(_T_209, _T_210) @[exu_div_ctl.scala 655:83] + node _T_212 = or(_T_207, _T_211) @[exu_div_ctl.scala 655:59] + node _T_213 = bits(adder3_out, 35, 35) @[exu_div_ctl.scala 656:34] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[exu_div_ctl.scala 656:23] + node _T_215 = xor(_T_214, dividend_sign_ff) @[exu_div_ctl.scala 656:39] + node _T_216 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 656:67] + node _T_217 = eq(_T_216, UInt<1>("h00")) @[exu_div_ctl.scala 656:74] + node _T_218 = eq(adder3_out, UInt<1>("h00")) @[exu_div_ctl.scala 656:97] + node _T_219 = and(_T_217, _T_218) @[exu_div_ctl.scala 656:83] + node _T_220 = or(_T_215, _T_219) @[exu_div_ctl.scala 656:59] + node _T_221 = bits(adder2_out, 34, 34) @[exu_div_ctl.scala 657:34] + node _T_222 = eq(_T_221, UInt<1>("h00")) @[exu_div_ctl.scala 657:23] + node _T_223 = xor(_T_222, dividend_sign_ff) @[exu_div_ctl.scala 657:39] + node _T_224 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 657:67] + node _T_225 = eq(_T_224, UInt<1>("h00")) @[exu_div_ctl.scala 657:74] + node _T_226 = eq(adder2_out, UInt<1>("h00")) @[exu_div_ctl.scala 657:97] + node _T_227 = and(_T_225, _T_226) @[exu_div_ctl.scala 657:83] + node _T_228 = or(_T_223, _T_227) @[exu_div_ctl.scala 657:59] + node _T_229 = bits(adder1_out, 33, 33) @[exu_div_ctl.scala 658:34] + node _T_230 = eq(_T_229, UInt<1>("h00")) @[exu_div_ctl.scala 658:23] + node _T_231 = xor(_T_230, dividend_sign_ff) @[exu_div_ctl.scala 658:39] + node _T_232 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 658:67] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[exu_div_ctl.scala 658:74] + node _T_234 = eq(adder1_out, UInt<1>("h00")) @[exu_div_ctl.scala 658:97] + node _T_235 = and(_T_233, _T_234) @[exu_div_ctl.scala 658:83] + node _T_236 = or(_T_231, _T_235) @[exu_div_ctl.scala 658:59] + node _T_237 = cat(_T_236, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_238 = cat(_T_220, _T_228) @[Cat.scala 29:58] + node _T_239 = cat(_T_238, _T_237) @[Cat.scala 29:58] + node _T_240 = cat(_T_204, _T_212) @[Cat.scala 29:58] + node _T_241 = cat(_T_188, _T_196) @[Cat.scala 29:58] + node _T_242 = cat(_T_241, _T_240) @[Cat.scala 29:58] + node _T_243 = cat(_T_242, _T_239) @[Cat.scala 29:58] + quotient_raw <= _T_243 @[exu_div_ctl.scala 652:16] + node _T_244 = bits(quotient_raw, 7, 7) @[exu_div_ctl.scala 659:37] + node _T_245 = bits(quotient_raw, 6, 6) @[exu_div_ctl.scala 659:56] + node _T_246 = or(_T_244, _T_245) @[exu_div_ctl.scala 659:41] + node _T_247 = bits(quotient_raw, 5, 5) @[exu_div_ctl.scala 659:74] + node _T_248 = or(_T_246, _T_247) @[exu_div_ctl.scala 659:60] + node _T_249 = bits(quotient_raw, 4, 4) @[exu_div_ctl.scala 659:93] + node _T_250 = or(_T_248, _T_249) @[exu_div_ctl.scala 659:78] + node _T_251 = bits(quotient_raw, 7, 7) @[exu_div_ctl.scala 660:38] + node _T_252 = bits(quotient_raw, 6, 6) @[exu_div_ctl.scala 660:57] + node _T_253 = or(_T_251, _T_252) @[exu_div_ctl.scala 660:42] + node _T_254 = bits(quotient_raw, 4, 4) @[exu_div_ctl.scala 660:76] + node _T_255 = eq(_T_254, UInt<1>("h00")) @[exu_div_ctl.scala 660:63] + node _T_256 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 660:94] + node _T_257 = and(_T_255, _T_256) @[exu_div_ctl.scala 660:80] + node _T_258 = or(_T_253, _T_257) @[exu_div_ctl.scala 660:61] + node _T_259 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 660:114] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[exu_div_ctl.scala 660:101] + node _T_261 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 660:132] + node _T_262 = and(_T_260, _T_261) @[exu_div_ctl.scala 660:118] + node _T_263 = or(_T_258, _T_262) @[exu_div_ctl.scala 660:99] + node _T_264 = bits(quotient_raw, 7, 7) @[exu_div_ctl.scala 661:38] + node _T_265 = bits(quotient_raw, 6, 6) @[exu_div_ctl.scala 661:57] + node _T_266 = bits(quotient_raw, 5, 5) @[exu_div_ctl.scala 661:75] + node _T_267 = and(_T_265, _T_266) @[exu_div_ctl.scala 661:61] + node _T_268 = or(_T_264, _T_267) @[exu_div_ctl.scala 661:42] + node _T_269 = bits(quotient_raw, 4, 4) @[exu_div_ctl.scala 661:94] + node _T_270 = eq(_T_269, UInt<1>("h00")) @[exu_div_ctl.scala 661:81] + node _T_271 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 661:112] + node _T_272 = and(_T_270, _T_271) @[exu_div_ctl.scala 661:98] + node _T_273 = or(_T_268, _T_272) @[exu_div_ctl.scala 661:79] + node _T_274 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 661:132] + node _T_275 = eq(_T_274, UInt<1>("h00")) @[exu_div_ctl.scala 661:119] + node _T_276 = bits(quotient_raw, 1, 1) @[exu_div_ctl.scala 661:150] + node _T_277 = and(_T_275, _T_276) @[exu_div_ctl.scala 661:136] + node _T_278 = or(_T_273, _T_277) @[exu_div_ctl.scala 661:117] + node _T_279 = cat(_T_250, _T_263) @[Cat.scala 29:58] + node _T_280 = cat(_T_279, _T_278) @[Cat.scala 29:58] + quotient_new <= _T_280 @[exu_div_ctl.scala 659:16] + node _T_281 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 664:48] + node _T_282 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_283 = mux(twos_comp_b_sel, _T_281, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_284 = or(_T_282, _T_283) @[Mux.scala 27:72] wire twos_comp_in : UInt<32> @[Mux.scala 27:72] - twos_comp_in <= _T_277 @[Mux.scala 27:72] - wire _T_278 : UInt<1>[31] @[lib.scala 426:20] - node _T_279 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27] - node _T_280 = orr(_T_279) @[lib.scala 428:35] - node _T_281 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44] - node _T_282 = not(_T_281) @[lib.scala 428:40] - node _T_283 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51] - node _T_284 = mux(_T_280, _T_282, _T_283) @[lib.scala 428:23] - _T_278[0] <= _T_284 @[lib.scala 428:17] - node _T_285 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27] - node _T_286 = orr(_T_285) @[lib.scala 428:35] - node _T_287 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44] - node _T_288 = not(_T_287) @[lib.scala 428:40] - node _T_289 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51] - node _T_290 = mux(_T_286, _T_288, _T_289) @[lib.scala 428:23] - _T_278[1] <= _T_290 @[lib.scala 428:17] - node _T_291 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27] - node _T_292 = orr(_T_291) @[lib.scala 428:35] - node _T_293 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44] - node _T_294 = not(_T_293) @[lib.scala 428:40] - node _T_295 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51] - node _T_296 = mux(_T_292, _T_294, _T_295) @[lib.scala 428:23] - _T_278[2] <= _T_296 @[lib.scala 428:17] - node _T_297 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27] - node _T_298 = orr(_T_297) @[lib.scala 428:35] - node _T_299 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44] - node _T_300 = not(_T_299) @[lib.scala 428:40] - node _T_301 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51] - node _T_302 = mux(_T_298, _T_300, _T_301) @[lib.scala 428:23] - _T_278[3] <= _T_302 @[lib.scala 428:17] - node _T_303 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27] - node _T_304 = orr(_T_303) @[lib.scala 428:35] - node _T_305 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44] - node _T_306 = not(_T_305) @[lib.scala 428:40] - node _T_307 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51] - node _T_308 = mux(_T_304, _T_306, _T_307) @[lib.scala 428:23] - _T_278[4] <= _T_308 @[lib.scala 428:17] - node _T_309 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27] - node _T_310 = orr(_T_309) @[lib.scala 428:35] - node _T_311 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44] - node _T_312 = not(_T_311) @[lib.scala 428:40] - node _T_313 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51] - node _T_314 = mux(_T_310, _T_312, _T_313) @[lib.scala 428:23] - _T_278[5] <= _T_314 @[lib.scala 428:17] - node _T_315 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27] - node _T_316 = orr(_T_315) @[lib.scala 428:35] - node _T_317 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44] - node _T_318 = not(_T_317) @[lib.scala 428:40] - node _T_319 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51] - node _T_320 = mux(_T_316, _T_318, _T_319) @[lib.scala 428:23] - _T_278[6] <= _T_320 @[lib.scala 428:17] - node _T_321 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27] - node _T_322 = orr(_T_321) @[lib.scala 428:35] - node _T_323 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44] - node _T_324 = not(_T_323) @[lib.scala 428:40] - node _T_325 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51] - node _T_326 = mux(_T_322, _T_324, _T_325) @[lib.scala 428:23] - _T_278[7] <= _T_326 @[lib.scala 428:17] - node _T_327 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27] - node _T_328 = orr(_T_327) @[lib.scala 428:35] - node _T_329 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44] - node _T_330 = not(_T_329) @[lib.scala 428:40] - node _T_331 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51] - node _T_332 = mux(_T_328, _T_330, _T_331) @[lib.scala 428:23] - _T_278[8] <= _T_332 @[lib.scala 428:17] - node _T_333 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27] - node _T_334 = orr(_T_333) @[lib.scala 428:35] - node _T_335 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44] - node _T_336 = not(_T_335) @[lib.scala 428:40] - node _T_337 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51] - node _T_338 = mux(_T_334, _T_336, _T_337) @[lib.scala 428:23] - _T_278[9] <= _T_338 @[lib.scala 428:17] - node _T_339 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27] - node _T_340 = orr(_T_339) @[lib.scala 428:35] - node _T_341 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44] - node _T_342 = not(_T_341) @[lib.scala 428:40] - node _T_343 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51] - node _T_344 = mux(_T_340, _T_342, _T_343) @[lib.scala 428:23] - _T_278[10] <= _T_344 @[lib.scala 428:17] - node _T_345 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27] - node _T_346 = orr(_T_345) @[lib.scala 428:35] - node _T_347 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44] - node _T_348 = not(_T_347) @[lib.scala 428:40] - node _T_349 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51] - node _T_350 = mux(_T_346, _T_348, _T_349) @[lib.scala 428:23] - _T_278[11] <= _T_350 @[lib.scala 428:17] - node _T_351 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27] - node _T_352 = orr(_T_351) @[lib.scala 428:35] - node _T_353 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44] - node _T_354 = not(_T_353) @[lib.scala 428:40] - node _T_355 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51] - node _T_356 = mux(_T_352, _T_354, _T_355) @[lib.scala 428:23] - _T_278[12] <= _T_356 @[lib.scala 428:17] - node _T_357 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27] - node _T_358 = orr(_T_357) @[lib.scala 428:35] - node _T_359 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44] - node _T_360 = not(_T_359) @[lib.scala 428:40] - node _T_361 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51] - node _T_362 = mux(_T_358, _T_360, _T_361) @[lib.scala 428:23] - _T_278[13] <= _T_362 @[lib.scala 428:17] - node _T_363 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27] - node _T_364 = orr(_T_363) @[lib.scala 428:35] - node _T_365 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44] - node _T_366 = not(_T_365) @[lib.scala 428:40] - node _T_367 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51] - node _T_368 = mux(_T_364, _T_366, _T_367) @[lib.scala 428:23] - _T_278[14] <= _T_368 @[lib.scala 428:17] - node _T_369 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27] - node _T_370 = orr(_T_369) @[lib.scala 428:35] - node _T_371 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44] - node _T_372 = not(_T_371) @[lib.scala 428:40] - node _T_373 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51] - node _T_374 = mux(_T_370, _T_372, _T_373) @[lib.scala 428:23] - _T_278[15] <= _T_374 @[lib.scala 428:17] - node _T_375 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27] - node _T_376 = orr(_T_375) @[lib.scala 428:35] - node _T_377 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44] - node _T_378 = not(_T_377) @[lib.scala 428:40] - node _T_379 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51] - node _T_380 = mux(_T_376, _T_378, _T_379) @[lib.scala 428:23] - _T_278[16] <= _T_380 @[lib.scala 428:17] - node _T_381 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27] - node _T_382 = orr(_T_381) @[lib.scala 428:35] - node _T_383 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44] - node _T_384 = not(_T_383) @[lib.scala 428:40] - node _T_385 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51] - node _T_386 = mux(_T_382, _T_384, _T_385) @[lib.scala 428:23] - _T_278[17] <= _T_386 @[lib.scala 428:17] - node _T_387 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27] - node _T_388 = orr(_T_387) @[lib.scala 428:35] - node _T_389 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44] - node _T_390 = not(_T_389) @[lib.scala 428:40] - node _T_391 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51] - node _T_392 = mux(_T_388, _T_390, _T_391) @[lib.scala 428:23] - _T_278[18] <= _T_392 @[lib.scala 428:17] - node _T_393 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27] - node _T_394 = orr(_T_393) @[lib.scala 428:35] - node _T_395 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44] - node _T_396 = not(_T_395) @[lib.scala 428:40] - node _T_397 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51] - node _T_398 = mux(_T_394, _T_396, _T_397) @[lib.scala 428:23] - _T_278[19] <= _T_398 @[lib.scala 428:17] - node _T_399 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27] - node _T_400 = orr(_T_399) @[lib.scala 428:35] - node _T_401 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44] - node _T_402 = not(_T_401) @[lib.scala 428:40] - node _T_403 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51] - node _T_404 = mux(_T_400, _T_402, _T_403) @[lib.scala 428:23] - _T_278[20] <= _T_404 @[lib.scala 428:17] - node _T_405 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27] - node _T_406 = orr(_T_405) @[lib.scala 428:35] - node _T_407 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44] - node _T_408 = not(_T_407) @[lib.scala 428:40] - node _T_409 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51] - node _T_410 = mux(_T_406, _T_408, _T_409) @[lib.scala 428:23] - _T_278[21] <= _T_410 @[lib.scala 428:17] - node _T_411 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27] - node _T_412 = orr(_T_411) @[lib.scala 428:35] - node _T_413 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44] - node _T_414 = not(_T_413) @[lib.scala 428:40] - node _T_415 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51] - node _T_416 = mux(_T_412, _T_414, _T_415) @[lib.scala 428:23] - _T_278[22] <= _T_416 @[lib.scala 428:17] - node _T_417 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27] - node _T_418 = orr(_T_417) @[lib.scala 428:35] - node _T_419 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44] - node _T_420 = not(_T_419) @[lib.scala 428:40] - node _T_421 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51] - node _T_422 = mux(_T_418, _T_420, _T_421) @[lib.scala 428:23] - _T_278[23] <= _T_422 @[lib.scala 428:17] - node _T_423 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27] - node _T_424 = orr(_T_423) @[lib.scala 428:35] - node _T_425 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44] - node _T_426 = not(_T_425) @[lib.scala 428:40] - node _T_427 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51] - node _T_428 = mux(_T_424, _T_426, _T_427) @[lib.scala 428:23] - _T_278[24] <= _T_428 @[lib.scala 428:17] - node _T_429 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27] - node _T_430 = orr(_T_429) @[lib.scala 428:35] - node _T_431 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44] - node _T_432 = not(_T_431) @[lib.scala 428:40] - node _T_433 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51] - node _T_434 = mux(_T_430, _T_432, _T_433) @[lib.scala 428:23] - _T_278[25] <= _T_434 @[lib.scala 428:17] - node _T_435 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27] - node _T_436 = orr(_T_435) @[lib.scala 428:35] - node _T_437 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44] - node _T_438 = not(_T_437) @[lib.scala 428:40] - node _T_439 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51] - node _T_440 = mux(_T_436, _T_438, _T_439) @[lib.scala 428:23] - _T_278[26] <= _T_440 @[lib.scala 428:17] - node _T_441 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27] - node _T_442 = orr(_T_441) @[lib.scala 428:35] - node _T_443 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44] - node _T_444 = not(_T_443) @[lib.scala 428:40] - node _T_445 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51] - node _T_446 = mux(_T_442, _T_444, _T_445) @[lib.scala 428:23] - _T_278[27] <= _T_446 @[lib.scala 428:17] - node _T_447 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27] - node _T_448 = orr(_T_447) @[lib.scala 428:35] - node _T_449 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44] - node _T_450 = not(_T_449) @[lib.scala 428:40] - node _T_451 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51] - node _T_452 = mux(_T_448, _T_450, _T_451) @[lib.scala 428:23] - _T_278[28] <= _T_452 @[lib.scala 428:17] - node _T_453 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27] - node _T_454 = orr(_T_453) @[lib.scala 428:35] - node _T_455 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44] - node _T_456 = not(_T_455) @[lib.scala 428:40] - node _T_457 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51] - node _T_458 = mux(_T_454, _T_456, _T_457) @[lib.scala 428:23] - _T_278[29] <= _T_458 @[lib.scala 428:17] - node _T_459 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27] - node _T_460 = orr(_T_459) @[lib.scala 428:35] - node _T_461 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44] - node _T_462 = not(_T_461) @[lib.scala 428:40] - node _T_463 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51] - node _T_464 = mux(_T_460, _T_462, _T_463) @[lib.scala 428:23] - _T_278[30] <= _T_464 @[lib.scala 428:17] - node _T_465 = cat(_T_278[2], _T_278[1]) @[lib.scala 430:14] - node _T_466 = cat(_T_465, _T_278[0]) @[lib.scala 430:14] - node _T_467 = cat(_T_278[4], _T_278[3]) @[lib.scala 430:14] - node _T_468 = cat(_T_278[6], _T_278[5]) @[lib.scala 430:14] - node _T_469 = cat(_T_468, _T_467) @[lib.scala 430:14] - node _T_470 = cat(_T_469, _T_466) @[lib.scala 430:14] - node _T_471 = cat(_T_278[8], _T_278[7]) @[lib.scala 430:14] - node _T_472 = cat(_T_278[10], _T_278[9]) @[lib.scala 430:14] - node _T_473 = cat(_T_472, _T_471) @[lib.scala 430:14] - node _T_474 = cat(_T_278[12], _T_278[11]) @[lib.scala 430:14] - node _T_475 = cat(_T_278[14], _T_278[13]) @[lib.scala 430:14] + twos_comp_in <= _T_284 @[Mux.scala 27:72] + wire _T_285 : UInt<1>[31] @[lib.scala 426:20] + node _T_286 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27] + node _T_287 = orr(_T_286) @[lib.scala 428:35] + node _T_288 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44] + node _T_289 = not(_T_288) @[lib.scala 428:40] + node _T_290 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51] + node _T_291 = mux(_T_287, _T_289, _T_290) @[lib.scala 428:23] + _T_285[0] <= _T_291 @[lib.scala 428:17] + node _T_292 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27] + node _T_293 = orr(_T_292) @[lib.scala 428:35] + node _T_294 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44] + node _T_295 = not(_T_294) @[lib.scala 428:40] + node _T_296 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51] + node _T_297 = mux(_T_293, _T_295, _T_296) @[lib.scala 428:23] + _T_285[1] <= _T_297 @[lib.scala 428:17] + node _T_298 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27] + node _T_299 = orr(_T_298) @[lib.scala 428:35] + node _T_300 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44] + node _T_301 = not(_T_300) @[lib.scala 428:40] + node _T_302 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51] + node _T_303 = mux(_T_299, _T_301, _T_302) @[lib.scala 428:23] + _T_285[2] <= _T_303 @[lib.scala 428:17] + node _T_304 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27] + node _T_305 = orr(_T_304) @[lib.scala 428:35] + node _T_306 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44] + node _T_307 = not(_T_306) @[lib.scala 428:40] + node _T_308 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51] + node _T_309 = mux(_T_305, _T_307, _T_308) @[lib.scala 428:23] + _T_285[3] <= _T_309 @[lib.scala 428:17] + node _T_310 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27] + node _T_311 = orr(_T_310) @[lib.scala 428:35] + node _T_312 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44] + node _T_313 = not(_T_312) @[lib.scala 428:40] + node _T_314 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51] + node _T_315 = mux(_T_311, _T_313, _T_314) @[lib.scala 428:23] + _T_285[4] <= _T_315 @[lib.scala 428:17] + node _T_316 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27] + node _T_317 = orr(_T_316) @[lib.scala 428:35] + node _T_318 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44] + node _T_319 = not(_T_318) @[lib.scala 428:40] + node _T_320 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51] + node _T_321 = mux(_T_317, _T_319, _T_320) @[lib.scala 428:23] + _T_285[5] <= _T_321 @[lib.scala 428:17] + node _T_322 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27] + node _T_323 = orr(_T_322) @[lib.scala 428:35] + node _T_324 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44] + node _T_325 = not(_T_324) @[lib.scala 428:40] + node _T_326 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51] + node _T_327 = mux(_T_323, _T_325, _T_326) @[lib.scala 428:23] + _T_285[6] <= _T_327 @[lib.scala 428:17] + node _T_328 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27] + node _T_329 = orr(_T_328) @[lib.scala 428:35] + node _T_330 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44] + node _T_331 = not(_T_330) @[lib.scala 428:40] + node _T_332 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51] + node _T_333 = mux(_T_329, _T_331, _T_332) @[lib.scala 428:23] + _T_285[7] <= _T_333 @[lib.scala 428:17] + node _T_334 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27] + node _T_335 = orr(_T_334) @[lib.scala 428:35] + node _T_336 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44] + node _T_337 = not(_T_336) @[lib.scala 428:40] + node _T_338 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51] + node _T_339 = mux(_T_335, _T_337, _T_338) @[lib.scala 428:23] + _T_285[8] <= _T_339 @[lib.scala 428:17] + node _T_340 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27] + node _T_341 = orr(_T_340) @[lib.scala 428:35] + node _T_342 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44] + node _T_343 = not(_T_342) @[lib.scala 428:40] + node _T_344 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51] + node _T_345 = mux(_T_341, _T_343, _T_344) @[lib.scala 428:23] + _T_285[9] <= _T_345 @[lib.scala 428:17] + node _T_346 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27] + node _T_347 = orr(_T_346) @[lib.scala 428:35] + node _T_348 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44] + node _T_349 = not(_T_348) @[lib.scala 428:40] + node _T_350 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51] + node _T_351 = mux(_T_347, _T_349, _T_350) @[lib.scala 428:23] + _T_285[10] <= _T_351 @[lib.scala 428:17] + node _T_352 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27] + node _T_353 = orr(_T_352) @[lib.scala 428:35] + node _T_354 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44] + node _T_355 = not(_T_354) @[lib.scala 428:40] + node _T_356 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51] + node _T_357 = mux(_T_353, _T_355, _T_356) @[lib.scala 428:23] + _T_285[11] <= _T_357 @[lib.scala 428:17] + node _T_358 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27] + node _T_359 = orr(_T_358) @[lib.scala 428:35] + node _T_360 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44] + node _T_361 = not(_T_360) @[lib.scala 428:40] + node _T_362 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51] + node _T_363 = mux(_T_359, _T_361, _T_362) @[lib.scala 428:23] + _T_285[12] <= _T_363 @[lib.scala 428:17] + node _T_364 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27] + node _T_365 = orr(_T_364) @[lib.scala 428:35] + node _T_366 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44] + node _T_367 = not(_T_366) @[lib.scala 428:40] + node _T_368 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51] + node _T_369 = mux(_T_365, _T_367, _T_368) @[lib.scala 428:23] + _T_285[13] <= _T_369 @[lib.scala 428:17] + node _T_370 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27] + node _T_371 = orr(_T_370) @[lib.scala 428:35] + node _T_372 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44] + node _T_373 = not(_T_372) @[lib.scala 428:40] + node _T_374 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51] + node _T_375 = mux(_T_371, _T_373, _T_374) @[lib.scala 428:23] + _T_285[14] <= _T_375 @[lib.scala 428:17] + node _T_376 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27] + node _T_377 = orr(_T_376) @[lib.scala 428:35] + node _T_378 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44] + node _T_379 = not(_T_378) @[lib.scala 428:40] + node _T_380 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51] + node _T_381 = mux(_T_377, _T_379, _T_380) @[lib.scala 428:23] + _T_285[15] <= _T_381 @[lib.scala 428:17] + node _T_382 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27] + node _T_383 = orr(_T_382) @[lib.scala 428:35] + node _T_384 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44] + node _T_385 = not(_T_384) @[lib.scala 428:40] + node _T_386 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51] + node _T_387 = mux(_T_383, _T_385, _T_386) @[lib.scala 428:23] + _T_285[16] <= _T_387 @[lib.scala 428:17] + node _T_388 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27] + node _T_389 = orr(_T_388) @[lib.scala 428:35] + node _T_390 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44] + node _T_391 = not(_T_390) @[lib.scala 428:40] + node _T_392 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51] + node _T_393 = mux(_T_389, _T_391, _T_392) @[lib.scala 428:23] + _T_285[17] <= _T_393 @[lib.scala 428:17] + node _T_394 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27] + node _T_395 = orr(_T_394) @[lib.scala 428:35] + node _T_396 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44] + node _T_397 = not(_T_396) @[lib.scala 428:40] + node _T_398 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51] + node _T_399 = mux(_T_395, _T_397, _T_398) @[lib.scala 428:23] + _T_285[18] <= _T_399 @[lib.scala 428:17] + node _T_400 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27] + node _T_401 = orr(_T_400) @[lib.scala 428:35] + node _T_402 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44] + node _T_403 = not(_T_402) @[lib.scala 428:40] + node _T_404 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51] + node _T_405 = mux(_T_401, _T_403, _T_404) @[lib.scala 428:23] + _T_285[19] <= _T_405 @[lib.scala 428:17] + node _T_406 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27] + node _T_407 = orr(_T_406) @[lib.scala 428:35] + node _T_408 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44] + node _T_409 = not(_T_408) @[lib.scala 428:40] + node _T_410 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51] + node _T_411 = mux(_T_407, _T_409, _T_410) @[lib.scala 428:23] + _T_285[20] <= _T_411 @[lib.scala 428:17] + node _T_412 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27] + node _T_413 = orr(_T_412) @[lib.scala 428:35] + node _T_414 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44] + node _T_415 = not(_T_414) @[lib.scala 428:40] + node _T_416 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51] + node _T_417 = mux(_T_413, _T_415, _T_416) @[lib.scala 428:23] + _T_285[21] <= _T_417 @[lib.scala 428:17] + node _T_418 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27] + node _T_419 = orr(_T_418) @[lib.scala 428:35] + node _T_420 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44] + node _T_421 = not(_T_420) @[lib.scala 428:40] + node _T_422 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51] + node _T_423 = mux(_T_419, _T_421, _T_422) @[lib.scala 428:23] + _T_285[22] <= _T_423 @[lib.scala 428:17] + node _T_424 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27] + node _T_425 = orr(_T_424) @[lib.scala 428:35] + node _T_426 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44] + node _T_427 = not(_T_426) @[lib.scala 428:40] + node _T_428 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51] + node _T_429 = mux(_T_425, _T_427, _T_428) @[lib.scala 428:23] + _T_285[23] <= _T_429 @[lib.scala 428:17] + node _T_430 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27] + node _T_431 = orr(_T_430) @[lib.scala 428:35] + node _T_432 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44] + node _T_433 = not(_T_432) @[lib.scala 428:40] + node _T_434 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51] + node _T_435 = mux(_T_431, _T_433, _T_434) @[lib.scala 428:23] + _T_285[24] <= _T_435 @[lib.scala 428:17] + node _T_436 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27] + node _T_437 = orr(_T_436) @[lib.scala 428:35] + node _T_438 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44] + node _T_439 = not(_T_438) @[lib.scala 428:40] + node _T_440 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51] + node _T_441 = mux(_T_437, _T_439, _T_440) @[lib.scala 428:23] + _T_285[25] <= _T_441 @[lib.scala 428:17] + node _T_442 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27] + node _T_443 = orr(_T_442) @[lib.scala 428:35] + node _T_444 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44] + node _T_445 = not(_T_444) @[lib.scala 428:40] + node _T_446 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51] + node _T_447 = mux(_T_443, _T_445, _T_446) @[lib.scala 428:23] + _T_285[26] <= _T_447 @[lib.scala 428:17] + node _T_448 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27] + node _T_449 = orr(_T_448) @[lib.scala 428:35] + node _T_450 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44] + node _T_451 = not(_T_450) @[lib.scala 428:40] + node _T_452 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51] + node _T_453 = mux(_T_449, _T_451, _T_452) @[lib.scala 428:23] + _T_285[27] <= _T_453 @[lib.scala 428:17] + node _T_454 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27] + node _T_455 = orr(_T_454) @[lib.scala 428:35] + node _T_456 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44] + node _T_457 = not(_T_456) @[lib.scala 428:40] + node _T_458 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51] + node _T_459 = mux(_T_455, _T_457, _T_458) @[lib.scala 428:23] + _T_285[28] <= _T_459 @[lib.scala 428:17] + node _T_460 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27] + node _T_461 = orr(_T_460) @[lib.scala 428:35] + node _T_462 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44] + node _T_463 = not(_T_462) @[lib.scala 428:40] + node _T_464 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51] + node _T_465 = mux(_T_461, _T_463, _T_464) @[lib.scala 428:23] + _T_285[29] <= _T_465 @[lib.scala 428:17] + node _T_466 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27] + node _T_467 = orr(_T_466) @[lib.scala 428:35] + node _T_468 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44] + node _T_469 = not(_T_468) @[lib.scala 428:40] + node _T_470 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51] + node _T_471 = mux(_T_467, _T_469, _T_470) @[lib.scala 428:23] + _T_285[30] <= _T_471 @[lib.scala 428:17] + node _T_472 = cat(_T_285[2], _T_285[1]) @[lib.scala 430:14] + node _T_473 = cat(_T_472, _T_285[0]) @[lib.scala 430:14] + node _T_474 = cat(_T_285[4], _T_285[3]) @[lib.scala 430:14] + node _T_475 = cat(_T_285[6], _T_285[5]) @[lib.scala 430:14] node _T_476 = cat(_T_475, _T_474) @[lib.scala 430:14] node _T_477 = cat(_T_476, _T_473) @[lib.scala 430:14] - node _T_478 = cat(_T_477, _T_470) @[lib.scala 430:14] - node _T_479 = cat(_T_278[16], _T_278[15]) @[lib.scala 430:14] - node _T_480 = cat(_T_278[18], _T_278[17]) @[lib.scala 430:14] - node _T_481 = cat(_T_480, _T_479) @[lib.scala 430:14] - node _T_482 = cat(_T_278[20], _T_278[19]) @[lib.scala 430:14] - node _T_483 = cat(_T_278[22], _T_278[21]) @[lib.scala 430:14] - node _T_484 = cat(_T_483, _T_482) @[lib.scala 430:14] - node _T_485 = cat(_T_484, _T_481) @[lib.scala 430:14] - node _T_486 = cat(_T_278[24], _T_278[23]) @[lib.scala 430:14] - node _T_487 = cat(_T_278[26], _T_278[25]) @[lib.scala 430:14] + node _T_478 = cat(_T_285[8], _T_285[7]) @[lib.scala 430:14] + node _T_479 = cat(_T_285[10], _T_285[9]) @[lib.scala 430:14] + node _T_480 = cat(_T_479, _T_478) @[lib.scala 430:14] + node _T_481 = cat(_T_285[12], _T_285[11]) @[lib.scala 430:14] + node _T_482 = cat(_T_285[14], _T_285[13]) @[lib.scala 430:14] + node _T_483 = cat(_T_482, _T_481) @[lib.scala 430:14] + node _T_484 = cat(_T_483, _T_480) @[lib.scala 430:14] + node _T_485 = cat(_T_484, _T_477) @[lib.scala 430:14] + node _T_486 = cat(_T_285[16], _T_285[15]) @[lib.scala 430:14] + node _T_487 = cat(_T_285[18], _T_285[17]) @[lib.scala 430:14] node _T_488 = cat(_T_487, _T_486) @[lib.scala 430:14] - node _T_489 = cat(_T_278[28], _T_278[27]) @[lib.scala 430:14] - node _T_490 = cat(_T_278[30], _T_278[29]) @[lib.scala 430:14] + node _T_489 = cat(_T_285[20], _T_285[19]) @[lib.scala 430:14] + node _T_490 = cat(_T_285[22], _T_285[21]) @[lib.scala 430:14] node _T_491 = cat(_T_490, _T_489) @[lib.scala 430:14] node _T_492 = cat(_T_491, _T_488) @[lib.scala 430:14] - node _T_493 = cat(_T_492, _T_485) @[lib.scala 430:14] - node _T_494 = cat(_T_493, _T_478) @[lib.scala 430:14] - node _T_495 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24] - node twos_comp_out = cat(_T_494, _T_495) @[Cat.scala 29:58] - node _T_496 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 661:6] - node _T_497 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 661:17] - node _T_498 = and(_T_496, _T_497) @[exu_div_ctl.scala 661:15] - node _T_499 = bits(_T_498, 0, 0) @[exu_div_ctl.scala 661:36] - node _T_500 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 661:79] - node _T_501 = and(io.signed_in, _T_500) @[exu_div_ctl.scala 661:63] - node _T_502 = bits(io.dividend_in, 31, 0) @[exu_div_ctl.scala 661:98] - node _T_503 = cat(_T_501, _T_502) @[Cat.scala 29:58] - node _T_504 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 662:52] - node _T_505 = cat(_T_504, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_506 = bits(ar_shifted, 32, 0) @[exu_div_ctl.scala 663:54] - node _T_507 = mux(_T_499, _T_503, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_508 = mux(a_shift, _T_505, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_509 = mux(shortq_enable_ff, _T_506, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_510 = or(_T_507, _T_508) @[Mux.scala 27:72] - node _T_511 = or(_T_510, _T_509) @[Mux.scala 27:72] + node _T_493 = cat(_T_285[24], _T_285[23]) @[lib.scala 430:14] + node _T_494 = cat(_T_285[26], _T_285[25]) @[lib.scala 430:14] + node _T_495 = cat(_T_494, _T_493) @[lib.scala 430:14] + node _T_496 = cat(_T_285[28], _T_285[27]) @[lib.scala 430:14] + node _T_497 = cat(_T_285[30], _T_285[29]) @[lib.scala 430:14] + node _T_498 = cat(_T_497, _T_496) @[lib.scala 430:14] + node _T_499 = cat(_T_498, _T_495) @[lib.scala 430:14] + node _T_500 = cat(_T_499, _T_492) @[lib.scala 430:14] + node _T_501 = cat(_T_500, _T_485) @[lib.scala 430:14] + node _T_502 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24] + node twos_comp_out = cat(_T_501, _T_502) @[Cat.scala 29:58] + node _T_503 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 669:6] + node _T_504 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 669:17] + node _T_505 = and(_T_503, _T_504) @[exu_div_ctl.scala 669:15] + node _T_506 = bits(_T_505, 0, 0) @[exu_div_ctl.scala 669:36] + node _T_507 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 669:79] + node _T_508 = and(io.signed_in, _T_507) @[exu_div_ctl.scala 669:63] + node _T_509 = bits(io.dividend_in, 31, 0) @[exu_div_ctl.scala 669:98] + node _T_510 = cat(_T_508, _T_509) @[Cat.scala 29:58] + node _T_511 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 670:52] + node _T_512 = cat(_T_511, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_513 = bits(ar_shifted, 32, 0) @[exu_div_ctl.scala 671:54] + node _T_514 = mux(_T_506, _T_510, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_515 = mux(a_shift, _T_512, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_516 = mux(shortq_enable_ff, _T_513, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_517 = or(_T_514, _T_515) @[Mux.scala 27:72] + node _T_518 = or(_T_517, _T_516) @[Mux.scala 27:72] wire a_in : UInt<33> @[Mux.scala 27:72] - a_in <= _T_511 @[Mux.scala 27:72] - node _T_512 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 666:5] - node _T_513 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 666:78] - node _T_514 = and(io.signed_in, _T_513) @[exu_div_ctl.scala 666:63] - node _T_515 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 666:96] - node _T_516 = cat(_T_514, _T_515) @[Cat.scala 29:58] - node _T_517 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 667:49] - node _T_518 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 667:79] - node _T_519 = cat(_T_517, _T_518) @[Cat.scala 29:58] - node _T_520 = mux(_T_512, _T_516, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_521 = mux(b_twos_comp, _T_519, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_522 = or(_T_520, _T_521) @[Mux.scala 27:72] + a_in <= _T_518 @[Mux.scala 27:72] + node _T_519 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 674:5] + node _T_520 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 674:78] + node _T_521 = and(io.signed_in, _T_520) @[exu_div_ctl.scala 674:63] + node _T_522 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 674:96] + node _T_523 = cat(_T_521, _T_522) @[Cat.scala 29:58] + node _T_524 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 675:49] + node _T_525 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 675:79] + node _T_526 = cat(_T_524, _T_525) @[Cat.scala 29:58] + node _T_527 = mux(_T_519, _T_523, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_528 = mux(b_twos_comp, _T_526, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_529 = or(_T_527, _T_528) @[Mux.scala 27:72] wire b_in : UInt<33> @[Mux.scala 27:72] - b_in <= _T_522 @[Mux.scala 27:72] - node _T_523 = bits(r_ff, 29, 0) @[exu_div_ctl.scala 672:54] - node _T_524 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 672:65] - node _T_525 = cat(_T_523, _T_524) @[Cat.scala 29:58] - node _T_526 = bits(adder1_out, 32, 0) @[exu_div_ctl.scala 673:57] - node _T_527 = bits(adder2_out, 32, 0) @[exu_div_ctl.scala 674:57] - node _T_528 = bits(adder3_out, 32, 0) @[exu_div_ctl.scala 675:57] - node _T_529 = bits(adder4_out, 32, 0) @[exu_div_ctl.scala 676:57] - node _T_530 = bits(adder5_out, 32, 0) @[exu_div_ctl.scala 677:57] - node _T_531 = bits(adder6_out, 32, 0) @[exu_div_ctl.scala 678:57] - node _T_532 = bits(adder7_out, 32, 0) @[exu_div_ctl.scala 679:57] - node _T_533 = bits(ar_shifted, 65, 33) @[exu_div_ctl.scala 680:57] - node _T_534 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 681:59] - node _T_535 = cat(UInt<1>("h00"), _T_534) @[Cat.scala 29:58] - node _T_536 = mux(r_sign_sel, UInt<33>("h01ffffffff"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_537 = mux(r_restore_sel, _T_525, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_538 = mux(r_adder1_sel, _T_526, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_539 = mux(r_adder2_sel, _T_527, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_540 = mux(r_adder3_sel, _T_528, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_541 = mux(r_adder4_sel, _T_529, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_542 = mux(r_adder5_sel, _T_530, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_543 = mux(r_adder6_sel, _T_531, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_544 = mux(r_adder7_sel, _T_532, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_545 = mux(shortq_enable_ff, _T_533, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_546 = mux(by_zero_case, _T_535, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_547 = or(_T_536, _T_537) @[Mux.scala 27:72] - node _T_548 = or(_T_547, _T_538) @[Mux.scala 27:72] - node _T_549 = or(_T_548, _T_539) @[Mux.scala 27:72] - node _T_550 = or(_T_549, _T_540) @[Mux.scala 27:72] - node _T_551 = or(_T_550, _T_541) @[Mux.scala 27:72] - node _T_552 = or(_T_551, _T_542) @[Mux.scala 27:72] - node _T_553 = or(_T_552, _T_543) @[Mux.scala 27:72] - node _T_554 = or(_T_553, _T_544) @[Mux.scala 27:72] + b_in <= _T_529 @[Mux.scala 27:72] + node _T_530 = bits(r_ff, 29, 0) @[exu_div_ctl.scala 680:54] + node _T_531 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 680:65] + node _T_532 = cat(_T_530, _T_531) @[Cat.scala 29:58] + node _T_533 = bits(adder1_out, 32, 0) @[exu_div_ctl.scala 681:57] + node _T_534 = bits(adder2_out, 32, 0) @[exu_div_ctl.scala 682:57] + node _T_535 = bits(adder3_out, 32, 0) @[exu_div_ctl.scala 683:57] + node _T_536 = bits(adder4_out, 32, 0) @[exu_div_ctl.scala 684:57] + node _T_537 = bits(adder5_out, 32, 0) @[exu_div_ctl.scala 685:57] + node _T_538 = bits(adder6_out, 32, 0) @[exu_div_ctl.scala 686:57] + node _T_539 = bits(adder7_out, 32, 0) @[exu_div_ctl.scala 687:57] + node _T_540 = bits(ar_shifted, 65, 33) @[exu_div_ctl.scala 688:57] + node _T_541 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 689:59] + node _T_542 = cat(UInt<1>("h00"), _T_541) @[Cat.scala 29:58] + node _T_543 = mux(r_sign_sel, UInt<33>("h01ffffffff"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_544 = mux(r_restore_sel, _T_532, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_545 = mux(r_adder1_sel, _T_533, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_546 = mux(r_adder2_sel, _T_534, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_547 = mux(r_adder3_sel, _T_535, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_548 = mux(r_adder4_sel, _T_536, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_549 = mux(r_adder5_sel, _T_537, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_550 = mux(r_adder6_sel, _T_538, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_551 = mux(r_adder7_sel, _T_539, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_552 = mux(shortq_enable_ff, _T_540, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_553 = mux(by_zero_case, _T_542, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_554 = or(_T_543, _T_544) @[Mux.scala 27:72] node _T_555 = or(_T_554, _T_545) @[Mux.scala 27:72] node _T_556 = or(_T_555, _T_546) @[Mux.scala 27:72] + node _T_557 = or(_T_556, _T_547) @[Mux.scala 27:72] + node _T_558 = or(_T_557, _T_548) @[Mux.scala 27:72] + node _T_559 = or(_T_558, _T_549) @[Mux.scala 27:72] + node _T_560 = or(_T_559, _T_550) @[Mux.scala 27:72] + node _T_561 = or(_T_560, _T_551) @[Mux.scala 27:72] + node _T_562 = or(_T_561, _T_552) @[Mux.scala 27:72] + node _T_563 = or(_T_562, _T_553) @[Mux.scala 27:72] wire r_in : UInt<33> @[Mux.scala 27:72] - r_in <= _T_556 @[Mux.scala 27:72] - node _T_557 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 684:4] - node _T_558 = bits(q_ff, 28, 0) @[exu_div_ctl.scala 684:54] - node _T_559 = cat(_T_558, quotient_new) @[Cat.scala 29:58] - node _T_560 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] - node _T_561 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_562 = mux(_T_557, _T_559, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_563 = mux(smallnum_case, _T_560, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_564 = mux(by_zero_case, _T_561, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_565 = or(_T_562, _T_563) @[Mux.scala 27:72] - node _T_566 = or(_T_565, _T_564) @[Mux.scala 27:72] + r_in <= _T_563 @[Mux.scala 27:72] + node _T_564 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 692:4] + node _T_565 = bits(q_ff, 28, 0) @[exu_div_ctl.scala 692:54] + node _T_566 = cat(_T_565, quotient_new) @[Cat.scala 29:58] + node _T_567 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] + node _T_568 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_569 = mux(_T_564, _T_566, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_570 = mux(smallnum_case, _T_567, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_571 = mux(by_zero_case, _T_568, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_572 = or(_T_569, _T_570) @[Mux.scala 27:72] + node _T_573 = or(_T_572, _T_571) @[Mux.scala 27:72] wire q_in : UInt<32> @[Mux.scala 27:72] - q_in <= _T_566 @[Mux.scala 27:72] - node _T_567 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 688:31] - node _T_568 = and(finish_ff, _T_567) @[exu_div_ctl.scala 688:29] - io.valid_out <= _T_568 @[exu_div_ctl.scala 688:16] - node _T_569 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 690:6] - node _T_570 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 690:16] - node _T_571 = and(_T_569, _T_570) @[exu_div_ctl.scala 690:14] - node _T_572 = bits(_T_571, 0, 0) @[exu_div_ctl.scala 690:40] - node _T_573 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 691:48] - node _T_574 = mux(_T_572, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_575 = mux(rem_ff, _T_573, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_576 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_577 = or(_T_574, _T_575) @[Mux.scala 27:72] - node _T_578 = or(_T_577, _T_576) @[Mux.scala 27:72] - wire _T_579 : UInt<32> @[Mux.scala 27:72] - _T_579 <= _T_578 @[Mux.scala 27:72] - io.data_out <= _T_579 @[exu_div_ctl.scala 689:15] - node _T_580 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_581 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_582 = eq(_T_581, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_583 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_584 = eq(_T_583, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_585 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_586 = eq(_T_585, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_587 = and(_T_582, _T_584) @[exu_div_ctl.scala 696:95] - node _T_588 = and(_T_587, _T_586) @[exu_div_ctl.scala 696:95] - node _T_589 = and(_T_580, _T_588) @[exu_div_ctl.scala 697:11] - node _T_590 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_591 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_592 = eq(_T_591, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_593 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_594 = eq(_T_593, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_595 = and(_T_592, _T_594) @[exu_div_ctl.scala 696:95] - node _T_596 = and(_T_590, _T_595) @[exu_div_ctl.scala 697:11] - node _T_597 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 702:38] - node _T_598 = eq(_T_597, UInt<1>("h00")) @[exu_div_ctl.scala 702:33] - node _T_599 = and(_T_596, _T_598) @[exu_div_ctl.scala 702:31] - node _T_600 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_601 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_602 = eq(_T_601, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_603 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_604 = eq(_T_603, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_605 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_606 = eq(_T_605, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_607 = and(_T_602, _T_604) @[exu_div_ctl.scala 696:95] - node _T_608 = and(_T_607, _T_606) @[exu_div_ctl.scala 696:95] - node _T_609 = and(_T_600, _T_608) @[exu_div_ctl.scala 697:11] - node _T_610 = or(_T_599, _T_609) @[exu_div_ctl.scala 702:42] - node _T_611 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_612 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_613 = and(_T_611, _T_612) @[exu_div_ctl.scala 695:95] - node _T_614 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_615 = eq(_T_614, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_616 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_617 = eq(_T_616, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_618 = and(_T_615, _T_617) @[exu_div_ctl.scala 696:95] - node _T_619 = and(_T_613, _T_618) @[exu_div_ctl.scala 697:11] - node _T_620 = or(_T_610, _T_619) @[exu_div_ctl.scala 702:75] - node _T_621 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_622 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_623 = eq(_T_622, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_624 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_625 = eq(_T_624, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_626 = and(_T_623, _T_625) @[exu_div_ctl.scala 696:95] - node _T_627 = and(_T_621, _T_626) @[exu_div_ctl.scala 697:11] - node _T_628 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 704:38] - node _T_629 = eq(_T_628, UInt<1>("h00")) @[exu_div_ctl.scala 704:33] - node _T_630 = and(_T_627, _T_629) @[exu_div_ctl.scala 704:31] - node _T_631 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_632 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_633 = eq(_T_632, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_634 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_635 = eq(_T_634, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_636 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_637 = eq(_T_636, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_638 = and(_T_633, _T_635) @[exu_div_ctl.scala 696:95] - node _T_639 = and(_T_638, _T_637) @[exu_div_ctl.scala 696:95] - node _T_640 = and(_T_631, _T_639) @[exu_div_ctl.scala 697:11] - node _T_641 = or(_T_630, _T_640) @[exu_div_ctl.scala 704:42] - node _T_642 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_643 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_644 = eq(_T_643, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_645 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_646 = eq(_T_645, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_647 = and(_T_644, _T_646) @[exu_div_ctl.scala 696:95] - node _T_648 = and(_T_642, _T_647) @[exu_div_ctl.scala 697:11] - node _T_649 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 704:113] - node _T_650 = eq(_T_649, UInt<1>("h00")) @[exu_div_ctl.scala 704:108] - node _T_651 = and(_T_648, _T_650) @[exu_div_ctl.scala 704:106] - node _T_652 = or(_T_641, _T_651) @[exu_div_ctl.scala 704:78] - node _T_653 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_654 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:75] - node _T_655 = eq(_T_654, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_656 = and(_T_653, _T_655) @[exu_div_ctl.scala 695:95] - node _T_657 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_658 = eq(_T_657, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_659 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_660 = eq(_T_659, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_661 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:58] - node _T_662 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 696:58] - node _T_663 = and(_T_658, _T_660) @[exu_div_ctl.scala 696:95] - node _T_664 = and(_T_663, _T_661) @[exu_div_ctl.scala 696:95] - node _T_665 = and(_T_664, _T_662) @[exu_div_ctl.scala 696:95] - node _T_666 = and(_T_656, _T_665) @[exu_div_ctl.scala 697:11] - node _T_667 = or(_T_652, _T_666) @[exu_div_ctl.scala 704:117] - node _T_668 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:75] - node _T_669 = eq(_T_668, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_670 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_671 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_672 = and(_T_669, _T_670) @[exu_div_ctl.scala 695:95] - node _T_673 = and(_T_672, _T_671) @[exu_div_ctl.scala 695:95] - node _T_674 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_675 = eq(_T_674, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_676 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_677 = eq(_T_676, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_678 = and(_T_675, _T_677) @[exu_div_ctl.scala 696:95] - node _T_679 = and(_T_673, _T_678) @[exu_div_ctl.scala 697:11] - node _T_680 = or(_T_667, _T_679) @[exu_div_ctl.scala 705:44] - node _T_681 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_682 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_683 = and(_T_681, _T_682) @[exu_div_ctl.scala 695:95] - node _T_684 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_685 = eq(_T_684, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_686 = and(_T_683, _T_685) @[exu_div_ctl.scala 697:11] - node _T_687 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 705:114] - node _T_688 = eq(_T_687, UInt<1>("h00")) @[exu_div_ctl.scala 705:109] - node _T_689 = and(_T_686, _T_688) @[exu_div_ctl.scala 705:107] - node _T_690 = or(_T_680, _T_689) @[exu_div_ctl.scala 705:80] - node _T_691 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_692 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_693 = and(_T_691, _T_692) @[exu_div_ctl.scala 695:95] - node _T_694 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_695 = eq(_T_694, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_696 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:58] - node _T_697 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_698 = eq(_T_697, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_699 = and(_T_695, _T_696) @[exu_div_ctl.scala 696:95] - node _T_700 = and(_T_699, _T_698) @[exu_div_ctl.scala 696:95] - node _T_701 = and(_T_693, _T_700) @[exu_div_ctl.scala 697:11] - node _T_702 = or(_T_690, _T_701) @[exu_div_ctl.scala 705:119] - node _T_703 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_704 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_705 = and(_T_703, _T_704) @[exu_div_ctl.scala 695:95] - node _T_706 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_707 = eq(_T_706, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_708 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_709 = eq(_T_708, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_710 = and(_T_707, _T_709) @[exu_div_ctl.scala 696:95] - node _T_711 = and(_T_705, _T_710) @[exu_div_ctl.scala 697:11] - node _T_712 = or(_T_702, _T_711) @[exu_div_ctl.scala 706:44] - node _T_713 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_714 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_715 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_716 = and(_T_713, _T_714) @[exu_div_ctl.scala 695:95] - node _T_717 = and(_T_716, _T_715) @[exu_div_ctl.scala 695:95] - node _T_718 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_719 = eq(_T_718, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_720 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:58] - node _T_721 = and(_T_719, _T_720) @[exu_div_ctl.scala 696:95] - node _T_722 = and(_T_717, _T_721) @[exu_div_ctl.scala 697:11] - node _T_723 = or(_T_712, _T_722) @[exu_div_ctl.scala 706:79] - node _T_724 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_725 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_726 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_727 = and(_T_724, _T_725) @[exu_div_ctl.scala 695:95] - node _T_728 = and(_T_727, _T_726) @[exu_div_ctl.scala 695:95] - node _T_729 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_730 = eq(_T_729, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_731 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_732 = eq(_T_731, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_733 = and(_T_730, _T_732) @[exu_div_ctl.scala 696:95] - node _T_734 = and(_T_728, _T_733) @[exu_div_ctl.scala 697:11] - node _T_735 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_736 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:75] - node _T_737 = eq(_T_736, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_738 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_739 = and(_T_735, _T_737) @[exu_div_ctl.scala 695:95] - node _T_740 = and(_T_739, _T_738) @[exu_div_ctl.scala 695:95] - node _T_741 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_742 = eq(_T_741, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_743 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:58] - node _T_744 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 696:58] - node _T_745 = and(_T_742, _T_743) @[exu_div_ctl.scala 696:95] - node _T_746 = and(_T_745, _T_744) @[exu_div_ctl.scala 696:95] - node _T_747 = and(_T_740, _T_746) @[exu_div_ctl.scala 697:11] - node _T_748 = or(_T_734, _T_747) @[exu_div_ctl.scala 708:45] - node _T_749 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_750 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_751 = eq(_T_750, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_752 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_753 = eq(_T_752, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_754 = and(_T_751, _T_753) @[exu_div_ctl.scala 696:95] - node _T_755 = and(_T_749, _T_754) @[exu_div_ctl.scala 697:11] - node _T_756 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 708:121] - node _T_757 = eq(_T_756, UInt<1>("h00")) @[exu_div_ctl.scala 708:116] - node _T_758 = and(_T_755, _T_757) @[exu_div_ctl.scala 708:114] - node _T_759 = or(_T_748, _T_758) @[exu_div_ctl.scala 708:86] - node _T_760 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_761 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_762 = eq(_T_761, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_763 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_764 = eq(_T_763, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_765 = and(_T_762, _T_764) @[exu_div_ctl.scala 696:95] - node _T_766 = and(_T_760, _T_765) @[exu_div_ctl.scala 697:11] - node _T_767 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 709:40] - node _T_768 = eq(_T_767, UInt<1>("h00")) @[exu_div_ctl.scala 709:35] - node _T_769 = and(_T_766, _T_768) @[exu_div_ctl.scala 709:33] - node _T_770 = or(_T_759, _T_769) @[exu_div_ctl.scala 708:129] - node _T_771 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_772 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_773 = eq(_T_772, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_774 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_775 = eq(_T_774, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_776 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_777 = eq(_T_776, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_778 = and(_T_773, _T_775) @[exu_div_ctl.scala 696:95] - node _T_779 = and(_T_778, _T_777) @[exu_div_ctl.scala 696:95] - node _T_780 = and(_T_771, _T_779) @[exu_div_ctl.scala 697:11] - node _T_781 = or(_T_770, _T_780) @[exu_div_ctl.scala 709:47] - node _T_782 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:75] - node _T_783 = eq(_T_782, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_784 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_785 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:75] - node _T_786 = eq(_T_785, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_787 = and(_T_783, _T_784) @[exu_div_ctl.scala 695:95] - node _T_788 = and(_T_787, _T_786) @[exu_div_ctl.scala 695:95] - node _T_789 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_790 = eq(_T_789, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_791 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_792 = eq(_T_791, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_793 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:58] - node _T_794 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 696:58] - node _T_795 = and(_T_790, _T_792) @[exu_div_ctl.scala 696:95] - node _T_796 = and(_T_795, _T_793) @[exu_div_ctl.scala 696:95] - node _T_797 = and(_T_796, _T_794) @[exu_div_ctl.scala 696:95] - node _T_798 = and(_T_788, _T_797) @[exu_div_ctl.scala 697:11] - node _T_799 = or(_T_781, _T_798) @[exu_div_ctl.scala 709:88] - node _T_800 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:75] - node _T_801 = eq(_T_800, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_802 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_803 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_804 = and(_T_801, _T_802) @[exu_div_ctl.scala 695:95] - node _T_805 = and(_T_804, _T_803) @[exu_div_ctl.scala 695:95] - node _T_806 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_807 = eq(_T_806, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_808 = and(_T_805, _T_807) @[exu_div_ctl.scala 697:11] - node _T_809 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 710:43] - node _T_810 = eq(_T_809, UInt<1>("h00")) @[exu_div_ctl.scala 710:38] - node _T_811 = and(_T_808, _T_810) @[exu_div_ctl.scala 710:36] - node _T_812 = or(_T_799, _T_811) @[exu_div_ctl.scala 709:131] - node _T_813 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_814 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_815 = eq(_T_814, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_816 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_817 = eq(_T_816, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_818 = and(_T_815, _T_817) @[exu_div_ctl.scala 696:95] - node _T_819 = and(_T_813, _T_818) @[exu_div_ctl.scala 697:11] - node _T_820 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 710:83] - node _T_821 = eq(_T_820, UInt<1>("h00")) @[exu_div_ctl.scala 710:78] - node _T_822 = and(_T_819, _T_821) @[exu_div_ctl.scala 710:76] - node _T_823 = or(_T_812, _T_822) @[exu_div_ctl.scala 710:47] - node _T_824 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_825 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:75] - node _T_826 = eq(_T_825, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_827 = and(_T_824, _T_826) @[exu_div_ctl.scala 695:95] - node _T_828 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_829 = eq(_T_828, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_830 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:58] - node _T_831 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:58] - node _T_832 = and(_T_829, _T_830) @[exu_div_ctl.scala 696:95] - node _T_833 = and(_T_832, _T_831) @[exu_div_ctl.scala 696:95] - node _T_834 = and(_T_827, _T_833) @[exu_div_ctl.scala 697:11] - node _T_835 = or(_T_823, _T_834) @[exu_div_ctl.scala 710:88] - node _T_836 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:75] - node _T_837 = eq(_T_836, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_838 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_839 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_840 = and(_T_837, _T_838) @[exu_div_ctl.scala 695:95] - node _T_841 = and(_T_840, _T_839) @[exu_div_ctl.scala 695:95] - node _T_842 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_843 = eq(_T_842, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_844 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:58] - node _T_845 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_846 = eq(_T_845, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_847 = and(_T_843, _T_844) @[exu_div_ctl.scala 696:95] - node _T_848 = and(_T_847, _T_846) @[exu_div_ctl.scala 696:95] - node _T_849 = and(_T_841, _T_848) @[exu_div_ctl.scala 697:11] - node _T_850 = or(_T_835, _T_849) @[exu_div_ctl.scala 710:131] - node _T_851 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:75] - node _T_852 = eq(_T_851, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_853 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_854 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_855 = and(_T_852, _T_853) @[exu_div_ctl.scala 695:95] - node _T_856 = and(_T_855, _T_854) @[exu_div_ctl.scala 695:95] - node _T_857 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_858 = eq(_T_857, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_859 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_860 = eq(_T_859, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_861 = and(_T_858, _T_860) @[exu_div_ctl.scala 696:95] - node _T_862 = and(_T_856, _T_861) @[exu_div_ctl.scala 697:11] - node _T_863 = or(_T_850, _T_862) @[exu_div_ctl.scala 711:47] - node _T_864 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_865 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:75] - node _T_866 = eq(_T_865, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_867 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:75] - node _T_868 = eq(_T_867, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_869 = and(_T_864, _T_866) @[exu_div_ctl.scala 695:95] - node _T_870 = and(_T_869, _T_868) @[exu_div_ctl.scala 695:95] - node _T_871 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_872 = eq(_T_871, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_873 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:58] - node _T_874 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 696:58] - node _T_875 = and(_T_872, _T_873) @[exu_div_ctl.scala 696:95] - node _T_876 = and(_T_875, _T_874) @[exu_div_ctl.scala 696:95] - node _T_877 = and(_T_870, _T_876) @[exu_div_ctl.scala 697:11] - node _T_878 = or(_T_863, _T_877) @[exu_div_ctl.scala 711:88] - node _T_879 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:75] - node _T_880 = eq(_T_879, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_881 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_882 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_883 = and(_T_880, _T_881) @[exu_div_ctl.scala 695:95] - node _T_884 = and(_T_883, _T_882) @[exu_div_ctl.scala 695:95] - node _T_885 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_886 = eq(_T_885, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_887 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_888 = eq(_T_887, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_889 = and(_T_886, _T_888) @[exu_div_ctl.scala 696:95] - node _T_890 = and(_T_884, _T_889) @[exu_div_ctl.scala 697:11] - node _T_891 = or(_T_878, _T_890) @[exu_div_ctl.scala 711:131] - node _T_892 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_893 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_894 = and(_T_892, _T_893) @[exu_div_ctl.scala 695:95] - node _T_895 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_896 = eq(_T_895, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_897 = and(_T_894, _T_896) @[exu_div_ctl.scala 697:11] - node _T_898 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 712:82] - node _T_899 = eq(_T_898, UInt<1>("h00")) @[exu_div_ctl.scala 712:77] - node _T_900 = and(_T_897, _T_899) @[exu_div_ctl.scala 712:75] - node _T_901 = or(_T_891, _T_900) @[exu_div_ctl.scala 712:47] - node _T_902 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:75] - node _T_903 = eq(_T_902, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_904 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_905 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_906 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_907 = and(_T_903, _T_904) @[exu_div_ctl.scala 695:95] - node _T_908 = and(_T_907, _T_905) @[exu_div_ctl.scala 695:95] - node _T_909 = and(_T_908, _T_906) @[exu_div_ctl.scala 695:95] - node _T_910 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_911 = eq(_T_910, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_912 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:58] - node _T_913 = and(_T_911, _T_912) @[exu_div_ctl.scala 696:95] - node _T_914 = and(_T_909, _T_913) @[exu_div_ctl.scala 697:11] - node _T_915 = or(_T_901, _T_914) @[exu_div_ctl.scala 712:88] - node _T_916 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_917 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_918 = and(_T_916, _T_917) @[exu_div_ctl.scala 695:95] - node _T_919 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:58] - node _T_920 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_921 = eq(_T_920, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_922 = and(_T_919, _T_921) @[exu_div_ctl.scala 696:95] - node _T_923 = and(_T_918, _T_922) @[exu_div_ctl.scala 697:11] - node _T_924 = or(_T_915, _T_923) @[exu_div_ctl.scala 712:131] - node _T_925 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_926 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_927 = and(_T_925, _T_926) @[exu_div_ctl.scala 695:95] - node _T_928 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:58] - node _T_929 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_930 = eq(_T_929, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_931 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_932 = eq(_T_931, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_933 = and(_T_928, _T_930) @[exu_div_ctl.scala 696:95] - node _T_934 = and(_T_933, _T_932) @[exu_div_ctl.scala 696:95] - node _T_935 = and(_T_927, _T_934) @[exu_div_ctl.scala 697:11] - node _T_936 = or(_T_924, _T_935) @[exu_div_ctl.scala 713:47] - node _T_937 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_938 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_939 = and(_T_937, _T_938) @[exu_div_ctl.scala 695:95] - node _T_940 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_941 = eq(_T_940, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_942 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_943 = eq(_T_942, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_944 = and(_T_941, _T_943) @[exu_div_ctl.scala 696:95] - node _T_945 = and(_T_939, _T_944) @[exu_div_ctl.scala 697:11] - node _T_946 = or(_T_936, _T_945) @[exu_div_ctl.scala 713:88] - node _T_947 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_948 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:75] - node _T_949 = eq(_T_948, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_950 = and(_T_947, _T_949) @[exu_div_ctl.scala 695:95] - node _T_951 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_952 = eq(_T_951, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_953 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:58] - node _T_954 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:58] - node _T_955 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 696:58] - node _T_956 = and(_T_952, _T_953) @[exu_div_ctl.scala 696:95] - node _T_957 = and(_T_956, _T_954) @[exu_div_ctl.scala 696:95] - node _T_958 = and(_T_957, _T_955) @[exu_div_ctl.scala 696:95] - node _T_959 = and(_T_950, _T_958) @[exu_div_ctl.scala 697:11] - node _T_960 = or(_T_946, _T_959) @[exu_div_ctl.scala 713:131] - node _T_961 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_962 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_963 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_964 = and(_T_961, _T_962) @[exu_div_ctl.scala 695:95] - node _T_965 = and(_T_964, _T_963) @[exu_div_ctl.scala 695:95] - node _T_966 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:58] - node _T_967 = and(_T_965, _T_966) @[exu_div_ctl.scala 697:11] - node _T_968 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 714:84] - node _T_969 = eq(_T_968, UInt<1>("h00")) @[exu_div_ctl.scala 714:79] - node _T_970 = and(_T_967, _T_969) @[exu_div_ctl.scala 714:77] - node _T_971 = or(_T_960, _T_970) @[exu_div_ctl.scala 714:47] - node _T_972 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_973 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_974 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_975 = and(_T_972, _T_973) @[exu_div_ctl.scala 695:95] - node _T_976 = and(_T_975, _T_974) @[exu_div_ctl.scala 695:95] - node _T_977 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:58] - node _T_978 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_979 = eq(_T_978, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_980 = and(_T_977, _T_979) @[exu_div_ctl.scala 696:95] - node _T_981 = and(_T_976, _T_980) @[exu_div_ctl.scala 697:11] - node _T_982 = or(_T_971, _T_981) @[exu_div_ctl.scala 714:88] - node _T_983 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_984 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_985 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_986 = and(_T_983, _T_984) @[exu_div_ctl.scala 695:95] - node _T_987 = and(_T_986, _T_985) @[exu_div_ctl.scala 695:95] - node _T_988 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:58] - node _T_989 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:75] - node _T_990 = eq(_T_989, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_991 = and(_T_988, _T_990) @[exu_div_ctl.scala 696:95] - node _T_992 = and(_T_987, _T_991) @[exu_div_ctl.scala 697:11] - node _T_993 = or(_T_982, _T_992) @[exu_div_ctl.scala 714:131] - node _T_994 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_995 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:75] - node _T_996 = eq(_T_995, UInt<1>("h00")) @[exu_div_ctl.scala 695:70] - node _T_997 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_998 = and(_T_994, _T_996) @[exu_div_ctl.scala 695:95] - node _T_999 = and(_T_998, _T_997) @[exu_div_ctl.scala 695:95] - node _T_1000 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:75] - node _T_1001 = eq(_T_1000, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_1002 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 696:58] - node _T_1003 = and(_T_1001, _T_1002) @[exu_div_ctl.scala 696:95] - node _T_1004 = and(_T_999, _T_1003) @[exu_div_ctl.scala 697:11] - node _T_1005 = or(_T_993, _T_1004) @[exu_div_ctl.scala 715:47] - node _T_1006 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_1007 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_1008 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_1009 = and(_T_1006, _T_1007) @[exu_div_ctl.scala 695:95] - node _T_1010 = and(_T_1009, _T_1008) @[exu_div_ctl.scala 695:95] - node _T_1011 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_1012 = eq(_T_1011, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_1013 = and(_T_1010, _T_1012) @[exu_div_ctl.scala 697:11] - node _T_1014 = or(_T_1005, _T_1013) @[exu_div_ctl.scala 715:88] - node _T_1015 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_1016 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 695:58] - node _T_1017 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_1018 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 695:58] - node _T_1019 = and(_T_1015, _T_1016) @[exu_div_ctl.scala 695:95] - node _T_1020 = and(_T_1019, _T_1017) @[exu_div_ctl.scala 695:95] - node _T_1021 = and(_T_1020, _T_1018) @[exu_div_ctl.scala 695:95] - node _T_1022 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 696:58] - node _T_1023 = and(_T_1021, _T_1022) @[exu_div_ctl.scala 697:11] - node _T_1024 = or(_T_1014, _T_1023) @[exu_div_ctl.scala 715:131] - node _T_1025 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 695:58] - node _T_1026 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 695:58] - node _T_1027 = and(_T_1025, _T_1026) @[exu_div_ctl.scala 695:95] - node _T_1028 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 696:75] - node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[exu_div_ctl.scala 696:70] - node _T_1030 = and(_T_1027, _T_1029) @[exu_div_ctl.scala 697:11] - node _T_1031 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 716:81] - node _T_1032 = eq(_T_1031, UInt<1>("h00")) @[exu_div_ctl.scala 716:76] - node _T_1033 = and(_T_1030, _T_1032) @[exu_div_ctl.scala 716:74] - node _T_1034 = or(_T_1024, _T_1033) @[exu_div_ctl.scala 716:47] - node _T_1035 = cat(_T_723, _T_1034) @[Cat.scala 29:58] - node _T_1036 = cat(_T_589, _T_620) @[Cat.scala 29:58] - node _T_1037 = cat(_T_1036, _T_1035) @[Cat.scala 29:58] - smallnum <= _T_1037 @[exu_div_ctl.scala 699:12] - node _T_1038 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 718:50] - node shortq_dividend = cat(dividend_sign_ff, _T_1038) @[Cat.scala 29:58] - inst a_enc of exu_div_cls @[exu_div_ctl.scala 719:21] + q_in <= _T_573 @[Mux.scala 27:72] + node _T_574 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 696:31] + node _T_575 = and(finish_ff, _T_574) @[exu_div_ctl.scala 696:29] + io.valid_out <= _T_575 @[exu_div_ctl.scala 696:16] + node _T_576 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 698:6] + node _T_577 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 698:16] + node _T_578 = and(_T_576, _T_577) @[exu_div_ctl.scala 698:14] + node _T_579 = bits(_T_578, 0, 0) @[exu_div_ctl.scala 698:40] + node _T_580 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 699:48] + node _T_581 = mux(_T_579, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_582 = mux(rem_ff, _T_580, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_583 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_584 = or(_T_581, _T_582) @[Mux.scala 27:72] + node _T_585 = or(_T_584, _T_583) @[Mux.scala 27:72] + wire _T_586 : UInt<32> @[Mux.scala 27:72] + _T_586 <= _T_585 @[Mux.scala 27:72] + io.data_out <= _T_586 @[exu_div_ctl.scala 697:15] + node _T_587 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_588 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_589 = eq(_T_588, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_590 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_591 = eq(_T_590, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_592 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_593 = eq(_T_592, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_594 = and(_T_589, _T_591) @[exu_div_ctl.scala 704:95] + node _T_595 = and(_T_594, _T_593) @[exu_div_ctl.scala 704:95] + node _T_596 = and(_T_587, _T_595) @[exu_div_ctl.scala 705:11] + node _T_597 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_598 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_599 = eq(_T_598, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_600 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_601 = eq(_T_600, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_602 = and(_T_599, _T_601) @[exu_div_ctl.scala 704:95] + node _T_603 = and(_T_597, _T_602) @[exu_div_ctl.scala 705:11] + node _T_604 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 710:38] + node _T_605 = eq(_T_604, UInt<1>("h00")) @[exu_div_ctl.scala 710:33] + node _T_606 = and(_T_603, _T_605) @[exu_div_ctl.scala 710:31] + node _T_607 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_608 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_609 = eq(_T_608, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_610 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_611 = eq(_T_610, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_612 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_613 = eq(_T_612, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_614 = and(_T_609, _T_611) @[exu_div_ctl.scala 704:95] + node _T_615 = and(_T_614, _T_613) @[exu_div_ctl.scala 704:95] + node _T_616 = and(_T_607, _T_615) @[exu_div_ctl.scala 705:11] + node _T_617 = or(_T_606, _T_616) @[exu_div_ctl.scala 710:42] + node _T_618 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_619 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_620 = and(_T_618, _T_619) @[exu_div_ctl.scala 703:95] + node _T_621 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_622 = eq(_T_621, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_623 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_624 = eq(_T_623, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_625 = and(_T_622, _T_624) @[exu_div_ctl.scala 704:95] + node _T_626 = and(_T_620, _T_625) @[exu_div_ctl.scala 705:11] + node _T_627 = or(_T_617, _T_626) @[exu_div_ctl.scala 710:75] + node _T_628 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_629 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_631 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_632 = eq(_T_631, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_633 = and(_T_630, _T_632) @[exu_div_ctl.scala 704:95] + node _T_634 = and(_T_628, _T_633) @[exu_div_ctl.scala 705:11] + node _T_635 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 712:38] + node _T_636 = eq(_T_635, UInt<1>("h00")) @[exu_div_ctl.scala 712:33] + node _T_637 = and(_T_634, _T_636) @[exu_div_ctl.scala 712:31] + node _T_638 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_639 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_641 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_642 = eq(_T_641, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_643 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_644 = eq(_T_643, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_645 = and(_T_640, _T_642) @[exu_div_ctl.scala 704:95] + node _T_646 = and(_T_645, _T_644) @[exu_div_ctl.scala 704:95] + node _T_647 = and(_T_638, _T_646) @[exu_div_ctl.scala 705:11] + node _T_648 = or(_T_637, _T_647) @[exu_div_ctl.scala 712:42] + node _T_649 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_650 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_651 = eq(_T_650, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_652 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_653 = eq(_T_652, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_654 = and(_T_651, _T_653) @[exu_div_ctl.scala 704:95] + node _T_655 = and(_T_649, _T_654) @[exu_div_ctl.scala 705:11] + node _T_656 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 712:113] + node _T_657 = eq(_T_656, UInt<1>("h00")) @[exu_div_ctl.scala 712:108] + node _T_658 = and(_T_655, _T_657) @[exu_div_ctl.scala 712:106] + node _T_659 = or(_T_648, _T_658) @[exu_div_ctl.scala 712:78] + node _T_660 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_661 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:75] + node _T_662 = eq(_T_661, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_663 = and(_T_660, _T_662) @[exu_div_ctl.scala 703:95] + node _T_664 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_665 = eq(_T_664, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_666 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_667 = eq(_T_666, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_668 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:58] + node _T_669 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 704:58] + node _T_670 = and(_T_665, _T_667) @[exu_div_ctl.scala 704:95] + node _T_671 = and(_T_670, _T_668) @[exu_div_ctl.scala 704:95] + node _T_672 = and(_T_671, _T_669) @[exu_div_ctl.scala 704:95] + node _T_673 = and(_T_663, _T_672) @[exu_div_ctl.scala 705:11] + node _T_674 = or(_T_659, _T_673) @[exu_div_ctl.scala 712:117] + node _T_675 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:75] + node _T_676 = eq(_T_675, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_677 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_678 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_679 = and(_T_676, _T_677) @[exu_div_ctl.scala 703:95] + node _T_680 = and(_T_679, _T_678) @[exu_div_ctl.scala 703:95] + node _T_681 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_682 = eq(_T_681, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_683 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_684 = eq(_T_683, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_685 = and(_T_682, _T_684) @[exu_div_ctl.scala 704:95] + node _T_686 = and(_T_680, _T_685) @[exu_div_ctl.scala 705:11] + node _T_687 = or(_T_674, _T_686) @[exu_div_ctl.scala 713:44] + node _T_688 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_689 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_690 = and(_T_688, _T_689) @[exu_div_ctl.scala 703:95] + node _T_691 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_692 = eq(_T_691, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_693 = and(_T_690, _T_692) @[exu_div_ctl.scala 705:11] + node _T_694 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 713:114] + node _T_695 = eq(_T_694, UInt<1>("h00")) @[exu_div_ctl.scala 713:109] + node _T_696 = and(_T_693, _T_695) @[exu_div_ctl.scala 713:107] + node _T_697 = or(_T_687, _T_696) @[exu_div_ctl.scala 713:80] + node _T_698 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_699 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_700 = and(_T_698, _T_699) @[exu_div_ctl.scala 703:95] + node _T_701 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_702 = eq(_T_701, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_703 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:58] + node _T_704 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_705 = eq(_T_704, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_706 = and(_T_702, _T_703) @[exu_div_ctl.scala 704:95] + node _T_707 = and(_T_706, _T_705) @[exu_div_ctl.scala 704:95] + node _T_708 = and(_T_700, _T_707) @[exu_div_ctl.scala 705:11] + node _T_709 = or(_T_697, _T_708) @[exu_div_ctl.scala 713:119] + node _T_710 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_711 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_712 = and(_T_710, _T_711) @[exu_div_ctl.scala 703:95] + node _T_713 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_714 = eq(_T_713, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_715 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_716 = eq(_T_715, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_717 = and(_T_714, _T_716) @[exu_div_ctl.scala 704:95] + node _T_718 = and(_T_712, _T_717) @[exu_div_ctl.scala 705:11] + node _T_719 = or(_T_709, _T_718) @[exu_div_ctl.scala 714:44] + node _T_720 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_721 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_722 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_723 = and(_T_720, _T_721) @[exu_div_ctl.scala 703:95] + node _T_724 = and(_T_723, _T_722) @[exu_div_ctl.scala 703:95] + node _T_725 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_726 = eq(_T_725, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_727 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:58] + node _T_728 = and(_T_726, _T_727) @[exu_div_ctl.scala 704:95] + node _T_729 = and(_T_724, _T_728) @[exu_div_ctl.scala 705:11] + node _T_730 = or(_T_719, _T_729) @[exu_div_ctl.scala 714:79] + node _T_731 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_732 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_733 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_734 = and(_T_731, _T_732) @[exu_div_ctl.scala 703:95] + node _T_735 = and(_T_734, _T_733) @[exu_div_ctl.scala 703:95] + node _T_736 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_737 = eq(_T_736, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_738 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_739 = eq(_T_738, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_740 = and(_T_737, _T_739) @[exu_div_ctl.scala 704:95] + node _T_741 = and(_T_735, _T_740) @[exu_div_ctl.scala 705:11] + node _T_742 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_743 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:75] + node _T_744 = eq(_T_743, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_745 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_746 = and(_T_742, _T_744) @[exu_div_ctl.scala 703:95] + node _T_747 = and(_T_746, _T_745) @[exu_div_ctl.scala 703:95] + node _T_748 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_749 = eq(_T_748, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_750 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:58] + node _T_751 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 704:58] + node _T_752 = and(_T_749, _T_750) @[exu_div_ctl.scala 704:95] + node _T_753 = and(_T_752, _T_751) @[exu_div_ctl.scala 704:95] + node _T_754 = and(_T_747, _T_753) @[exu_div_ctl.scala 705:11] + node _T_755 = or(_T_741, _T_754) @[exu_div_ctl.scala 716:45] + node _T_756 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_757 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_758 = eq(_T_757, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_759 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_760 = eq(_T_759, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_761 = and(_T_758, _T_760) @[exu_div_ctl.scala 704:95] + node _T_762 = and(_T_756, _T_761) @[exu_div_ctl.scala 705:11] + node _T_763 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 716:121] + node _T_764 = eq(_T_763, UInt<1>("h00")) @[exu_div_ctl.scala 716:116] + node _T_765 = and(_T_762, _T_764) @[exu_div_ctl.scala 716:114] + node _T_766 = or(_T_755, _T_765) @[exu_div_ctl.scala 716:86] + node _T_767 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_768 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_769 = eq(_T_768, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_770 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_771 = eq(_T_770, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_772 = and(_T_769, _T_771) @[exu_div_ctl.scala 704:95] + node _T_773 = and(_T_767, _T_772) @[exu_div_ctl.scala 705:11] + node _T_774 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 717:40] + node _T_775 = eq(_T_774, UInt<1>("h00")) @[exu_div_ctl.scala 717:35] + node _T_776 = and(_T_773, _T_775) @[exu_div_ctl.scala 717:33] + node _T_777 = or(_T_766, _T_776) @[exu_div_ctl.scala 716:129] + node _T_778 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_779 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_780 = eq(_T_779, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_781 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_782 = eq(_T_781, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_783 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_784 = eq(_T_783, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_785 = and(_T_780, _T_782) @[exu_div_ctl.scala 704:95] + node _T_786 = and(_T_785, _T_784) @[exu_div_ctl.scala 704:95] + node _T_787 = and(_T_778, _T_786) @[exu_div_ctl.scala 705:11] + node _T_788 = or(_T_777, _T_787) @[exu_div_ctl.scala 717:47] + node _T_789 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:75] + node _T_790 = eq(_T_789, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_791 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_792 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:75] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_794 = and(_T_790, _T_791) @[exu_div_ctl.scala 703:95] + node _T_795 = and(_T_794, _T_793) @[exu_div_ctl.scala 703:95] + node _T_796 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_798 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_799 = eq(_T_798, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_800 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:58] + node _T_801 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 704:58] + node _T_802 = and(_T_797, _T_799) @[exu_div_ctl.scala 704:95] + node _T_803 = and(_T_802, _T_800) @[exu_div_ctl.scala 704:95] + node _T_804 = and(_T_803, _T_801) @[exu_div_ctl.scala 704:95] + node _T_805 = and(_T_795, _T_804) @[exu_div_ctl.scala 705:11] + node _T_806 = or(_T_788, _T_805) @[exu_div_ctl.scala 717:88] + node _T_807 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:75] + node _T_808 = eq(_T_807, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_809 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_810 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_811 = and(_T_808, _T_809) @[exu_div_ctl.scala 703:95] + node _T_812 = and(_T_811, _T_810) @[exu_div_ctl.scala 703:95] + node _T_813 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_814 = eq(_T_813, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_815 = and(_T_812, _T_814) @[exu_div_ctl.scala 705:11] + node _T_816 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 718:43] + node _T_817 = eq(_T_816, UInt<1>("h00")) @[exu_div_ctl.scala 718:38] + node _T_818 = and(_T_815, _T_817) @[exu_div_ctl.scala 718:36] + node _T_819 = or(_T_806, _T_818) @[exu_div_ctl.scala 717:131] + node _T_820 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_821 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_822 = eq(_T_821, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_823 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_824 = eq(_T_823, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_825 = and(_T_822, _T_824) @[exu_div_ctl.scala 704:95] + node _T_826 = and(_T_820, _T_825) @[exu_div_ctl.scala 705:11] + node _T_827 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 718:83] + node _T_828 = eq(_T_827, UInt<1>("h00")) @[exu_div_ctl.scala 718:78] + node _T_829 = and(_T_826, _T_828) @[exu_div_ctl.scala 718:76] + node _T_830 = or(_T_819, _T_829) @[exu_div_ctl.scala 718:47] + node _T_831 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_832 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:75] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_834 = and(_T_831, _T_833) @[exu_div_ctl.scala 703:95] + node _T_835 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_836 = eq(_T_835, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_837 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:58] + node _T_838 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:58] + node _T_839 = and(_T_836, _T_837) @[exu_div_ctl.scala 704:95] + node _T_840 = and(_T_839, _T_838) @[exu_div_ctl.scala 704:95] + node _T_841 = and(_T_834, _T_840) @[exu_div_ctl.scala 705:11] + node _T_842 = or(_T_830, _T_841) @[exu_div_ctl.scala 718:88] + node _T_843 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:75] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_845 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_846 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_847 = and(_T_844, _T_845) @[exu_div_ctl.scala 703:95] + node _T_848 = and(_T_847, _T_846) @[exu_div_ctl.scala 703:95] + node _T_849 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_850 = eq(_T_849, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_851 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:58] + node _T_852 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_853 = eq(_T_852, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_854 = and(_T_850, _T_851) @[exu_div_ctl.scala 704:95] + node _T_855 = and(_T_854, _T_853) @[exu_div_ctl.scala 704:95] + node _T_856 = and(_T_848, _T_855) @[exu_div_ctl.scala 705:11] + node _T_857 = or(_T_842, _T_856) @[exu_div_ctl.scala 718:131] + node _T_858 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:75] + node _T_859 = eq(_T_858, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_860 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_861 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_862 = and(_T_859, _T_860) @[exu_div_ctl.scala 703:95] + node _T_863 = and(_T_862, _T_861) @[exu_div_ctl.scala 703:95] + node _T_864 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_865 = eq(_T_864, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_866 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_868 = and(_T_865, _T_867) @[exu_div_ctl.scala 704:95] + node _T_869 = and(_T_863, _T_868) @[exu_div_ctl.scala 705:11] + node _T_870 = or(_T_857, _T_869) @[exu_div_ctl.scala 719:47] + node _T_871 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_872 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:75] + node _T_873 = eq(_T_872, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_874 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:75] + node _T_875 = eq(_T_874, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_876 = and(_T_871, _T_873) @[exu_div_ctl.scala 703:95] + node _T_877 = and(_T_876, _T_875) @[exu_div_ctl.scala 703:95] + node _T_878 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_879 = eq(_T_878, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_880 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:58] + node _T_881 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 704:58] + node _T_882 = and(_T_879, _T_880) @[exu_div_ctl.scala 704:95] + node _T_883 = and(_T_882, _T_881) @[exu_div_ctl.scala 704:95] + node _T_884 = and(_T_877, _T_883) @[exu_div_ctl.scala 705:11] + node _T_885 = or(_T_870, _T_884) @[exu_div_ctl.scala 719:88] + node _T_886 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:75] + node _T_887 = eq(_T_886, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_888 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_889 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_890 = and(_T_887, _T_888) @[exu_div_ctl.scala 703:95] + node _T_891 = and(_T_890, _T_889) @[exu_div_ctl.scala 703:95] + node _T_892 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_893 = eq(_T_892, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_894 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_896 = and(_T_893, _T_895) @[exu_div_ctl.scala 704:95] + node _T_897 = and(_T_891, _T_896) @[exu_div_ctl.scala 705:11] + node _T_898 = or(_T_885, _T_897) @[exu_div_ctl.scala 719:131] + node _T_899 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_900 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_901 = and(_T_899, _T_900) @[exu_div_ctl.scala 703:95] + node _T_902 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_904 = and(_T_901, _T_903) @[exu_div_ctl.scala 705:11] + node _T_905 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 720:82] + node _T_906 = eq(_T_905, UInt<1>("h00")) @[exu_div_ctl.scala 720:77] + node _T_907 = and(_T_904, _T_906) @[exu_div_ctl.scala 720:75] + node _T_908 = or(_T_898, _T_907) @[exu_div_ctl.scala 720:47] + node _T_909 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:75] + node _T_910 = eq(_T_909, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_911 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_912 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_913 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_914 = and(_T_910, _T_911) @[exu_div_ctl.scala 703:95] + node _T_915 = and(_T_914, _T_912) @[exu_div_ctl.scala 703:95] + node _T_916 = and(_T_915, _T_913) @[exu_div_ctl.scala 703:95] + node _T_917 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_918 = eq(_T_917, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_919 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:58] + node _T_920 = and(_T_918, _T_919) @[exu_div_ctl.scala 704:95] + node _T_921 = and(_T_916, _T_920) @[exu_div_ctl.scala 705:11] + node _T_922 = or(_T_908, _T_921) @[exu_div_ctl.scala 720:88] + node _T_923 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_924 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_925 = and(_T_923, _T_924) @[exu_div_ctl.scala 703:95] + node _T_926 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:58] + node _T_927 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_928 = eq(_T_927, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_929 = and(_T_926, _T_928) @[exu_div_ctl.scala 704:95] + node _T_930 = and(_T_925, _T_929) @[exu_div_ctl.scala 705:11] + node _T_931 = or(_T_922, _T_930) @[exu_div_ctl.scala 720:131] + node _T_932 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_933 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_934 = and(_T_932, _T_933) @[exu_div_ctl.scala 703:95] + node _T_935 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:58] + node _T_936 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_937 = eq(_T_936, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_938 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_939 = eq(_T_938, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_940 = and(_T_935, _T_937) @[exu_div_ctl.scala 704:95] + node _T_941 = and(_T_940, _T_939) @[exu_div_ctl.scala 704:95] + node _T_942 = and(_T_934, _T_941) @[exu_div_ctl.scala 705:11] + node _T_943 = or(_T_931, _T_942) @[exu_div_ctl.scala 721:47] + node _T_944 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_945 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_946 = and(_T_944, _T_945) @[exu_div_ctl.scala 703:95] + node _T_947 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_948 = eq(_T_947, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_949 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_950 = eq(_T_949, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_951 = and(_T_948, _T_950) @[exu_div_ctl.scala 704:95] + node _T_952 = and(_T_946, _T_951) @[exu_div_ctl.scala 705:11] + node _T_953 = or(_T_943, _T_952) @[exu_div_ctl.scala 721:88] + node _T_954 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_955 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:75] + node _T_956 = eq(_T_955, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_957 = and(_T_954, _T_956) @[exu_div_ctl.scala 703:95] + node _T_958 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_959 = eq(_T_958, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_960 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:58] + node _T_961 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:58] + node _T_962 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 704:58] + node _T_963 = and(_T_959, _T_960) @[exu_div_ctl.scala 704:95] + node _T_964 = and(_T_963, _T_961) @[exu_div_ctl.scala 704:95] + node _T_965 = and(_T_964, _T_962) @[exu_div_ctl.scala 704:95] + node _T_966 = and(_T_957, _T_965) @[exu_div_ctl.scala 705:11] + node _T_967 = or(_T_953, _T_966) @[exu_div_ctl.scala 721:131] + node _T_968 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_969 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_970 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_971 = and(_T_968, _T_969) @[exu_div_ctl.scala 703:95] + node _T_972 = and(_T_971, _T_970) @[exu_div_ctl.scala 703:95] + node _T_973 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:58] + node _T_974 = and(_T_972, _T_973) @[exu_div_ctl.scala 705:11] + node _T_975 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 722:84] + node _T_976 = eq(_T_975, UInt<1>("h00")) @[exu_div_ctl.scala 722:79] + node _T_977 = and(_T_974, _T_976) @[exu_div_ctl.scala 722:77] + node _T_978 = or(_T_967, _T_977) @[exu_div_ctl.scala 722:47] + node _T_979 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_980 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_981 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_982 = and(_T_979, _T_980) @[exu_div_ctl.scala 703:95] + node _T_983 = and(_T_982, _T_981) @[exu_div_ctl.scala 703:95] + node _T_984 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:58] + node _T_985 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_986 = eq(_T_985, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_987 = and(_T_984, _T_986) @[exu_div_ctl.scala 704:95] + node _T_988 = and(_T_983, _T_987) @[exu_div_ctl.scala 705:11] + node _T_989 = or(_T_978, _T_988) @[exu_div_ctl.scala 722:88] + node _T_990 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_991 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_992 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_993 = and(_T_990, _T_991) @[exu_div_ctl.scala 703:95] + node _T_994 = and(_T_993, _T_992) @[exu_div_ctl.scala 703:95] + node _T_995 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:58] + node _T_996 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:75] + node _T_997 = eq(_T_996, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_998 = and(_T_995, _T_997) @[exu_div_ctl.scala 704:95] + node _T_999 = and(_T_994, _T_998) @[exu_div_ctl.scala 705:11] + node _T_1000 = or(_T_989, _T_999) @[exu_div_ctl.scala 722:131] + node _T_1001 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_1002 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:75] + node _T_1003 = eq(_T_1002, UInt<1>("h00")) @[exu_div_ctl.scala 703:70] + node _T_1004 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_1005 = and(_T_1001, _T_1003) @[exu_div_ctl.scala 703:95] + node _T_1006 = and(_T_1005, _T_1004) @[exu_div_ctl.scala 703:95] + node _T_1007 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:75] + node _T_1008 = eq(_T_1007, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_1009 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 704:58] + node _T_1010 = and(_T_1008, _T_1009) @[exu_div_ctl.scala 704:95] + node _T_1011 = and(_T_1006, _T_1010) @[exu_div_ctl.scala 705:11] + node _T_1012 = or(_T_1000, _T_1011) @[exu_div_ctl.scala 723:47] + node _T_1013 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_1014 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_1015 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_1016 = and(_T_1013, _T_1014) @[exu_div_ctl.scala 703:95] + node _T_1017 = and(_T_1016, _T_1015) @[exu_div_ctl.scala 703:95] + node _T_1018 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_1020 = and(_T_1017, _T_1019) @[exu_div_ctl.scala 705:11] + node _T_1021 = or(_T_1012, _T_1020) @[exu_div_ctl.scala 723:88] + node _T_1022 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_1023 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 703:58] + node _T_1024 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_1025 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 703:58] + node _T_1026 = and(_T_1022, _T_1023) @[exu_div_ctl.scala 703:95] + node _T_1027 = and(_T_1026, _T_1024) @[exu_div_ctl.scala 703:95] + node _T_1028 = and(_T_1027, _T_1025) @[exu_div_ctl.scala 703:95] + node _T_1029 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 704:58] + node _T_1030 = and(_T_1028, _T_1029) @[exu_div_ctl.scala 705:11] + node _T_1031 = or(_T_1021, _T_1030) @[exu_div_ctl.scala 723:131] + node _T_1032 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 703:58] + node _T_1033 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 703:58] + node _T_1034 = and(_T_1032, _T_1033) @[exu_div_ctl.scala 703:95] + node _T_1035 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 704:75] + node _T_1036 = eq(_T_1035, UInt<1>("h00")) @[exu_div_ctl.scala 704:70] + node _T_1037 = and(_T_1034, _T_1036) @[exu_div_ctl.scala 705:11] + node _T_1038 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 724:81] + node _T_1039 = eq(_T_1038, UInt<1>("h00")) @[exu_div_ctl.scala 724:76] + node _T_1040 = and(_T_1037, _T_1039) @[exu_div_ctl.scala 724:74] + node _T_1041 = or(_T_1031, _T_1040) @[exu_div_ctl.scala 724:47] + node _T_1042 = cat(_T_730, _T_1041) @[Cat.scala 29:58] + node _T_1043 = cat(_T_596, _T_627) @[Cat.scala 29:58] + node _T_1044 = cat(_T_1043, _T_1042) @[Cat.scala 29:58] + smallnum <= _T_1044 @[exu_div_ctl.scala 707:12] + node _T_1045 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 726:50] + node shortq_dividend = cat(dividend_sign_ff, _T_1045) @[Cat.scala 29:58] + inst a_enc of exu_div_cls @[exu_div_ctl.scala 727:21] a_enc.clock <= clock a_enc.reset <= reset - a_enc.io.operand <= shortq_dividend @[exu_div_ctl.scala 720:20] - inst b_enc of exu_div_cls_1 @[exu_div_ctl.scala 722:20] + a_enc.io.operand <= shortq_dividend @[exu_div_ctl.scala 728:20] + inst b_enc of exu_div_cls_1 @[exu_div_ctl.scala 730:20] b_enc.clock <= clock b_enc.reset <= reset - node _T_1039 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 723:27] - b_enc.io.operand <= _T_1039 @[exu_div_ctl.scala 723:20] + node _T_1046 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 731:27] + b_enc.io.operand <= _T_1046 @[exu_div_ctl.scala 731:20] node dw_a_enc = cat(UInt<1>("h00"), a_enc.io.cls) @[Cat.scala 29:58] node dw_b_enc = cat(UInt<1>("h00"), b_enc.io.cls) @[Cat.scala 29:58] - node _T_1040 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] - node _T_1041 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] - node _T_1042 = sub(_T_1040, _T_1041) @[exu_div_ctl.scala 727:41] - node _T_1043 = tail(_T_1042, 1) @[exu_div_ctl.scala 727:41] - node _T_1044 = add(_T_1043, UInt<7>("h01")) @[exu_div_ctl.scala 727:61] - node dw_shortq_raw = tail(_T_1044, 1) @[exu_div_ctl.scala 727:61] - node _T_1045 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 728:33] - node _T_1046 = bits(_T_1045, 0, 0) @[exu_div_ctl.scala 728:43] - node _T_1047 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 728:63] - node shortq = mux(_T_1046, UInt<1>("h00"), _T_1047) @[exu_div_ctl.scala 728:19] - node _T_1048 = bits(shortq, 5, 5) @[exu_div_ctl.scala 729:38] - node _T_1049 = eq(_T_1048, UInt<1>("h00")) @[exu_div_ctl.scala 729:31] - node _T_1050 = and(valid_ff, _T_1049) @[exu_div_ctl.scala 729:29] - node _T_1051 = bits(shortq, 4, 2) @[exu_div_ctl.scala 729:52] - node _T_1052 = eq(_T_1051, UInt<3>("h07")) @[exu_div_ctl.scala 729:58] - node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[exu_div_ctl.scala 729:44] - node _T_1054 = and(_T_1050, _T_1053) @[exu_div_ctl.scala 729:42] - node _T_1055 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 729:75] - node _T_1056 = and(_T_1054, _T_1055) @[exu_div_ctl.scala 729:73] - shortq_enable <= _T_1056 @[exu_div_ctl.scala 729:17] - node _T_1057 = eq(shortq, UInt<5>("h01f")) @[exu_div_ctl.scala 731:58] - node _T_1058 = eq(shortq, UInt<5>("h01e")) @[exu_div_ctl.scala 731:58] - node _T_1059 = eq(shortq, UInt<5>("h01d")) @[exu_div_ctl.scala 731:58] - node _T_1060 = eq(shortq, UInt<5>("h01c")) @[exu_div_ctl.scala 731:58] - node _T_1061 = eq(shortq, UInt<5>("h01b")) @[exu_div_ctl.scala 731:58] - node _T_1062 = eq(shortq, UInt<5>("h01a")) @[exu_div_ctl.scala 731:58] - node _T_1063 = eq(shortq, UInt<5>("h019")) @[exu_div_ctl.scala 731:58] - node _T_1064 = eq(shortq, UInt<5>("h018")) @[exu_div_ctl.scala 731:58] - node _T_1065 = eq(shortq, UInt<5>("h017")) @[exu_div_ctl.scala 731:58] - node _T_1066 = eq(shortq, UInt<5>("h016")) @[exu_div_ctl.scala 731:58] - node _T_1067 = eq(shortq, UInt<5>("h015")) @[exu_div_ctl.scala 731:58] - node _T_1068 = eq(shortq, UInt<5>("h014")) @[exu_div_ctl.scala 731:58] - node _T_1069 = eq(shortq, UInt<5>("h013")) @[exu_div_ctl.scala 731:58] - node _T_1070 = eq(shortq, UInt<5>("h012")) @[exu_div_ctl.scala 731:58] - node _T_1071 = eq(shortq, UInt<5>("h011")) @[exu_div_ctl.scala 731:58] - node _T_1072 = eq(shortq, UInt<5>("h010")) @[exu_div_ctl.scala 731:58] - node _T_1073 = eq(shortq, UInt<4>("h0f")) @[exu_div_ctl.scala 731:58] - node _T_1074 = eq(shortq, UInt<4>("h0e")) @[exu_div_ctl.scala 731:58] - node _T_1075 = eq(shortq, UInt<4>("h0d")) @[exu_div_ctl.scala 731:58] - node _T_1076 = eq(shortq, UInt<4>("h0c")) @[exu_div_ctl.scala 731:58] - node _T_1077 = eq(shortq, UInt<4>("h0b")) @[exu_div_ctl.scala 731:58] - node _T_1078 = eq(shortq, UInt<4>("h0a")) @[exu_div_ctl.scala 731:58] - node _T_1079 = eq(shortq, UInt<4>("h09")) @[exu_div_ctl.scala 731:58] - node _T_1080 = eq(shortq, UInt<4>("h08")) @[exu_div_ctl.scala 731:58] - node _T_1081 = eq(shortq, UInt<3>("h07")) @[exu_div_ctl.scala 731:58] - node _T_1082 = eq(shortq, UInt<3>("h06")) @[exu_div_ctl.scala 731:58] - node _T_1083 = eq(shortq, UInt<3>("h05")) @[exu_div_ctl.scala 731:58] - node _T_1084 = eq(shortq, UInt<3>("h04")) @[exu_div_ctl.scala 731:58] - node _T_1085 = eq(shortq, UInt<2>("h03")) @[exu_div_ctl.scala 731:58] - node _T_1086 = eq(shortq, UInt<2>("h02")) @[exu_div_ctl.scala 731:58] - node _T_1087 = eq(shortq, UInt<1>("h01")) @[exu_div_ctl.scala 731:58] - node _T_1088 = eq(shortq, UInt<1>("h00")) @[exu_div_ctl.scala 731:58] - node _T_1089 = mux(_T_1057, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1090 = mux(_T_1058, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1091 = mux(_T_1059, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1092 = mux(_T_1060, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1093 = mux(_T_1061, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1094 = mux(_T_1062, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1095 = mux(_T_1063, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1096 = mux(_T_1064, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1097 = mux(_T_1065, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1098 = mux(_T_1066, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1099 = mux(_T_1067, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1100 = mux(_T_1068, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1101 = mux(_T_1069, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1102 = mux(_T_1070, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1103 = mux(_T_1071, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1104 = mux(_T_1072, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1105 = mux(_T_1073, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1106 = mux(_T_1074, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1107 = mux(_T_1075, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1108 = mux(_T_1076, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1109 = mux(_T_1077, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1110 = mux(_T_1078, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1111 = mux(_T_1079, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1112 = mux(_T_1080, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1113 = mux(_T_1081, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1114 = mux(_T_1082, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1115 = mux(_T_1083, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1116 = mux(_T_1084, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1117 = mux(_T_1085, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1118 = mux(_T_1086, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1119 = mux(_T_1087, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1120 = mux(_T_1088, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1121 = or(_T_1089, _T_1090) @[Mux.scala 27:72] - node _T_1122 = or(_T_1121, _T_1091) @[Mux.scala 27:72] - node _T_1123 = or(_T_1122, _T_1092) @[Mux.scala 27:72] - node _T_1124 = or(_T_1123, _T_1093) @[Mux.scala 27:72] - node _T_1125 = or(_T_1124, _T_1094) @[Mux.scala 27:72] - node _T_1126 = or(_T_1125, _T_1095) @[Mux.scala 27:72] - node _T_1127 = or(_T_1126, _T_1096) @[Mux.scala 27:72] - node _T_1128 = or(_T_1127, _T_1097) @[Mux.scala 27:72] + node _T_1047 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] + node _T_1048 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] + node _T_1049 = sub(_T_1047, _T_1048) @[exu_div_ctl.scala 735:41] + node _T_1050 = tail(_T_1049, 1) @[exu_div_ctl.scala 735:41] + node _T_1051 = add(_T_1050, UInt<7>("h01")) @[exu_div_ctl.scala 735:61] + node dw_shortq_raw = tail(_T_1051, 1) @[exu_div_ctl.scala 735:61] + node _T_1052 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 736:33] + node _T_1053 = bits(_T_1052, 0, 0) @[exu_div_ctl.scala 736:43] + node _T_1054 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 736:63] + node shortq = mux(_T_1053, UInt<1>("h00"), _T_1054) @[exu_div_ctl.scala 736:19] + node _T_1055 = bits(shortq, 5, 5) @[exu_div_ctl.scala 737:38] + node _T_1056 = eq(_T_1055, UInt<1>("h00")) @[exu_div_ctl.scala 737:31] + node _T_1057 = and(valid_ff, _T_1056) @[exu_div_ctl.scala 737:29] + node _T_1058 = bits(shortq, 4, 2) @[exu_div_ctl.scala 737:52] + node _T_1059 = eq(_T_1058, UInt<3>("h07")) @[exu_div_ctl.scala 737:58] + node _T_1060 = eq(_T_1059, UInt<1>("h00")) @[exu_div_ctl.scala 737:44] + node _T_1061 = and(_T_1057, _T_1060) @[exu_div_ctl.scala 737:42] + node _T_1062 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 737:75] + node _T_1063 = and(_T_1061, _T_1062) @[exu_div_ctl.scala 737:73] + shortq_enable <= _T_1063 @[exu_div_ctl.scala 737:17] + node _T_1064 = eq(shortq, UInt<5>("h01f")) @[exu_div_ctl.scala 739:58] + node _T_1065 = eq(shortq, UInt<5>("h01e")) @[exu_div_ctl.scala 739:58] + node _T_1066 = eq(shortq, UInt<5>("h01d")) @[exu_div_ctl.scala 739:58] + node _T_1067 = eq(shortq, UInt<5>("h01c")) @[exu_div_ctl.scala 739:58] + node _T_1068 = eq(shortq, UInt<5>("h01b")) @[exu_div_ctl.scala 739:58] + node _T_1069 = eq(shortq, UInt<5>("h01a")) @[exu_div_ctl.scala 739:58] + node _T_1070 = eq(shortq, UInt<5>("h019")) @[exu_div_ctl.scala 739:58] + node _T_1071 = eq(shortq, UInt<5>("h018")) @[exu_div_ctl.scala 739:58] + node _T_1072 = eq(shortq, UInt<5>("h017")) @[exu_div_ctl.scala 739:58] + node _T_1073 = eq(shortq, UInt<5>("h016")) @[exu_div_ctl.scala 739:58] + node _T_1074 = eq(shortq, UInt<5>("h015")) @[exu_div_ctl.scala 739:58] + node _T_1075 = eq(shortq, UInt<5>("h014")) @[exu_div_ctl.scala 739:58] + node _T_1076 = eq(shortq, UInt<5>("h013")) @[exu_div_ctl.scala 739:58] + node _T_1077 = eq(shortq, UInt<5>("h012")) @[exu_div_ctl.scala 739:58] + node _T_1078 = eq(shortq, UInt<5>("h011")) @[exu_div_ctl.scala 739:58] + node _T_1079 = eq(shortq, UInt<5>("h010")) @[exu_div_ctl.scala 739:58] + node _T_1080 = eq(shortq, UInt<4>("h0f")) @[exu_div_ctl.scala 739:58] + node _T_1081 = eq(shortq, UInt<4>("h0e")) @[exu_div_ctl.scala 739:58] + node _T_1082 = eq(shortq, UInt<4>("h0d")) @[exu_div_ctl.scala 739:58] + node _T_1083 = eq(shortq, UInt<4>("h0c")) @[exu_div_ctl.scala 739:58] + node _T_1084 = eq(shortq, UInt<4>("h0b")) @[exu_div_ctl.scala 739:58] + node _T_1085 = eq(shortq, UInt<4>("h0a")) @[exu_div_ctl.scala 739:58] + node _T_1086 = eq(shortq, UInt<4>("h09")) @[exu_div_ctl.scala 739:58] + node _T_1087 = eq(shortq, UInt<4>("h08")) @[exu_div_ctl.scala 739:58] + node _T_1088 = eq(shortq, UInt<3>("h07")) @[exu_div_ctl.scala 739:58] + node _T_1089 = eq(shortq, UInt<3>("h06")) @[exu_div_ctl.scala 739:58] + node _T_1090 = eq(shortq, UInt<3>("h05")) @[exu_div_ctl.scala 739:58] + node _T_1091 = eq(shortq, UInt<3>("h04")) @[exu_div_ctl.scala 739:58] + node _T_1092 = eq(shortq, UInt<2>("h03")) @[exu_div_ctl.scala 739:58] + node _T_1093 = eq(shortq, UInt<2>("h02")) @[exu_div_ctl.scala 739:58] + node _T_1094 = eq(shortq, UInt<1>("h01")) @[exu_div_ctl.scala 739:58] + node _T_1095 = eq(shortq, UInt<1>("h00")) @[exu_div_ctl.scala 739:58] + node _T_1096 = mux(_T_1064, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1097 = mux(_T_1065, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1098 = mux(_T_1066, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1099 = mux(_T_1067, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1100 = mux(_T_1068, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1101 = mux(_T_1069, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1102 = mux(_T_1070, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1103 = mux(_T_1071, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1104 = mux(_T_1072, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1105 = mux(_T_1073, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1106 = mux(_T_1074, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1107 = mux(_T_1075, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1108 = mux(_T_1076, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1109 = mux(_T_1077, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1110 = mux(_T_1078, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1111 = mux(_T_1079, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1112 = mux(_T_1080, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1113 = mux(_T_1081, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1114 = mux(_T_1082, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1115 = mux(_T_1083, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1116 = mux(_T_1084, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1117 = mux(_T_1085, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1118 = mux(_T_1086, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1119 = mux(_T_1087, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1120 = mux(_T_1088, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1089, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = mux(_T_1090, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1123 = mux(_T_1091, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1124 = mux(_T_1092, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1125 = mux(_T_1093, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1126 = mux(_T_1094, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1127 = mux(_T_1095, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1128 = or(_T_1096, _T_1097) @[Mux.scala 27:72] node _T_1129 = or(_T_1128, _T_1098) @[Mux.scala 27:72] node _T_1130 = or(_T_1129, _T_1099) @[Mux.scala 27:72] node _T_1131 = or(_T_1130, _T_1100) @[Mux.scala 27:72] @@ -2294,141 +2308,148 @@ circuit exu_div_new_3bit_fullshortq : node _T_1149 = or(_T_1148, _T_1118) @[Mux.scala 27:72] node _T_1150 = or(_T_1149, _T_1119) @[Mux.scala 27:72] node _T_1151 = or(_T_1150, _T_1120) @[Mux.scala 27:72] - wire _T_1152 : UInt<5> @[Mux.scala 27:72] - _T_1152 <= _T_1151 @[Mux.scala 27:72] - shortq_decode <= _T_1152 @[exu_div_ctl.scala 731:17] - node _T_1153 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 732:23] - node _T_1154 = mux(_T_1153, UInt<1>("h00"), shortq_decode) @[exu_div_ctl.scala 732:22] - shortq_shift <= _T_1154 @[exu_div_ctl.scala 732:16] - node _T_1155 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 733:20] - node _T_1156 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 733:30] - node _T_1157 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 733:40] - node _T_1158 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 733:50] - node _T_1159 = cat(_T_1158, b_ff1) @[Cat.scala 29:58] - node _T_1160 = cat(_T_1155, _T_1156) @[Cat.scala 29:58] - node _T_1161 = cat(_T_1160, _T_1157) @[Cat.scala 29:58] - node _T_1162 = cat(_T_1161, _T_1159) @[Cat.scala 29:58] - b_ff <= _T_1162 @[exu_div_ctl.scala 733:8] + node _T_1152 = or(_T_1151, _T_1121) @[Mux.scala 27:72] + node _T_1153 = or(_T_1152, _T_1122) @[Mux.scala 27:72] + node _T_1154 = or(_T_1153, _T_1123) @[Mux.scala 27:72] + node _T_1155 = or(_T_1154, _T_1124) @[Mux.scala 27:72] + node _T_1156 = or(_T_1155, _T_1125) @[Mux.scala 27:72] + node _T_1157 = or(_T_1156, _T_1126) @[Mux.scala 27:72] + node _T_1158 = or(_T_1157, _T_1127) @[Mux.scala 27:72] + wire _T_1159 : UInt<5> @[Mux.scala 27:72] + _T_1159 <= _T_1158 @[Mux.scala 27:72] + shortq_decode <= _T_1159 @[exu_div_ctl.scala 739:17] + node _T_1160 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 740:23] + node _T_1161 = mux(_T_1160, UInt<1>("h00"), shortq_decode) @[exu_div_ctl.scala 740:22] + shortq_shift <= _T_1161 @[exu_div_ctl.scala 740:16] + node _T_1162 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 741:20] + node _T_1163 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 741:30] + node _T_1164 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 741:40] + node _T_1165 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 741:50] + node _T_1166 = cat(_T_1165, b_ff1) @[Cat.scala 29:58] + node _T_1167 = cat(_T_1162, _T_1163) @[Cat.scala 29:58] + node _T_1168 = cat(_T_1167, _T_1164) @[Cat.scala 29:58] + node _T_1169 = cat(_T_1168, _T_1166) @[Cat.scala 29:58] + b_ff <= _T_1169 @[exu_div_ctl.scala 741:8] inst rvclkhdr of rvclkhdr @[lib.scala 390:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 392:18] rvclkhdr.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1163 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1170 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1163 <= valid_ff_in @[Reg.scala 28:23] + _T_1170 <= valid_ff_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - valid_ff <= _T_1163 @[exu_div_ctl.scala 734:12] + valid_ff <= _T_1170 @[exu_div_ctl.scala 742:12] inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 392:18] rvclkhdr_1.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1164 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1171 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1164 <= control_in @[Reg.scala 28:23] + _T_1171 <= control_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - control_ff <= _T_1164 @[exu_div_ctl.scala 735:16] + control_ff <= _T_1171 @[exu_div_ctl.scala 743:16] inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[lib.scala 392:18] rvclkhdr_2.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1165 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1172 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1165 <= by_zero_case @[Reg.scala 28:23] + _T_1172 <= by_zero_case @[Reg.scala 28:23] skip @[Reg.scala 28:19] - by_zero_case_ff <= _T_1165 @[exu_div_ctl.scala 736:19] + by_zero_case_ff <= _T_1172 @[exu_div_ctl.scala 744:19] inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[lib.scala 392:18] rvclkhdr_3.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1166 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1173 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1166 <= shortq_enable @[Reg.scala 28:23] + _T_1173 <= shortq_enable @[Reg.scala 28:23] skip @[Reg.scala 28:19] - shortq_enable_ff <= _T_1166 @[exu_div_ctl.scala 737:20] + shortq_enable_ff <= _T_1173 @[exu_div_ctl.scala 745:20] inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[lib.scala 392:18] rvclkhdr_4.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1167 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1174 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1167 <= shortq_shift @[Reg.scala 28:23] + _T_1174 <= shortq_shift @[Reg.scala 28:23] skip @[Reg.scala 28:19] - shortq_shift_ff <= _T_1167 @[exu_div_ctl.scala 738:19] + shortq_shift_ff <= _T_1174 @[exu_div_ctl.scala 746:19] inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[lib.scala 392:18] rvclkhdr_5.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1168 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1175 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1168 <= finish @[Reg.scala 28:23] + _T_1175 <= finish @[Reg.scala 28:23] skip @[Reg.scala 28:19] - finish_ff <= _T_1168 @[exu_div_ctl.scala 739:13] + finish_ff <= _T_1175 @[exu_div_ctl.scala 747:13] inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[lib.scala 392:18] rvclkhdr_6.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1169 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1176 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1169 <= count_in @[Reg.scala 28:23] + _T_1176 <= count_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - count_ff <= _T_1169 @[exu_div_ctl.scala 740:12] + count_ff <= _T_1176 @[exu_div_ctl.scala 748:12] inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[lib.scala 392:18] rvclkhdr_7.io.en <= a_enable @[lib.scala 393:17] rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1170 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1177 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when a_enable : @[Reg.scala 28:19] - _T_1170 <= a_in @[Reg.scala 28:23] + _T_1177 <= a_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - a_ff <= _T_1170 @[exu_div_ctl.scala 742:8] - node _T_1171 = bits(b_in, 32, 0) @[exu_div_ctl.scala 743:23] + a_ff <= _T_1177 @[exu_div_ctl.scala 750:8] + node _T_1178 = bits(b_in, 32, 0) @[exu_div_ctl.scala 751:23] inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[lib.scala 392:18] rvclkhdr_8.io.en <= b_enable @[lib.scala 393:17] rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1172 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1179 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when b_enable : @[Reg.scala 28:19] - _T_1172 <= _T_1171 @[Reg.scala 28:23] + _T_1179 <= _T_1178 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - b_ff1 <= _T_1172 @[exu_div_ctl.scala 743:9] + b_ff1 <= _T_1179 @[exu_div_ctl.scala 751:9] inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[lib.scala 392:18] rvclkhdr_9.io.en <= rq_enable @[lib.scala 393:17] rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1173 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1180 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when rq_enable : @[Reg.scala 28:19] - _T_1173 <= r_in @[Reg.scala 28:23] + _T_1180 <= r_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - r_ff <= _T_1173 @[exu_div_ctl.scala 744:8] + r_ff <= _T_1180 @[exu_div_ctl.scala 752:8] inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[lib.scala 392:18] rvclkhdr_10.io.en <= rq_enable @[lib.scala 393:17] rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1174 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1181 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when rq_enable : @[Reg.scala 28:19] - _T_1174 <= q_in @[Reg.scala 28:23] + _T_1181 <= q_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - q_ff <= _T_1174 @[exu_div_ctl.scala 745:8] + q_ff <= _T_1181 @[exu_div_ctl.scala 753:8] diff --git a/exu_div_new_3bit_fullshortq.v b/exu_div_new_3bit_fullshortq.v index e243949e..ada5ed22 100644 --- a/exu_div_new_3bit_fullshortq.v +++ b/exu_div_new_3bit_fullshortq.v @@ -2,37 +2,37 @@ module exu_div_cls( input [32:0] io_operand, output [4:0] io_cls ); - wire _T_3 = io_operand[31:30] == 2'h1; // @[exu_div_ctl.scala 775:63] - wire _T_5 = io_operand[31:29] == 3'h1; // @[exu_div_ctl.scala 775:63] - wire _T_7 = io_operand[31:28] == 4'h1; // @[exu_div_ctl.scala 775:63] - wire _T_9 = io_operand[31:27] == 5'h1; // @[exu_div_ctl.scala 775:63] - wire _T_11 = io_operand[31:26] == 6'h1; // @[exu_div_ctl.scala 775:63] - wire _T_13 = io_operand[31:25] == 7'h1; // @[exu_div_ctl.scala 775:63] - wire _T_15 = io_operand[31:24] == 8'h1; // @[exu_div_ctl.scala 775:63] - wire _T_17 = io_operand[31:23] == 9'h1; // @[exu_div_ctl.scala 775:63] - wire _T_19 = io_operand[31:22] == 10'h1; // @[exu_div_ctl.scala 775:63] - wire _T_21 = io_operand[31:21] == 11'h1; // @[exu_div_ctl.scala 775:63] - wire _T_23 = io_operand[31:20] == 12'h1; // @[exu_div_ctl.scala 775:63] - wire _T_25 = io_operand[31:19] == 13'h1; // @[exu_div_ctl.scala 775:63] - wire _T_27 = io_operand[31:18] == 14'h1; // @[exu_div_ctl.scala 775:63] - wire _T_29 = io_operand[31:17] == 15'h1; // @[exu_div_ctl.scala 775:63] - wire _T_31 = io_operand[31:16] == 16'h1; // @[exu_div_ctl.scala 775:63] - wire _T_33 = io_operand[31:15] == 17'h1; // @[exu_div_ctl.scala 775:63] - wire _T_35 = io_operand[31:14] == 18'h1; // @[exu_div_ctl.scala 775:63] - wire _T_37 = io_operand[31:13] == 19'h1; // @[exu_div_ctl.scala 775:63] - wire _T_39 = io_operand[31:12] == 20'h1; // @[exu_div_ctl.scala 775:63] - wire _T_41 = io_operand[31:11] == 21'h1; // @[exu_div_ctl.scala 775:63] - wire _T_43 = io_operand[31:10] == 22'h1; // @[exu_div_ctl.scala 775:63] - wire _T_45 = io_operand[31:9] == 23'h1; // @[exu_div_ctl.scala 775:63] - wire _T_47 = io_operand[31:8] == 24'h1; // @[exu_div_ctl.scala 775:63] - wire _T_49 = io_operand[31:7] == 25'h1; // @[exu_div_ctl.scala 775:63] - wire _T_51 = io_operand[31:6] == 26'h1; // @[exu_div_ctl.scala 775:63] - wire _T_53 = io_operand[31:5] == 27'h1; // @[exu_div_ctl.scala 775:63] - wire _T_55 = io_operand[31:4] == 28'h1; // @[exu_div_ctl.scala 775:63] - wire _T_57 = io_operand[31:3] == 29'h1; // @[exu_div_ctl.scala 775:63] - wire _T_59 = io_operand[31:2] == 30'h1; // @[exu_div_ctl.scala 775:63] - wire _T_61 = io_operand[31:1] == 31'h1; // @[exu_div_ctl.scala 775:63] - wire _T_63 = io_operand[31:0] == 32'h1; // @[exu_div_ctl.scala 775:63] + wire _T_3 = io_operand[31:30] == 2'h1; // @[exu_div_ctl.scala 783:63] + wire _T_5 = io_operand[31:29] == 3'h1; // @[exu_div_ctl.scala 783:63] + wire _T_7 = io_operand[31:28] == 4'h1; // @[exu_div_ctl.scala 783:63] + wire _T_9 = io_operand[31:27] == 5'h1; // @[exu_div_ctl.scala 783:63] + wire _T_11 = io_operand[31:26] == 6'h1; // @[exu_div_ctl.scala 783:63] + wire _T_13 = io_operand[31:25] == 7'h1; // @[exu_div_ctl.scala 783:63] + wire _T_15 = io_operand[31:24] == 8'h1; // @[exu_div_ctl.scala 783:63] + wire _T_17 = io_operand[31:23] == 9'h1; // @[exu_div_ctl.scala 783:63] + wire _T_19 = io_operand[31:22] == 10'h1; // @[exu_div_ctl.scala 783:63] + wire _T_21 = io_operand[31:21] == 11'h1; // @[exu_div_ctl.scala 783:63] + wire _T_23 = io_operand[31:20] == 12'h1; // @[exu_div_ctl.scala 783:63] + wire _T_25 = io_operand[31:19] == 13'h1; // @[exu_div_ctl.scala 783:63] + wire _T_27 = io_operand[31:18] == 14'h1; // @[exu_div_ctl.scala 783:63] + wire _T_29 = io_operand[31:17] == 15'h1; // @[exu_div_ctl.scala 783:63] + wire _T_31 = io_operand[31:16] == 16'h1; // @[exu_div_ctl.scala 783:63] + wire _T_33 = io_operand[31:15] == 17'h1; // @[exu_div_ctl.scala 783:63] + wire _T_35 = io_operand[31:14] == 18'h1; // @[exu_div_ctl.scala 783:63] + wire _T_37 = io_operand[31:13] == 19'h1; // @[exu_div_ctl.scala 783:63] + wire _T_39 = io_operand[31:12] == 20'h1; // @[exu_div_ctl.scala 783:63] + wire _T_41 = io_operand[31:11] == 21'h1; // @[exu_div_ctl.scala 783:63] + wire _T_43 = io_operand[31:10] == 22'h1; // @[exu_div_ctl.scala 783:63] + wire _T_45 = io_operand[31:9] == 23'h1; // @[exu_div_ctl.scala 783:63] + wire _T_47 = io_operand[31:8] == 24'h1; // @[exu_div_ctl.scala 783:63] + wire _T_49 = io_operand[31:7] == 25'h1; // @[exu_div_ctl.scala 783:63] + wire _T_51 = io_operand[31:6] == 26'h1; // @[exu_div_ctl.scala 783:63] + wire _T_53 = io_operand[31:5] == 27'h1; // @[exu_div_ctl.scala 783:63] + wire _T_55 = io_operand[31:4] == 28'h1; // @[exu_div_ctl.scala 783:63] + wire _T_57 = io_operand[31:3] == 29'h1; // @[exu_div_ctl.scala 783:63] + wire _T_59 = io_operand[31:2] == 30'h1; // @[exu_div_ctl.scala 783:63] + wire _T_61 = io_operand[31:1] == 31'h1; // @[exu_div_ctl.scala 783:63] + wire _T_63 = io_operand[31:0] == 32'h1; // @[exu_div_ctl.scala 783:63] wire [1:0] _T_66 = _T_5 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_67 = _T_7 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [2:0] _T_68 = _T_9 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] @@ -97,37 +97,37 @@ module exu_div_cls( wire [4:0] _T_124 = _T_123 | _T_93; // @[Mux.scala 27:72] wire [4:0] _T_125 = _T_124 | _T_94; // @[Mux.scala 27:72] wire [4:0] cls_zeros = _T_125 | _T_95; // @[Mux.scala 27:72] - wire _T_129 = io_operand[31:0] == 32'hffffffff; // @[exu_div_ctl.scala 777:25] - wire _T_137 = io_operand[31:29] == 3'h6; // @[exu_div_ctl.scala 778:76] - wire _T_142 = io_operand[31:28] == 4'he; // @[exu_div_ctl.scala 778:76] - wire _T_147 = io_operand[31:27] == 5'h1e; // @[exu_div_ctl.scala 778:76] - wire _T_152 = io_operand[31:26] == 6'h3e; // @[exu_div_ctl.scala 778:76] - wire _T_157 = io_operand[31:25] == 7'h7e; // @[exu_div_ctl.scala 778:76] - wire _T_162 = io_operand[31:24] == 8'hfe; // @[exu_div_ctl.scala 778:76] - wire _T_167 = io_operand[31:23] == 9'h1fe; // @[exu_div_ctl.scala 778:76] - wire _T_172 = io_operand[31:22] == 10'h3fe; // @[exu_div_ctl.scala 778:76] - wire _T_177 = io_operand[31:21] == 11'h7fe; // @[exu_div_ctl.scala 778:76] - wire _T_182 = io_operand[31:20] == 12'hffe; // @[exu_div_ctl.scala 778:76] - wire _T_187 = io_operand[31:19] == 13'h1ffe; // @[exu_div_ctl.scala 778:76] - wire _T_192 = io_operand[31:18] == 14'h3ffe; // @[exu_div_ctl.scala 778:76] - wire _T_197 = io_operand[31:17] == 15'h7ffe; // @[exu_div_ctl.scala 778:76] - wire _T_202 = io_operand[31:16] == 16'hfffe; // @[exu_div_ctl.scala 778:76] - wire _T_207 = io_operand[31:15] == 17'h1fffe; // @[exu_div_ctl.scala 778:76] - wire _T_212 = io_operand[31:14] == 18'h3fffe; // @[exu_div_ctl.scala 778:76] - wire _T_217 = io_operand[31:13] == 19'h7fffe; // @[exu_div_ctl.scala 778:76] - wire _T_222 = io_operand[31:12] == 20'hffffe; // @[exu_div_ctl.scala 778:76] - wire _T_227 = io_operand[31:11] == 21'h1ffffe; // @[exu_div_ctl.scala 778:76] - wire _T_232 = io_operand[31:10] == 22'h3ffffe; // @[exu_div_ctl.scala 778:76] - wire _T_237 = io_operand[31:9] == 23'h7ffffe; // @[exu_div_ctl.scala 778:76] - wire _T_242 = io_operand[31:8] == 24'hfffffe; // @[exu_div_ctl.scala 778:76] - wire _T_247 = io_operand[31:7] == 25'h1fffffe; // @[exu_div_ctl.scala 778:76] - wire _T_252 = io_operand[31:6] == 26'h3fffffe; // @[exu_div_ctl.scala 778:76] - wire _T_257 = io_operand[31:5] == 27'h7fffffe; // @[exu_div_ctl.scala 778:76] - wire _T_262 = io_operand[31:4] == 28'hffffffe; // @[exu_div_ctl.scala 778:76] - wire _T_267 = io_operand[31:3] == 29'h1ffffffe; // @[exu_div_ctl.scala 778:76] - wire _T_272 = io_operand[31:2] == 30'h3ffffffe; // @[exu_div_ctl.scala 778:76] - wire _T_277 = io_operand[31:1] == 31'h7ffffffe; // @[exu_div_ctl.scala 778:76] - wire _T_282 = io_operand[31:0] == 32'hfffffffe; // @[exu_div_ctl.scala 778:76] + wire _T_129 = io_operand[31:0] == 32'hffffffff; // @[exu_div_ctl.scala 785:25] + wire _T_137 = io_operand[31:29] == 3'h6; // @[exu_div_ctl.scala 786:76] + wire _T_142 = io_operand[31:28] == 4'he; // @[exu_div_ctl.scala 786:76] + wire _T_147 = io_operand[31:27] == 5'h1e; // @[exu_div_ctl.scala 786:76] + wire _T_152 = io_operand[31:26] == 6'h3e; // @[exu_div_ctl.scala 786:76] + wire _T_157 = io_operand[31:25] == 7'h7e; // @[exu_div_ctl.scala 786:76] + wire _T_162 = io_operand[31:24] == 8'hfe; // @[exu_div_ctl.scala 786:76] + wire _T_167 = io_operand[31:23] == 9'h1fe; // @[exu_div_ctl.scala 786:76] + wire _T_172 = io_operand[31:22] == 10'h3fe; // @[exu_div_ctl.scala 786:76] + wire _T_177 = io_operand[31:21] == 11'h7fe; // @[exu_div_ctl.scala 786:76] + wire _T_182 = io_operand[31:20] == 12'hffe; // @[exu_div_ctl.scala 786:76] + wire _T_187 = io_operand[31:19] == 13'h1ffe; // @[exu_div_ctl.scala 786:76] + wire _T_192 = io_operand[31:18] == 14'h3ffe; // @[exu_div_ctl.scala 786:76] + wire _T_197 = io_operand[31:17] == 15'h7ffe; // @[exu_div_ctl.scala 786:76] + wire _T_202 = io_operand[31:16] == 16'hfffe; // @[exu_div_ctl.scala 786:76] + wire _T_207 = io_operand[31:15] == 17'h1fffe; // @[exu_div_ctl.scala 786:76] + wire _T_212 = io_operand[31:14] == 18'h3fffe; // @[exu_div_ctl.scala 786:76] + wire _T_217 = io_operand[31:13] == 19'h7fffe; // @[exu_div_ctl.scala 786:76] + wire _T_222 = io_operand[31:12] == 20'hffffe; // @[exu_div_ctl.scala 786:76] + wire _T_227 = io_operand[31:11] == 21'h1ffffe; // @[exu_div_ctl.scala 786:76] + wire _T_232 = io_operand[31:10] == 22'h3ffffe; // @[exu_div_ctl.scala 786:76] + wire _T_237 = io_operand[31:9] == 23'h7ffffe; // @[exu_div_ctl.scala 786:76] + wire _T_242 = io_operand[31:8] == 24'hfffffe; // @[exu_div_ctl.scala 786:76] + wire _T_247 = io_operand[31:7] == 25'h1fffffe; // @[exu_div_ctl.scala 786:76] + wire _T_252 = io_operand[31:6] == 26'h3fffffe; // @[exu_div_ctl.scala 786:76] + wire _T_257 = io_operand[31:5] == 27'h7fffffe; // @[exu_div_ctl.scala 786:76] + wire _T_262 = io_operand[31:4] == 28'hffffffe; // @[exu_div_ctl.scala 786:76] + wire _T_267 = io_operand[31:3] == 29'h1ffffffe; // @[exu_div_ctl.scala 786:76] + wire _T_272 = io_operand[31:2] == 30'h3ffffffe; // @[exu_div_ctl.scala 786:76] + wire _T_277 = io_operand[31:1] == 31'h7ffffffe; // @[exu_div_ctl.scala 786:76] + wire _T_282 = io_operand[31:0] == 32'hfffffffe; // @[exu_div_ctl.scala 786:76] wire [1:0] _T_286 = _T_142 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_287 = _T_147 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [2:0] _T_288 = _T_152 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] @@ -190,8 +190,8 @@ module exu_div_cls( wire [4:0] _T_342 = _T_341 | _T_312; // @[Mux.scala 27:72] wire [4:0] _T_343 = _T_342 | _T_313; // @[Mux.scala 27:72] wire [4:0] _T_344 = _T_343 | _T_314; // @[Mux.scala 27:72] - wire [4:0] cls_ones = _T_129 ? 5'h1f : _T_344; // @[exu_div_ctl.scala 777:44] - assign io_cls = io_operand[32] ? cls_ones : cls_zeros; // @[exu_div_ctl.scala 779:10] + wire [4:0] cls_ones = _T_129 ? 5'h1f : _T_344; // @[exu_div_ctl.scala 785:44] + assign io_cls = io_operand[32] ? cls_ones : cls_zeros; // @[exu_div_ctl.scala 787:10] endmodule module rvclkhdr( input io_clk, @@ -237,10 +237,10 @@ module exu_div_new_3bit_fullshortq( reg [63:0] _RAND_9; reg [31:0] _RAND_10; `endif // RANDOMIZE_REG_INIT - wire [32:0] a_enc_io_operand; // @[exu_div_ctl.scala 719:21] - wire [4:0] a_enc_io_cls; // @[exu_div_ctl.scala 719:21] - wire [32:0] b_enc_io_operand; // @[exu_div_ctl.scala 722:20] - wire [4:0] b_enc_io_cls; // @[exu_div_ctl.scala 722:20] + wire [32:0] a_enc_io_operand; // @[exu_div_ctl.scala 727:21] + wire [4:0] a_enc_io_cls; // @[exu_div_ctl.scala 727:21] + wire [32:0] b_enc_io_operand; // @[exu_div_ctl.scala 730:20] + wire [4:0] b_enc_io_cls; // @[exu_div_ctl.scala 730:20] wire rvclkhdr_io_clk; // @[lib.scala 390:23] wire rvclkhdr_io_en; // @[lib.scala 390:23] wire rvclkhdr_1_io_clk; // @[lib.scala 390:23] @@ -263,571 +263,571 @@ module exu_div_new_3bit_fullshortq( wire rvclkhdr_9_io_en; // @[lib.scala 390:23] wire rvclkhdr_10_io_clk; // @[lib.scala 390:23] wire rvclkhdr_10_io_en; // @[lib.scala 390:23] - wire _T = ~io_cancel; // @[exu_div_ctl.scala 605:35] - wire valid_ff_in = io_valid_in & _T; // @[exu_div_ctl.scala 605:33] - wire _T_1 = ~io_valid_in; // @[exu_div_ctl.scala 606:35] + wire _T = ~io_cancel; // @[exu_div_ctl.scala 612:35] + wire valid_ff_in = io_valid_in & _T; // @[exu_div_ctl.scala 612:33] + wire _T_1 = ~io_valid_in; // @[exu_div_ctl.scala 613:35] reg [2:0] control_ff; // @[Reg.scala 27:20] - wire _T_3 = _T_1 & control_ff[2]; // @[exu_div_ctl.scala 606:48] - wire _T_4 = io_valid_in & io_signed_in; // @[exu_div_ctl.scala 606:80] - wire _T_6 = _T_4 & io_dividend_in[31]; // @[exu_div_ctl.scala 606:96] - wire _T_7 = _T_3 | _T_6; // @[exu_div_ctl.scala 606:65] - wire _T_10 = _T_1 & control_ff[1]; // @[exu_div_ctl.scala 606:133] - wire _T_13 = _T_4 & io_divisor_in[31]; // @[exu_div_ctl.scala 606:181] - wire _T_14 = _T_10 | _T_13; // @[exu_div_ctl.scala 606:150] - wire _T_17 = _T_1 & control_ff[0]; // @[exu_div_ctl.scala 606:218] - wire _T_18 = io_valid_in & io_rem_in; // @[exu_div_ctl.scala 606:250] - wire _T_19 = _T_17 | _T_18; // @[exu_div_ctl.scala 606:235] + wire _T_3 = _T_1 & control_ff[2]; // @[exu_div_ctl.scala 613:48] + wire _T_4 = io_valid_in & io_signed_in; // @[exu_div_ctl.scala 613:80] + wire _T_6 = _T_4 & io_dividend_in[31]; // @[exu_div_ctl.scala 613:96] + wire _T_7 = _T_3 | _T_6; // @[exu_div_ctl.scala 613:65] + wire _T_10 = _T_1 & control_ff[1]; // @[exu_div_ctl.scala 613:133] + wire _T_13 = _T_4 & io_divisor_in[31]; // @[exu_div_ctl.scala 613:181] + wire _T_14 = _T_10 | _T_13; // @[exu_div_ctl.scala 613:150] + wire _T_17 = _T_1 & control_ff[0]; // @[exu_div_ctl.scala 613:218] + wire _T_18 = io_valid_in & io_rem_in; // @[exu_div_ctl.scala 613:250] + wire _T_19 = _T_17 | _T_18; // @[exu_div_ctl.scala 613:235] wire [2:0] control_in = {_T_7,_T_14,_T_19}; // @[Cat.scala 29:58] reg [32:0] b_ff1; // @[Reg.scala 27:20] wire [36:0] b_ff = {b_ff1[32],b_ff1[32],b_ff1[32],b_ff1[32],b_ff1}; // @[Cat.scala 29:58] - wire _T_22 = b_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 610:54] + wire _T_22 = b_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 617:54] reg valid_ff; // @[Reg.scala 27:20] - wire by_zero_case = valid_ff & _T_22; // @[exu_div_ctl.scala 610:40] + wire by_zero_case = valid_ff & _T_22; // @[exu_div_ctl.scala 617:40] reg [32:0] a_ff; // @[Reg.scala 27:20] - wire _T_24 = a_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 612:37] - wire _T_26 = b_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 612:60] - wire _T_27 = _T_24 & _T_26; // @[exu_div_ctl.scala 612:46] - wire _T_28 = ~by_zero_case; // @[exu_div_ctl.scala 612:71] - wire _T_29 = _T_27 & _T_28; // @[exu_div_ctl.scala 612:69] - wire _T_30 = ~control_ff[0]; // @[exu_div_ctl.scala 612:87] - wire _T_31 = _T_29 & _T_30; // @[exu_div_ctl.scala 612:85] - wire _T_32 = _T_31 & valid_ff; // @[exu_div_ctl.scala 612:95] - wire _T_34 = _T_32 & _T; // @[exu_div_ctl.scala 612:106] - wire _T_36 = a_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 613:18] - wire _T_38 = _T_36 & _T_28; // @[exu_div_ctl.scala 613:27] - wire _T_40 = _T_38 & _T_30; // @[exu_div_ctl.scala 613:43] - wire _T_41 = _T_40 & valid_ff; // @[exu_div_ctl.scala 613:53] - wire _T_43 = _T_41 & _T; // @[exu_div_ctl.scala 613:64] - wire smallnum_case = _T_34 | _T_43; // @[exu_div_ctl.scala 612:120] + wire _T_24 = a_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 619:37] + wire _T_26 = b_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 619:60] + wire _T_27 = _T_24 & _T_26; // @[exu_div_ctl.scala 619:46] + wire _T_28 = ~by_zero_case; // @[exu_div_ctl.scala 619:71] + wire _T_29 = _T_27 & _T_28; // @[exu_div_ctl.scala 619:69] + wire _T_30 = ~control_ff[0]; // @[exu_div_ctl.scala 619:87] + wire _T_31 = _T_29 & _T_30; // @[exu_div_ctl.scala 619:85] + wire _T_32 = _T_31 & valid_ff; // @[exu_div_ctl.scala 619:95] + wire _T_34 = _T_32 & _T; // @[exu_div_ctl.scala 619:106] + wire _T_36 = a_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 620:18] + wire _T_38 = _T_36 & _T_28; // @[exu_div_ctl.scala 620:27] + wire _T_40 = _T_38 & _T_30; // @[exu_div_ctl.scala 620:43] + wire _T_41 = _T_40 & valid_ff; // @[exu_div_ctl.scala 620:53] + wire _T_43 = _T_41 & _T; // @[exu_div_ctl.scala 620:64] + wire smallnum_case = _T_34 | _T_43; // @[exu_div_ctl.scala 619:120] reg [6:0] count_ff; // @[Reg.scala 27:20] - wire _T_44 = |count_ff; // @[exu_div_ctl.scala 614:42] + wire _T_44 = |count_ff; // @[exu_div_ctl.scala 621:42] reg shortq_enable_ff; // @[Reg.scala 27:20] - wire running_state = _T_44 | shortq_enable_ff; // @[exu_div_ctl.scala 614:45] - wire _T_45 = io_valid_in | valid_ff; // @[exu_div_ctl.scala 615:43] - wire _T_46 = _T_45 | io_cancel; // @[exu_div_ctl.scala 615:54] - wire _T_47 = _T_46 | running_state; // @[exu_div_ctl.scala 615:66] + wire running_state = _T_44 | shortq_enable_ff; // @[exu_div_ctl.scala 621:45] + wire _T_45 = io_valid_in | valid_ff; // @[exu_div_ctl.scala 622:43] + wire _T_46 = _T_45 | io_cancel; // @[exu_div_ctl.scala 622:54] + wire _T_47 = _T_46 | running_state; // @[exu_div_ctl.scala 622:66] reg finish_ff; // @[Reg.scala 27:20] - wire misc_enable = _T_47 | finish_ff; // @[exu_div_ctl.scala 615:82] - wire _T_48 = smallnum_case | by_zero_case; // @[exu_div_ctl.scala 616:45] - wire _T_49 = count_ff == 7'h21; // @[exu_div_ctl.scala 616:72] - wire finish_raw = _T_48 | _T_49; // @[exu_div_ctl.scala 616:60] - wire finish = finish_raw & _T; // @[exu_div_ctl.scala 617:41] - wire _T_51 = valid_ff | running_state; // @[exu_div_ctl.scala 618:40] - wire _T_52 = ~finish; // @[exu_div_ctl.scala 618:59] - wire _T_53 = _T_51 & _T_52; // @[exu_div_ctl.scala 618:57] - wire _T_54 = ~finish_ff; // @[exu_div_ctl.scala 618:69] - wire _T_55 = _T_53 & _T_54; // @[exu_div_ctl.scala 618:67] - wire _T_57 = _T_55 & _T; // @[exu_div_ctl.scala 618:80] - wire [6:0] _T_1040 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] - wire [6:0] _T_1041 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] - wire [6:0] _T_1043 = _T_1040 - _T_1041; // @[exu_div_ctl.scala 727:41] - wire [6:0] dw_shortq_raw = _T_1043 + 7'h1; // @[exu_div_ctl.scala 727:61] - wire [5:0] shortq = dw_shortq_raw[6] ? 6'h0 : dw_shortq_raw[5:0]; // @[exu_div_ctl.scala 728:19] - wire _T_1049 = ~shortq[5]; // @[exu_div_ctl.scala 729:31] - wire _T_1050 = valid_ff & _T_1049; // @[exu_div_ctl.scala 729:29] - wire _T_1052 = shortq[4:2] == 3'h7; // @[exu_div_ctl.scala 729:58] - wire _T_1053 = ~_T_1052; // @[exu_div_ctl.scala 729:44] - wire _T_1054 = _T_1050 & _T_1053; // @[exu_div_ctl.scala 729:42] - wire shortq_enable = _T_1054 & _T; // @[exu_div_ctl.scala 729:73] - wire _T_58 = ~shortq_enable; // @[exu_div_ctl.scala 618:95] - wire count_enable = _T_57 & _T_58; // @[exu_div_ctl.scala 618:93] + wire misc_enable = _T_47 | finish_ff; // @[exu_div_ctl.scala 622:82] + wire _T_48 = smallnum_case | by_zero_case; // @[exu_div_ctl.scala 623:45] + wire _T_49 = count_ff == 7'h21; // @[exu_div_ctl.scala 623:72] + wire finish_raw = _T_48 | _T_49; // @[exu_div_ctl.scala 623:60] + wire finish = finish_raw & _T; // @[exu_div_ctl.scala 624:41] + wire _T_51 = valid_ff | running_state; // @[exu_div_ctl.scala 625:40] + wire _T_52 = ~finish; // @[exu_div_ctl.scala 625:59] + wire _T_53 = _T_51 & _T_52; // @[exu_div_ctl.scala 625:57] + wire _T_54 = ~finish_ff; // @[exu_div_ctl.scala 625:69] + wire _T_55 = _T_53 & _T_54; // @[exu_div_ctl.scala 625:67] + wire _T_57 = _T_55 & _T; // @[exu_div_ctl.scala 625:80] + wire [6:0] _T_1047 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_1048 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_1050 = _T_1047 - _T_1048; // @[exu_div_ctl.scala 735:41] + wire [6:0] dw_shortq_raw = _T_1050 + 7'h1; // @[exu_div_ctl.scala 735:61] + wire [5:0] shortq = dw_shortq_raw[6] ? 6'h0 : dw_shortq_raw[5:0]; // @[exu_div_ctl.scala 736:19] + wire _T_1056 = ~shortq[5]; // @[exu_div_ctl.scala 737:31] + wire _T_1057 = valid_ff & _T_1056; // @[exu_div_ctl.scala 737:29] + wire _T_1059 = shortq[4:2] == 3'h7; // @[exu_div_ctl.scala 737:58] + wire _T_1060 = ~_T_1059; // @[exu_div_ctl.scala 737:44] + wire _T_1061 = _T_1057 & _T_1060; // @[exu_div_ctl.scala 737:42] + wire shortq_enable = _T_1061 & _T; // @[exu_div_ctl.scala 737:73] + wire _T_58 = ~shortq_enable; // @[exu_div_ctl.scala 625:95] + wire count_enable = _T_57 & _T_58; // @[exu_div_ctl.scala 625:93] wire [6:0] _T_60 = count_enable ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] - wire [6:0] _T_63 = count_ff + 7'h3; // @[exu_div_ctl.scala 619:63] + wire [6:0] _T_63 = count_ff + 7'h3; // @[exu_div_ctl.scala 626:63] reg [4:0] shortq_shift_ff; // @[Reg.scala 27:20] wire [6:0] _T_64 = {2'h0,shortq_shift_ff}; // @[Cat.scala 29:58] - wire [6:0] _T_66 = _T_63 + _T_64; // @[exu_div_ctl.scala 619:88] - wire [6:0] count_in = _T_60 & _T_66; // @[exu_div_ctl.scala 619:51] - wire a_enable = io_valid_in | running_state; // @[exu_div_ctl.scala 620:43] - wire _T_67 = ~shortq_enable_ff; // @[exu_div_ctl.scala 621:47] - wire a_shift = running_state & _T_67; // @[exu_div_ctl.scala 621:45] + wire [6:0] _T_66 = _T_63 + _T_64; // @[exu_div_ctl.scala 626:88] + wire [6:0] count_in = _T_60 & _T_66; // @[exu_div_ctl.scala 626:51] + wire a_enable = io_valid_in | running_state; // @[exu_div_ctl.scala 627:43] + wire _T_67 = ~shortq_enable_ff; // @[exu_div_ctl.scala 628:47] + wire a_shift = running_state & _T_67; // @[exu_div_ctl.scala 628:45] wire [32:0] _T_69 = control_ff[2] ? 33'h1ffffffff : 33'h0; // @[Bitwise.scala 72:12] wire [65:0] _T_70 = {_T_69,a_ff}; // @[Cat.scala 29:58] - wire [96:0] _GEN_11 = {{31'd0}, _T_70}; // @[exu_div_ctl.scala 622:68] - wire [96:0] _T_72 = _GEN_11 << shortq_shift_ff; // @[exu_div_ctl.scala 622:68] - wire _T_73 = control_ff[2] ^ control_ff[1]; // @[exu_div_ctl.scala 623:61] - wire _T_74 = ~_T_73; // @[exu_div_ctl.scala 623:42] - wire b_twos_comp = valid_ff & _T_74; // @[exu_div_ctl.scala 623:40] - wire _T_77 = ~valid_ff; // @[exu_div_ctl.scala 625:30] - wire _T_79 = _T_77 & _T_30; // @[exu_div_ctl.scala 625:40] - wire _T_81 = _T_79 & _T_73; // @[exu_div_ctl.scala 625:50] + wire [96:0] _GEN_11 = {{31'd0}, _T_70}; // @[exu_div_ctl.scala 629:68] + wire [96:0] _T_72 = _GEN_11 << shortq_shift_ff; // @[exu_div_ctl.scala 629:68] + wire _T_73 = control_ff[2] ^ control_ff[1]; // @[exu_div_ctl.scala 630:61] + wire _T_74 = ~_T_73; // @[exu_div_ctl.scala 630:42] + wire b_twos_comp = valid_ff & _T_74; // @[exu_div_ctl.scala 630:40] + wire _T_77 = ~valid_ff; // @[exu_div_ctl.scala 632:30] + wire _T_79 = _T_77 & _T_30; // @[exu_div_ctl.scala 632:40] + wire _T_81 = _T_79 & _T_73; // @[exu_div_ctl.scala 632:50] reg by_zero_case_ff; // @[Reg.scala 27:20] - wire _T_82 = ~by_zero_case_ff; // @[exu_div_ctl.scala 625:92] - wire twos_comp_q_sel = _T_81 & _T_82; // @[exu_div_ctl.scala 625:90] - wire b_enable = io_valid_in | b_twos_comp; // @[exu_div_ctl.scala 626:43] - wire rq_enable = _T_45 | running_state; // @[exu_div_ctl.scala 627:54] - wire _T_84 = valid_ff & control_ff[2]; // @[exu_div_ctl.scala 628:40] - wire r_sign_sel = _T_84 & _T_28; // @[exu_div_ctl.scala 628:59] + wire _T_82 = ~by_zero_case_ff; // @[exu_div_ctl.scala 632:92] + wire twos_comp_q_sel = _T_81 & _T_82; // @[exu_div_ctl.scala 632:90] + wire b_enable = io_valid_in | b_twos_comp; // @[exu_div_ctl.scala 633:43] + wire rq_enable = _T_45 | running_state; // @[exu_div_ctl.scala 634:54] + wire _T_84 = valid_ff & control_ff[2]; // @[exu_div_ctl.scala 635:40] + wire r_sign_sel = _T_84 & _T_28; // @[exu_div_ctl.scala 635:59] reg [32:0] r_ff; // @[Reg.scala 27:20] - wire [36:0] _T_164 = {r_ff[32],r_ff,a_ff[32:30]}; // @[Cat.scala 29:58] - wire [36:0] _T_166 = {b_ff[34:0],2'h0}; // @[Cat.scala 29:58] - wire [36:0] _T_168 = _T_164 + _T_166; // @[exu_div_ctl.scala 643:57] - wire [36:0] _T_170 = {b_ff[35:0],1'h0}; // @[Cat.scala 29:58] - wire [36:0] _T_172 = _T_168 + _T_170; // @[exu_div_ctl.scala 643:84] - wire [36:0] adder7_out = _T_172 + b_ff; // @[exu_div_ctl.scala 643:106] - wire _T_175 = ~adder7_out[36]; // @[exu_div_ctl.scala 644:24] - wire _T_176 = _T_175 ^ control_ff[2]; // @[exu_div_ctl.scala 644:40] - wire _T_178 = a_ff[29:0] == 30'h0; // @[exu_div_ctl.scala 644:75] - wire _T_179 = adder7_out == 37'h0; // @[exu_div_ctl.scala 644:98] - wire _T_180 = _T_178 & _T_179; // @[exu_div_ctl.scala 644:84] - wire _T_181 = _T_176 | _T_180; // @[exu_div_ctl.scala 644:60] - wire _T_183 = ~_T_172[36]; // @[exu_div_ctl.scala 645:23] - wire _T_184 = _T_183 ^ control_ff[2]; // @[exu_div_ctl.scala 645:39] - wire _T_187 = _T_172 == 37'h0; // @[exu_div_ctl.scala 645:97] - wire _T_188 = _T_178 & _T_187; // @[exu_div_ctl.scala 645:83] - wire _T_189 = _T_184 | _T_188; // @[exu_div_ctl.scala 645:59] - wire [36:0] adder5_out = _T_168 + b_ff; // @[exu_div_ctl.scala 641:84] - wire _T_191 = ~adder5_out[36]; // @[exu_div_ctl.scala 646:23] - wire _T_192 = _T_191 ^ control_ff[2]; // @[exu_div_ctl.scala 646:39] - wire _T_195 = adder5_out == 37'h0; // @[exu_div_ctl.scala 646:97] - wire _T_196 = _T_178 & _T_195; // @[exu_div_ctl.scala 646:83] - wire _T_197 = _T_192 | _T_196; // @[exu_div_ctl.scala 646:59] - wire _T_199 = ~_T_168[36]; // @[exu_div_ctl.scala 647:23] - wire _T_200 = _T_199 ^ control_ff[2]; // @[exu_div_ctl.scala 647:39] - wire _T_203 = _T_168 == 37'h0; // @[exu_div_ctl.scala 647:97] - wire _T_204 = _T_178 & _T_203; // @[exu_div_ctl.scala 647:83] - wire _T_205 = _T_200 | _T_204; // @[exu_div_ctl.scala 647:59] - wire [35:0] _T_123 = {r_ff,a_ff[32:30]}; // @[Cat.scala 29:58] - wire [35:0] _T_125 = {b_ff[34:0],1'h0}; // @[Cat.scala 29:58] - wire [35:0] _T_127 = _T_123 + _T_125; // @[exu_div_ctl.scala 639:48] - wire [35:0] adder3_out = _T_127 + b_ff[35:0]; // @[exu_div_ctl.scala 639:70] - wire _T_207 = ~adder3_out[35]; // @[exu_div_ctl.scala 648:23] - wire _T_208 = _T_207 ^ control_ff[2]; // @[exu_div_ctl.scala 648:39] - wire _T_211 = adder3_out == 36'h0; // @[exu_div_ctl.scala 648:97] - wire _T_212 = _T_178 & _T_211; // @[exu_div_ctl.scala 648:83] - wire _T_213 = _T_208 | _T_212; // @[exu_div_ctl.scala 648:59] - wire [34:0] _T_117 = {r_ff[31:0],a_ff[32:30]}; // @[Cat.scala 29:58] - wire [34:0] _T_119 = {b_ff[33:0],1'h0}; // @[Cat.scala 29:58] - wire [34:0] adder2_out = _T_117 + _T_119; // @[exu_div_ctl.scala 638:48] - wire _T_215 = ~adder2_out[34]; // @[exu_div_ctl.scala 649:23] - wire _T_216 = _T_215 ^ control_ff[2]; // @[exu_div_ctl.scala 649:39] - wire _T_219 = adder2_out == 35'h0; // @[exu_div_ctl.scala 649:97] - wire _T_220 = _T_178 & _T_219; // @[exu_div_ctl.scala 649:83] - wire _T_221 = _T_216 | _T_220; // @[exu_div_ctl.scala 649:59] + wire [36:0] _T_170 = {r_ff[32],r_ff,a_ff[32:30]}; // @[Cat.scala 29:58] + wire [36:0] _T_172 = {b_ff[34:0],2'h0}; // @[Cat.scala 29:58] + wire [36:0] _T_174 = _T_170 + _T_172; // @[exu_div_ctl.scala 651:55] + wire [36:0] _T_176 = {b_ff[35:0],1'h0}; // @[Cat.scala 29:58] + wire [36:0] _T_178 = _T_174 + _T_176; // @[exu_div_ctl.scala 651:82] + wire [36:0] adder7_out = _T_178 + b_ff; // @[exu_div_ctl.scala 651:104] + wire _T_182 = ~adder7_out[36]; // @[exu_div_ctl.scala 652:24] + wire _T_183 = _T_182 ^ control_ff[2]; // @[exu_div_ctl.scala 652:40] + wire _T_185 = a_ff[29:0] == 30'h0; // @[exu_div_ctl.scala 652:75] + wire _T_186 = adder7_out == 37'h0; // @[exu_div_ctl.scala 652:98] + wire _T_187 = _T_185 & _T_186; // @[exu_div_ctl.scala 652:84] + wire _T_188 = _T_183 | _T_187; // @[exu_div_ctl.scala 652:60] + wire _T_190 = ~_T_178[36]; // @[exu_div_ctl.scala 653:23] + wire _T_191 = _T_190 ^ control_ff[2]; // @[exu_div_ctl.scala 653:39] + wire _T_194 = _T_178 == 37'h0; // @[exu_div_ctl.scala 653:97] + wire _T_195 = _T_185 & _T_194; // @[exu_div_ctl.scala 653:83] + wire _T_196 = _T_191 | _T_195; // @[exu_div_ctl.scala 653:59] + wire [36:0] adder5_out = _T_174 + b_ff; // @[exu_div_ctl.scala 649:82] + wire _T_198 = ~adder5_out[36]; // @[exu_div_ctl.scala 654:23] + wire _T_199 = _T_198 ^ control_ff[2]; // @[exu_div_ctl.scala 654:39] + wire _T_202 = adder5_out == 37'h0; // @[exu_div_ctl.scala 654:97] + wire _T_203 = _T_185 & _T_202; // @[exu_div_ctl.scala 654:83] + wire _T_204 = _T_199 | _T_203; // @[exu_div_ctl.scala 654:59] + wire _T_206 = ~_T_174[36]; // @[exu_div_ctl.scala 655:23] + wire _T_207 = _T_206 ^ control_ff[2]; // @[exu_div_ctl.scala 655:39] + wire _T_210 = _T_174 == 37'h0; // @[exu_div_ctl.scala 655:97] + wire _T_211 = _T_185 & _T_210; // @[exu_div_ctl.scala 655:83] + wire _T_212 = _T_207 | _T_211; // @[exu_div_ctl.scala 655:59] + wire [35:0] _T_125 = {r_ff,a_ff[32:30]}; // @[Cat.scala 29:58] + wire [35:0] _T_127 = {b_ff[34:0],1'h0}; // @[Cat.scala 29:58] + wire [35:0] _T_129 = _T_125 + _T_127; // @[exu_div_ctl.scala 647:46] + wire [35:0] adder3_out = _T_129 + b_ff[35:0]; // @[exu_div_ctl.scala 647:68] + wire _T_214 = ~adder3_out[35]; // @[exu_div_ctl.scala 656:23] + wire _T_215 = _T_214 ^ control_ff[2]; // @[exu_div_ctl.scala 656:39] + wire _T_218 = adder3_out == 36'h0; // @[exu_div_ctl.scala 656:97] + wire _T_219 = _T_185 & _T_218; // @[exu_div_ctl.scala 656:83] + wire _T_220 = _T_215 | _T_219; // @[exu_div_ctl.scala 656:59] + wire [34:0] _T_118 = {r_ff[31:0],a_ff[32:30]}; // @[Cat.scala 29:58] + wire [34:0] _T_120 = {b_ff[33:0],1'h0}; // @[Cat.scala 29:58] + wire [34:0] adder2_out = _T_118 + _T_120; // @[exu_div_ctl.scala 646:46] + wire _T_222 = ~adder2_out[34]; // @[exu_div_ctl.scala 657:23] + wire _T_223 = _T_222 ^ control_ff[2]; // @[exu_div_ctl.scala 657:39] + wire _T_226 = adder2_out == 35'h0; // @[exu_div_ctl.scala 657:97] + wire _T_227 = _T_185 & _T_226; // @[exu_div_ctl.scala 657:83] + wire _T_228 = _T_223 | _T_227; // @[exu_div_ctl.scala 657:59] wire [33:0] _T_112 = {r_ff[30:0],a_ff[32:30]}; // @[Cat.scala 29:58] - wire [33:0] adder1_out = _T_112 + b_ff[33:0]; // @[exu_div_ctl.scala 637:48] - wire _T_223 = ~adder1_out[33]; // @[exu_div_ctl.scala 650:23] - wire _T_224 = _T_223 ^ control_ff[2]; // @[exu_div_ctl.scala 650:39] - wire _T_227 = adder1_out == 34'h0; // @[exu_div_ctl.scala 650:97] - wire _T_228 = _T_178 & _T_227; // @[exu_div_ctl.scala 650:83] - wire _T_229 = _T_224 | _T_228; // @[exu_div_ctl.scala 650:59] - wire [7:0] quotient_raw = {_T_181,_T_189,_T_197,_T_205,_T_213,_T_221,_T_229,1'h0}; // @[Cat.scala 29:58] - wire _T_239 = quotient_raw[7] | quotient_raw[6]; // @[exu_div_ctl.scala 651:41] - wire _T_241 = _T_239 | quotient_raw[5]; // @[exu_div_ctl.scala 651:60] - wire _T_243 = _T_241 | quotient_raw[4]; // @[exu_div_ctl.scala 651:78] - wire _T_248 = ~quotient_raw[4]; // @[exu_div_ctl.scala 652:63] - wire _T_250 = _T_248 & quotient_raw[3]; // @[exu_div_ctl.scala 652:80] - wire _T_251 = _T_239 | _T_250; // @[exu_div_ctl.scala 652:61] - wire _T_253 = ~quotient_raw[3]; // @[exu_div_ctl.scala 652:101] - wire _T_255 = _T_253 & quotient_raw[2]; // @[exu_div_ctl.scala 652:118] - wire _T_256 = _T_251 | _T_255; // @[exu_div_ctl.scala 652:99] - wire _T_260 = quotient_raw[6] & quotient_raw[5]; // @[exu_div_ctl.scala 653:61] - wire _T_261 = quotient_raw[7] | _T_260; // @[exu_div_ctl.scala 653:42] - wire _T_266 = _T_261 | _T_250; // @[exu_div_ctl.scala 653:79] - wire _T_268 = ~quotient_raw[2]; // @[exu_div_ctl.scala 653:119] - wire _T_270 = _T_268 & quotient_raw[1]; // @[exu_div_ctl.scala 653:136] - wire _T_271 = _T_266 | _T_270; // @[exu_div_ctl.scala 653:117] - wire [2:0] quotient_new = {_T_243,_T_256,_T_271}; // @[Cat.scala 29:58] - wire _T_86 = quotient_new == 3'h0; // @[exu_div_ctl.scala 629:61] - wire _T_87 = running_state & _T_86; // @[exu_div_ctl.scala 629:45] - wire r_restore_sel = _T_87 & _T_67; // @[exu_div_ctl.scala 629:70] - wire _T_89 = quotient_new == 3'h1; // @[exu_div_ctl.scala 630:61] - wire _T_90 = running_state & _T_89; // @[exu_div_ctl.scala 630:45] - wire r_adder1_sel = _T_90 & _T_67; // @[exu_div_ctl.scala 630:70] - wire _T_92 = quotient_new == 3'h2; // @[exu_div_ctl.scala 631:61] - wire _T_93 = running_state & _T_92; // @[exu_div_ctl.scala 631:45] - wire r_adder2_sel = _T_93 & _T_67; // @[exu_div_ctl.scala 631:70] - wire _T_95 = quotient_new == 3'h3; // @[exu_div_ctl.scala 632:61] - wire _T_96 = running_state & _T_95; // @[exu_div_ctl.scala 632:45] - wire r_adder3_sel = _T_96 & _T_67; // @[exu_div_ctl.scala 632:70] - wire _T_98 = quotient_new == 3'h4; // @[exu_div_ctl.scala 633:61] - wire _T_99 = running_state & _T_98; // @[exu_div_ctl.scala 633:45] - wire r_adder4_sel = _T_99 & _T_67; // @[exu_div_ctl.scala 633:70] - wire _T_101 = quotient_new == 3'h5; // @[exu_div_ctl.scala 634:61] - wire _T_102 = running_state & _T_101; // @[exu_div_ctl.scala 634:45] - wire r_adder5_sel = _T_102 & _T_67; // @[exu_div_ctl.scala 634:70] - wire _T_104 = quotient_new == 3'h6; // @[exu_div_ctl.scala 635:61] - wire _T_105 = running_state & _T_104; // @[exu_div_ctl.scala 635:45] - wire r_adder6_sel = _T_105 & _T_67; // @[exu_div_ctl.scala 635:70] - wire _T_107 = quotient_new == 3'h7; // @[exu_div_ctl.scala 636:61] - wire _T_108 = running_state & _T_107; // @[exu_div_ctl.scala 636:45] - wire r_adder7_sel = _T_108 & _T_67; // @[exu_div_ctl.scala 636:70] + wire [33:0] adder1_out = _T_112 + b_ff[33:0]; // @[exu_div_ctl.scala 645:46] + wire _T_230 = ~adder1_out[33]; // @[exu_div_ctl.scala 658:23] + wire _T_231 = _T_230 ^ control_ff[2]; // @[exu_div_ctl.scala 658:39] + wire _T_234 = adder1_out == 34'h0; // @[exu_div_ctl.scala 658:97] + wire _T_235 = _T_185 & _T_234; // @[exu_div_ctl.scala 658:83] + wire _T_236 = _T_231 | _T_235; // @[exu_div_ctl.scala 658:59] + wire [7:0] quotient_raw = {_T_188,_T_196,_T_204,_T_212,_T_220,_T_228,_T_236,1'h0}; // @[Cat.scala 29:58] + wire _T_246 = quotient_raw[7] | quotient_raw[6]; // @[exu_div_ctl.scala 659:41] + wire _T_248 = _T_246 | quotient_raw[5]; // @[exu_div_ctl.scala 659:60] + wire _T_250 = _T_248 | quotient_raw[4]; // @[exu_div_ctl.scala 659:78] + wire _T_255 = ~quotient_raw[4]; // @[exu_div_ctl.scala 660:63] + wire _T_257 = _T_255 & quotient_raw[3]; // @[exu_div_ctl.scala 660:80] + wire _T_258 = _T_246 | _T_257; // @[exu_div_ctl.scala 660:61] + wire _T_260 = ~quotient_raw[3]; // @[exu_div_ctl.scala 660:101] + wire _T_262 = _T_260 & quotient_raw[2]; // @[exu_div_ctl.scala 660:118] + wire _T_263 = _T_258 | _T_262; // @[exu_div_ctl.scala 660:99] + wire _T_267 = quotient_raw[6] & quotient_raw[5]; // @[exu_div_ctl.scala 661:61] + wire _T_268 = quotient_raw[7] | _T_267; // @[exu_div_ctl.scala 661:42] + wire _T_273 = _T_268 | _T_257; // @[exu_div_ctl.scala 661:79] + wire _T_275 = ~quotient_raw[2]; // @[exu_div_ctl.scala 661:119] + wire _T_277 = _T_275 & quotient_raw[1]; // @[exu_div_ctl.scala 661:136] + wire _T_278 = _T_273 | _T_277; // @[exu_div_ctl.scala 661:117] + wire [2:0] quotient_new = {_T_250,_T_263,_T_278}; // @[Cat.scala 29:58] + wire _T_86 = quotient_new == 3'h0; // @[exu_div_ctl.scala 636:61] + wire _T_87 = running_state & _T_86; // @[exu_div_ctl.scala 636:45] + wire r_restore_sel = _T_87 & _T_67; // @[exu_div_ctl.scala 636:70] + wire _T_89 = quotient_new == 3'h1; // @[exu_div_ctl.scala 637:61] + wire _T_90 = running_state & _T_89; // @[exu_div_ctl.scala 637:45] + wire r_adder1_sel = _T_90 & _T_67; // @[exu_div_ctl.scala 637:70] + wire _T_92 = quotient_new == 3'h2; // @[exu_div_ctl.scala 638:61] + wire _T_93 = running_state & _T_92; // @[exu_div_ctl.scala 638:45] + wire r_adder2_sel = _T_93 & _T_67; // @[exu_div_ctl.scala 638:70] + wire _T_95 = quotient_new == 3'h3; // @[exu_div_ctl.scala 639:61] + wire _T_96 = running_state & _T_95; // @[exu_div_ctl.scala 639:45] + wire r_adder3_sel = _T_96 & _T_67; // @[exu_div_ctl.scala 639:70] + wire _T_98 = quotient_new == 3'h4; // @[exu_div_ctl.scala 640:61] + wire _T_99 = running_state & _T_98; // @[exu_div_ctl.scala 640:45] + wire r_adder4_sel = _T_99 & _T_67; // @[exu_div_ctl.scala 640:70] + wire _T_101 = quotient_new == 3'h5; // @[exu_div_ctl.scala 641:61] + wire _T_102 = running_state & _T_101; // @[exu_div_ctl.scala 641:45] + wire r_adder5_sel = _T_102 & _T_67; // @[exu_div_ctl.scala 641:70] + wire _T_104 = quotient_new == 3'h6; // @[exu_div_ctl.scala 642:61] + wire _T_105 = running_state & _T_104; // @[exu_div_ctl.scala 642:45] + wire r_adder6_sel = _T_105 & _T_67; // @[exu_div_ctl.scala 642:70] + wire _T_107 = quotient_new == 3'h7; // @[exu_div_ctl.scala 643:61] + wire _T_108 = running_state & _T_107; // @[exu_div_ctl.scala 643:45] + wire r_adder7_sel = _T_108 & _T_67; // @[exu_div_ctl.scala 643:70] reg [31:0] q_ff; // @[Reg.scala 27:20] - wire [31:0] _T_275 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_276 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] twos_comp_in = _T_275 | _T_276; // @[Mux.scala 27:72] - wire _T_280 = |twos_comp_in[0]; // @[lib.scala 428:35] - wire _T_282 = ~twos_comp_in[1]; // @[lib.scala 428:40] - wire _T_284 = _T_280 ? _T_282 : twos_comp_in[1]; // @[lib.scala 428:23] - wire _T_286 = |twos_comp_in[1:0]; // @[lib.scala 428:35] - wire _T_288 = ~twos_comp_in[2]; // @[lib.scala 428:40] - wire _T_290 = _T_286 ? _T_288 : twos_comp_in[2]; // @[lib.scala 428:23] - wire _T_292 = |twos_comp_in[2:0]; // @[lib.scala 428:35] - wire _T_294 = ~twos_comp_in[3]; // @[lib.scala 428:40] - wire _T_296 = _T_292 ? _T_294 : twos_comp_in[3]; // @[lib.scala 428:23] - wire _T_298 = |twos_comp_in[3:0]; // @[lib.scala 428:35] - wire _T_300 = ~twos_comp_in[4]; // @[lib.scala 428:40] - wire _T_302 = _T_298 ? _T_300 : twos_comp_in[4]; // @[lib.scala 428:23] - wire _T_304 = |twos_comp_in[4:0]; // @[lib.scala 428:35] - wire _T_306 = ~twos_comp_in[5]; // @[lib.scala 428:40] - wire _T_308 = _T_304 ? _T_306 : twos_comp_in[5]; // @[lib.scala 428:23] - wire _T_310 = |twos_comp_in[5:0]; // @[lib.scala 428:35] - wire _T_312 = ~twos_comp_in[6]; // @[lib.scala 428:40] - wire _T_314 = _T_310 ? _T_312 : twos_comp_in[6]; // @[lib.scala 428:23] - wire _T_316 = |twos_comp_in[6:0]; // @[lib.scala 428:35] - wire _T_318 = ~twos_comp_in[7]; // @[lib.scala 428:40] - wire _T_320 = _T_316 ? _T_318 : twos_comp_in[7]; // @[lib.scala 428:23] - wire _T_322 = |twos_comp_in[7:0]; // @[lib.scala 428:35] - wire _T_324 = ~twos_comp_in[8]; // @[lib.scala 428:40] - wire _T_326 = _T_322 ? _T_324 : twos_comp_in[8]; // @[lib.scala 428:23] - wire _T_328 = |twos_comp_in[8:0]; // @[lib.scala 428:35] - wire _T_330 = ~twos_comp_in[9]; // @[lib.scala 428:40] - wire _T_332 = _T_328 ? _T_330 : twos_comp_in[9]; // @[lib.scala 428:23] - wire _T_334 = |twos_comp_in[9:0]; // @[lib.scala 428:35] - wire _T_336 = ~twos_comp_in[10]; // @[lib.scala 428:40] - wire _T_338 = _T_334 ? _T_336 : twos_comp_in[10]; // @[lib.scala 428:23] - wire _T_340 = |twos_comp_in[10:0]; // @[lib.scala 428:35] - wire _T_342 = ~twos_comp_in[11]; // @[lib.scala 428:40] - wire _T_344 = _T_340 ? _T_342 : twos_comp_in[11]; // @[lib.scala 428:23] - wire _T_346 = |twos_comp_in[11:0]; // @[lib.scala 428:35] - wire _T_348 = ~twos_comp_in[12]; // @[lib.scala 428:40] - wire _T_350 = _T_346 ? _T_348 : twos_comp_in[12]; // @[lib.scala 428:23] - wire _T_352 = |twos_comp_in[12:0]; // @[lib.scala 428:35] - wire _T_354 = ~twos_comp_in[13]; // @[lib.scala 428:40] - wire _T_356 = _T_352 ? _T_354 : twos_comp_in[13]; // @[lib.scala 428:23] - wire _T_358 = |twos_comp_in[13:0]; // @[lib.scala 428:35] - wire _T_360 = ~twos_comp_in[14]; // @[lib.scala 428:40] - wire _T_362 = _T_358 ? _T_360 : twos_comp_in[14]; // @[lib.scala 428:23] - wire _T_364 = |twos_comp_in[14:0]; // @[lib.scala 428:35] - wire _T_366 = ~twos_comp_in[15]; // @[lib.scala 428:40] - wire _T_368 = _T_364 ? _T_366 : twos_comp_in[15]; // @[lib.scala 428:23] - wire _T_370 = |twos_comp_in[15:0]; // @[lib.scala 428:35] - wire _T_372 = ~twos_comp_in[16]; // @[lib.scala 428:40] - wire _T_374 = _T_370 ? _T_372 : twos_comp_in[16]; // @[lib.scala 428:23] - wire _T_376 = |twos_comp_in[16:0]; // @[lib.scala 428:35] - wire _T_378 = ~twos_comp_in[17]; // @[lib.scala 428:40] - wire _T_380 = _T_376 ? _T_378 : twos_comp_in[17]; // @[lib.scala 428:23] - wire _T_382 = |twos_comp_in[17:0]; // @[lib.scala 428:35] - wire _T_384 = ~twos_comp_in[18]; // @[lib.scala 428:40] - wire _T_386 = _T_382 ? _T_384 : twos_comp_in[18]; // @[lib.scala 428:23] - wire _T_388 = |twos_comp_in[18:0]; // @[lib.scala 428:35] - wire _T_390 = ~twos_comp_in[19]; // @[lib.scala 428:40] - wire _T_392 = _T_388 ? _T_390 : twos_comp_in[19]; // @[lib.scala 428:23] - wire _T_394 = |twos_comp_in[19:0]; // @[lib.scala 428:35] - wire _T_396 = ~twos_comp_in[20]; // @[lib.scala 428:40] - wire _T_398 = _T_394 ? _T_396 : twos_comp_in[20]; // @[lib.scala 428:23] - wire _T_400 = |twos_comp_in[20:0]; // @[lib.scala 428:35] - wire _T_402 = ~twos_comp_in[21]; // @[lib.scala 428:40] - wire _T_404 = _T_400 ? _T_402 : twos_comp_in[21]; // @[lib.scala 428:23] - wire _T_406 = |twos_comp_in[21:0]; // @[lib.scala 428:35] - wire _T_408 = ~twos_comp_in[22]; // @[lib.scala 428:40] - wire _T_410 = _T_406 ? _T_408 : twos_comp_in[22]; // @[lib.scala 428:23] - wire _T_412 = |twos_comp_in[22:0]; // @[lib.scala 428:35] - wire _T_414 = ~twos_comp_in[23]; // @[lib.scala 428:40] - wire _T_416 = _T_412 ? _T_414 : twos_comp_in[23]; // @[lib.scala 428:23] - wire _T_418 = |twos_comp_in[23:0]; // @[lib.scala 428:35] - wire _T_420 = ~twos_comp_in[24]; // @[lib.scala 428:40] - wire _T_422 = _T_418 ? _T_420 : twos_comp_in[24]; // @[lib.scala 428:23] - wire _T_424 = |twos_comp_in[24:0]; // @[lib.scala 428:35] - wire _T_426 = ~twos_comp_in[25]; // @[lib.scala 428:40] - wire _T_428 = _T_424 ? _T_426 : twos_comp_in[25]; // @[lib.scala 428:23] - wire _T_430 = |twos_comp_in[25:0]; // @[lib.scala 428:35] - wire _T_432 = ~twos_comp_in[26]; // @[lib.scala 428:40] - wire _T_434 = _T_430 ? _T_432 : twos_comp_in[26]; // @[lib.scala 428:23] - wire _T_436 = |twos_comp_in[26:0]; // @[lib.scala 428:35] - wire _T_438 = ~twos_comp_in[27]; // @[lib.scala 428:40] - wire _T_440 = _T_436 ? _T_438 : twos_comp_in[27]; // @[lib.scala 428:23] - wire _T_442 = |twos_comp_in[27:0]; // @[lib.scala 428:35] - wire _T_444 = ~twos_comp_in[28]; // @[lib.scala 428:40] - wire _T_446 = _T_442 ? _T_444 : twos_comp_in[28]; // @[lib.scala 428:23] - wire _T_448 = |twos_comp_in[28:0]; // @[lib.scala 428:35] - wire _T_450 = ~twos_comp_in[29]; // @[lib.scala 428:40] - wire _T_452 = _T_448 ? _T_450 : twos_comp_in[29]; // @[lib.scala 428:23] - wire _T_454 = |twos_comp_in[29:0]; // @[lib.scala 428:35] - wire _T_456 = ~twos_comp_in[30]; // @[lib.scala 428:40] - wire _T_458 = _T_454 ? _T_456 : twos_comp_in[30]; // @[lib.scala 428:23] - wire _T_460 = |twos_comp_in[30:0]; // @[lib.scala 428:35] - wire _T_462 = ~twos_comp_in[31]; // @[lib.scala 428:40] - wire _T_464 = _T_460 ? _T_462 : twos_comp_in[31]; // @[lib.scala 428:23] - wire [6:0] _T_470 = {_T_320,_T_314,_T_308,_T_302,_T_296,_T_290,_T_284}; // @[lib.scala 430:14] - wire [14:0] _T_478 = {_T_368,_T_362,_T_356,_T_350,_T_344,_T_338,_T_332,_T_326,_T_470}; // @[lib.scala 430:14] - wire [7:0] _T_485 = {_T_416,_T_410,_T_404,_T_398,_T_392,_T_386,_T_380,_T_374}; // @[lib.scala 430:14] - wire [30:0] _T_494 = {_T_464,_T_458,_T_452,_T_446,_T_440,_T_434,_T_428,_T_422,_T_485,_T_478}; // @[lib.scala 430:14] - wire [31:0] twos_comp_out = {_T_494,twos_comp_in[0]}; // @[Cat.scala 29:58] - wire _T_496 = ~a_shift; // @[exu_div_ctl.scala 661:6] - wire _T_498 = _T_496 & _T_67; // @[exu_div_ctl.scala 661:15] - wire _T_501 = io_signed_in & io_dividend_in[31]; // @[exu_div_ctl.scala 661:63] - wire [32:0] _T_503 = {_T_501,io_dividend_in}; // @[Cat.scala 29:58] - wire [32:0] _T_505 = {a_ff[29:0],3'h0}; // @[Cat.scala 29:58] - wire [65:0] ar_shifted = _T_72[65:0]; // @[exu_div_ctl.scala 622:28] - wire [32:0] _T_507 = _T_498 ? _T_503 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_508 = a_shift ? _T_505 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_509 = shortq_enable_ff ? ar_shifted[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_510 = _T_507 | _T_508; // @[Mux.scala 27:72] - wire [32:0] a_in = _T_510 | _T_509; // @[Mux.scala 27:72] - wire _T_512 = ~b_twos_comp; // @[exu_div_ctl.scala 666:5] - wire _T_514 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 666:63] - wire [32:0] _T_516 = {_T_514,io_divisor_in}; // @[Cat.scala 29:58] - wire _T_517 = ~control_ff[1]; // @[exu_div_ctl.scala 667:49] - wire [32:0] _T_519 = {_T_517,_T_494,twos_comp_in[0]}; // @[Cat.scala 29:58] - wire [32:0] _T_520 = _T_512 ? _T_516 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_521 = b_twos_comp ? _T_519 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] b_in = _T_520 | _T_521; // @[Mux.scala 27:72] - wire [32:0] _T_525 = {r_ff[29:0],a_ff[32:30]}; // @[Cat.scala 29:58] - wire [32:0] _T_535 = {1'h0,a_ff[31:0]}; // @[Cat.scala 29:58] - wire [32:0] _T_536 = r_sign_sel ? 33'h1ffffffff : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_537 = r_restore_sel ? _T_525 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_538 = r_adder1_sel ? adder1_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_539 = r_adder2_sel ? adder2_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_540 = r_adder3_sel ? adder3_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_541 = r_adder4_sel ? _T_168[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_542 = r_adder5_sel ? adder5_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_543 = r_adder6_sel ? _T_172[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_544 = r_adder7_sel ? adder7_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_545 = shortq_enable_ff ? ar_shifted[65:33] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_546 = by_zero_case ? _T_535 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_547 = _T_536 | _T_537; // @[Mux.scala 27:72] - wire [32:0] _T_548 = _T_547 | _T_538; // @[Mux.scala 27:72] - wire [32:0] _T_549 = _T_548 | _T_539; // @[Mux.scala 27:72] - wire [32:0] _T_550 = _T_549 | _T_540; // @[Mux.scala 27:72] - wire [32:0] _T_551 = _T_550 | _T_541; // @[Mux.scala 27:72] - wire [32:0] _T_552 = _T_551 | _T_542; // @[Mux.scala 27:72] - wire [32:0] _T_553 = _T_552 | _T_543; // @[Mux.scala 27:72] - wire [32:0] _T_554 = _T_553 | _T_544; // @[Mux.scala 27:72] + wire [31:0] _T_282 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_283 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] twos_comp_in = _T_282 | _T_283; // @[Mux.scala 27:72] + wire _T_287 = |twos_comp_in[0]; // @[lib.scala 428:35] + wire _T_289 = ~twos_comp_in[1]; // @[lib.scala 428:40] + wire _T_291 = _T_287 ? _T_289 : twos_comp_in[1]; // @[lib.scala 428:23] + wire _T_293 = |twos_comp_in[1:0]; // @[lib.scala 428:35] + wire _T_295 = ~twos_comp_in[2]; // @[lib.scala 428:40] + wire _T_297 = _T_293 ? _T_295 : twos_comp_in[2]; // @[lib.scala 428:23] + wire _T_299 = |twos_comp_in[2:0]; // @[lib.scala 428:35] + wire _T_301 = ~twos_comp_in[3]; // @[lib.scala 428:40] + wire _T_303 = _T_299 ? _T_301 : twos_comp_in[3]; // @[lib.scala 428:23] + wire _T_305 = |twos_comp_in[3:0]; // @[lib.scala 428:35] + wire _T_307 = ~twos_comp_in[4]; // @[lib.scala 428:40] + wire _T_309 = _T_305 ? _T_307 : twos_comp_in[4]; // @[lib.scala 428:23] + wire _T_311 = |twos_comp_in[4:0]; // @[lib.scala 428:35] + wire _T_313 = ~twos_comp_in[5]; // @[lib.scala 428:40] + wire _T_315 = _T_311 ? _T_313 : twos_comp_in[5]; // @[lib.scala 428:23] + wire _T_317 = |twos_comp_in[5:0]; // @[lib.scala 428:35] + wire _T_319 = ~twos_comp_in[6]; // @[lib.scala 428:40] + wire _T_321 = _T_317 ? _T_319 : twos_comp_in[6]; // @[lib.scala 428:23] + wire _T_323 = |twos_comp_in[6:0]; // @[lib.scala 428:35] + wire _T_325 = ~twos_comp_in[7]; // @[lib.scala 428:40] + wire _T_327 = _T_323 ? _T_325 : twos_comp_in[7]; // @[lib.scala 428:23] + wire _T_329 = |twos_comp_in[7:0]; // @[lib.scala 428:35] + wire _T_331 = ~twos_comp_in[8]; // @[lib.scala 428:40] + wire _T_333 = _T_329 ? _T_331 : twos_comp_in[8]; // @[lib.scala 428:23] + wire _T_335 = |twos_comp_in[8:0]; // @[lib.scala 428:35] + wire _T_337 = ~twos_comp_in[9]; // @[lib.scala 428:40] + wire _T_339 = _T_335 ? _T_337 : twos_comp_in[9]; // @[lib.scala 428:23] + wire _T_341 = |twos_comp_in[9:0]; // @[lib.scala 428:35] + wire _T_343 = ~twos_comp_in[10]; // @[lib.scala 428:40] + wire _T_345 = _T_341 ? _T_343 : twos_comp_in[10]; // @[lib.scala 428:23] + wire _T_347 = |twos_comp_in[10:0]; // @[lib.scala 428:35] + wire _T_349 = ~twos_comp_in[11]; // @[lib.scala 428:40] + wire _T_351 = _T_347 ? _T_349 : twos_comp_in[11]; // @[lib.scala 428:23] + wire _T_353 = |twos_comp_in[11:0]; // @[lib.scala 428:35] + wire _T_355 = ~twos_comp_in[12]; // @[lib.scala 428:40] + wire _T_357 = _T_353 ? _T_355 : twos_comp_in[12]; // @[lib.scala 428:23] + wire _T_359 = |twos_comp_in[12:0]; // @[lib.scala 428:35] + wire _T_361 = ~twos_comp_in[13]; // @[lib.scala 428:40] + wire _T_363 = _T_359 ? _T_361 : twos_comp_in[13]; // @[lib.scala 428:23] + wire _T_365 = |twos_comp_in[13:0]; // @[lib.scala 428:35] + wire _T_367 = ~twos_comp_in[14]; // @[lib.scala 428:40] + wire _T_369 = _T_365 ? _T_367 : twos_comp_in[14]; // @[lib.scala 428:23] + wire _T_371 = |twos_comp_in[14:0]; // @[lib.scala 428:35] + wire _T_373 = ~twos_comp_in[15]; // @[lib.scala 428:40] + wire _T_375 = _T_371 ? _T_373 : twos_comp_in[15]; // @[lib.scala 428:23] + wire _T_377 = |twos_comp_in[15:0]; // @[lib.scala 428:35] + wire _T_379 = ~twos_comp_in[16]; // @[lib.scala 428:40] + wire _T_381 = _T_377 ? _T_379 : twos_comp_in[16]; // @[lib.scala 428:23] + wire _T_383 = |twos_comp_in[16:0]; // @[lib.scala 428:35] + wire _T_385 = ~twos_comp_in[17]; // @[lib.scala 428:40] + wire _T_387 = _T_383 ? _T_385 : twos_comp_in[17]; // @[lib.scala 428:23] + wire _T_389 = |twos_comp_in[17:0]; // @[lib.scala 428:35] + wire _T_391 = ~twos_comp_in[18]; // @[lib.scala 428:40] + wire _T_393 = _T_389 ? _T_391 : twos_comp_in[18]; // @[lib.scala 428:23] + wire _T_395 = |twos_comp_in[18:0]; // @[lib.scala 428:35] + wire _T_397 = ~twos_comp_in[19]; // @[lib.scala 428:40] + wire _T_399 = _T_395 ? _T_397 : twos_comp_in[19]; // @[lib.scala 428:23] + wire _T_401 = |twos_comp_in[19:0]; // @[lib.scala 428:35] + wire _T_403 = ~twos_comp_in[20]; // @[lib.scala 428:40] + wire _T_405 = _T_401 ? _T_403 : twos_comp_in[20]; // @[lib.scala 428:23] + wire _T_407 = |twos_comp_in[20:0]; // @[lib.scala 428:35] + wire _T_409 = ~twos_comp_in[21]; // @[lib.scala 428:40] + wire _T_411 = _T_407 ? _T_409 : twos_comp_in[21]; // @[lib.scala 428:23] + wire _T_413 = |twos_comp_in[21:0]; // @[lib.scala 428:35] + wire _T_415 = ~twos_comp_in[22]; // @[lib.scala 428:40] + wire _T_417 = _T_413 ? _T_415 : twos_comp_in[22]; // @[lib.scala 428:23] + wire _T_419 = |twos_comp_in[22:0]; // @[lib.scala 428:35] + wire _T_421 = ~twos_comp_in[23]; // @[lib.scala 428:40] + wire _T_423 = _T_419 ? _T_421 : twos_comp_in[23]; // @[lib.scala 428:23] + wire _T_425 = |twos_comp_in[23:0]; // @[lib.scala 428:35] + wire _T_427 = ~twos_comp_in[24]; // @[lib.scala 428:40] + wire _T_429 = _T_425 ? _T_427 : twos_comp_in[24]; // @[lib.scala 428:23] + wire _T_431 = |twos_comp_in[24:0]; // @[lib.scala 428:35] + wire _T_433 = ~twos_comp_in[25]; // @[lib.scala 428:40] + wire _T_435 = _T_431 ? _T_433 : twos_comp_in[25]; // @[lib.scala 428:23] + wire _T_437 = |twos_comp_in[25:0]; // @[lib.scala 428:35] + wire _T_439 = ~twos_comp_in[26]; // @[lib.scala 428:40] + wire _T_441 = _T_437 ? _T_439 : twos_comp_in[26]; // @[lib.scala 428:23] + wire _T_443 = |twos_comp_in[26:0]; // @[lib.scala 428:35] + wire _T_445 = ~twos_comp_in[27]; // @[lib.scala 428:40] + wire _T_447 = _T_443 ? _T_445 : twos_comp_in[27]; // @[lib.scala 428:23] + wire _T_449 = |twos_comp_in[27:0]; // @[lib.scala 428:35] + wire _T_451 = ~twos_comp_in[28]; // @[lib.scala 428:40] + wire _T_453 = _T_449 ? _T_451 : twos_comp_in[28]; // @[lib.scala 428:23] + wire _T_455 = |twos_comp_in[28:0]; // @[lib.scala 428:35] + wire _T_457 = ~twos_comp_in[29]; // @[lib.scala 428:40] + wire _T_459 = _T_455 ? _T_457 : twos_comp_in[29]; // @[lib.scala 428:23] + wire _T_461 = |twos_comp_in[29:0]; // @[lib.scala 428:35] + wire _T_463 = ~twos_comp_in[30]; // @[lib.scala 428:40] + wire _T_465 = _T_461 ? _T_463 : twos_comp_in[30]; // @[lib.scala 428:23] + wire _T_467 = |twos_comp_in[30:0]; // @[lib.scala 428:35] + wire _T_469 = ~twos_comp_in[31]; // @[lib.scala 428:40] + wire _T_471 = _T_467 ? _T_469 : twos_comp_in[31]; // @[lib.scala 428:23] + wire [6:0] _T_477 = {_T_327,_T_321,_T_315,_T_309,_T_303,_T_297,_T_291}; // @[lib.scala 430:14] + wire [14:0] _T_485 = {_T_375,_T_369,_T_363,_T_357,_T_351,_T_345,_T_339,_T_333,_T_477}; // @[lib.scala 430:14] + wire [7:0] _T_492 = {_T_423,_T_417,_T_411,_T_405,_T_399,_T_393,_T_387,_T_381}; // @[lib.scala 430:14] + wire [30:0] _T_501 = {_T_471,_T_465,_T_459,_T_453,_T_447,_T_441,_T_435,_T_429,_T_492,_T_485}; // @[lib.scala 430:14] + wire [31:0] twos_comp_out = {_T_501,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire _T_503 = ~a_shift; // @[exu_div_ctl.scala 669:6] + wire _T_505 = _T_503 & _T_67; // @[exu_div_ctl.scala 669:15] + wire _T_508 = io_signed_in & io_dividend_in[31]; // @[exu_div_ctl.scala 669:63] + wire [32:0] _T_510 = {_T_508,io_dividend_in}; // @[Cat.scala 29:58] + wire [32:0] _T_512 = {a_ff[29:0],3'h0}; // @[Cat.scala 29:58] + wire [65:0] ar_shifted = _T_72[65:0]; // @[exu_div_ctl.scala 629:28] + wire [32:0] _T_514 = _T_505 ? _T_510 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_515 = a_shift ? _T_512 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_516 = shortq_enable_ff ? ar_shifted[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_517 = _T_514 | _T_515; // @[Mux.scala 27:72] + wire [32:0] a_in = _T_517 | _T_516; // @[Mux.scala 27:72] + wire _T_519 = ~b_twos_comp; // @[exu_div_ctl.scala 674:5] + wire _T_521 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 674:63] + wire [32:0] _T_523 = {_T_521,io_divisor_in}; // @[Cat.scala 29:58] + wire _T_524 = ~control_ff[1]; // @[exu_div_ctl.scala 675:49] + wire [32:0] _T_526 = {_T_524,_T_501,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire [32:0] _T_527 = _T_519 ? _T_523 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_528 = b_twos_comp ? _T_526 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] b_in = _T_527 | _T_528; // @[Mux.scala 27:72] + wire [32:0] _T_532 = {r_ff[29:0],a_ff[32:30]}; // @[Cat.scala 29:58] + wire [32:0] _T_542 = {1'h0,a_ff[31:0]}; // @[Cat.scala 29:58] + wire [32:0] _T_543 = r_sign_sel ? 33'h1ffffffff : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_544 = r_restore_sel ? _T_532 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_545 = r_adder1_sel ? adder1_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_546 = r_adder2_sel ? adder2_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_547 = r_adder3_sel ? adder3_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_548 = r_adder4_sel ? _T_174[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_549 = r_adder5_sel ? adder5_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_550 = r_adder6_sel ? _T_178[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_551 = r_adder7_sel ? adder7_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_552 = shortq_enable_ff ? ar_shifted[65:33] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_553 = by_zero_case ? _T_542 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_554 = _T_543 | _T_544; // @[Mux.scala 27:72] wire [32:0] _T_555 = _T_554 | _T_545; // @[Mux.scala 27:72] - wire [32:0] r_in = _T_555 | _T_546; // @[Mux.scala 27:72] - wire [31:0] _T_559 = {q_ff[28:0],_T_243,_T_256,_T_271}; // @[Cat.scala 29:58] - wire _T_582 = ~b_ff[3]; // @[exu_div_ctl.scala 696:70] - wire _T_584 = ~b_ff[2]; // @[exu_div_ctl.scala 696:70] - wire _T_587 = _T_582 & _T_584; // @[exu_div_ctl.scala 696:95] - wire _T_586 = ~b_ff[1]; // @[exu_div_ctl.scala 696:70] - wire _T_588 = _T_587 & _T_586; // @[exu_div_ctl.scala 696:95] - wire _T_589 = a_ff[3] & _T_588; // @[exu_div_ctl.scala 697:11] - wire _T_596 = a_ff[3] & _T_587; // @[exu_div_ctl.scala 697:11] - wire _T_598 = ~b_ff[0]; // @[exu_div_ctl.scala 702:33] - wire _T_599 = _T_596 & _T_598; // @[exu_div_ctl.scala 702:31] - wire _T_609 = a_ff[2] & _T_588; // @[exu_div_ctl.scala 697:11] - wire _T_610 = _T_599 | _T_609; // @[exu_div_ctl.scala 702:42] - wire _T_613 = a_ff[3] & a_ff[2]; // @[exu_div_ctl.scala 695:95] - wire _T_619 = _T_613 & _T_587; // @[exu_div_ctl.scala 697:11] - wire _T_620 = _T_610 | _T_619; // @[exu_div_ctl.scala 702:75] - wire _T_627 = a_ff[2] & _T_587; // @[exu_div_ctl.scala 697:11] - wire _T_630 = _T_627 & _T_598; // @[exu_div_ctl.scala 704:31] - wire _T_640 = a_ff[1] & _T_588; // @[exu_div_ctl.scala 697:11] - wire _T_641 = _T_630 | _T_640; // @[exu_div_ctl.scala 704:42] - wire _T_647 = _T_582 & _T_586; // @[exu_div_ctl.scala 696:95] - wire _T_648 = a_ff[3] & _T_647; // @[exu_div_ctl.scala 697:11] - wire _T_651 = _T_648 & _T_598; // @[exu_div_ctl.scala 704:106] - wire _T_652 = _T_641 | _T_651; // @[exu_div_ctl.scala 704:78] - wire _T_655 = ~a_ff[2]; // @[exu_div_ctl.scala 695:70] - wire _T_656 = a_ff[3] & _T_655; // @[exu_div_ctl.scala 695:95] - wire _T_664 = _T_587 & b_ff[1]; // @[exu_div_ctl.scala 696:95] - wire _T_665 = _T_664 & b_ff[0]; // @[exu_div_ctl.scala 696:95] - wire _T_666 = _T_656 & _T_665; // @[exu_div_ctl.scala 697:11] - wire _T_667 = _T_652 | _T_666; // @[exu_div_ctl.scala 704:117] - wire _T_669 = ~a_ff[3]; // @[exu_div_ctl.scala 695:70] - wire _T_672 = _T_669 & a_ff[2]; // @[exu_div_ctl.scala 695:95] - wire _T_673 = _T_672 & a_ff[1]; // @[exu_div_ctl.scala 695:95] - wire _T_679 = _T_673 & _T_587; // @[exu_div_ctl.scala 697:11] - wire _T_680 = _T_667 | _T_679; // @[exu_div_ctl.scala 705:44] - wire _T_686 = _T_613 & _T_582; // @[exu_div_ctl.scala 697:11] - wire _T_689 = _T_686 & _T_598; // @[exu_div_ctl.scala 705:107] - wire _T_690 = _T_680 | _T_689; // @[exu_div_ctl.scala 705:80] - wire _T_699 = _T_582 & b_ff[2]; // @[exu_div_ctl.scala 696:95] - wire _T_700 = _T_699 & _T_586; // @[exu_div_ctl.scala 696:95] - wire _T_701 = _T_613 & _T_700; // @[exu_div_ctl.scala 697:11] - wire _T_702 = _T_690 | _T_701; // @[exu_div_ctl.scala 705:119] - wire _T_705 = a_ff[3] & a_ff[1]; // @[exu_div_ctl.scala 695:95] - wire _T_711 = _T_705 & _T_647; // @[exu_div_ctl.scala 697:11] - wire _T_712 = _T_702 | _T_711; // @[exu_div_ctl.scala 706:44] - wire _T_717 = _T_613 & a_ff[1]; // @[exu_div_ctl.scala 695:95] - wire _T_722 = _T_717 & _T_699; // @[exu_div_ctl.scala 697:11] - wire _T_723 = _T_712 | _T_722; // @[exu_div_ctl.scala 706:79] - wire _T_727 = a_ff[2] & a_ff[1]; // @[exu_div_ctl.scala 695:95] - wire _T_728 = _T_727 & a_ff[0]; // @[exu_div_ctl.scala 695:95] - wire _T_734 = _T_728 & _T_647; // @[exu_div_ctl.scala 697:11] - wire _T_740 = _T_656 & a_ff[0]; // @[exu_div_ctl.scala 695:95] - wire _T_745 = _T_582 & b_ff[1]; // @[exu_div_ctl.scala 696:95] - wire _T_746 = _T_745 & b_ff[0]; // @[exu_div_ctl.scala 696:95] - wire _T_747 = _T_740 & _T_746; // @[exu_div_ctl.scala 697:11] - wire _T_748 = _T_734 | _T_747; // @[exu_div_ctl.scala 708:45] - wire _T_755 = a_ff[2] & _T_647; // @[exu_div_ctl.scala 697:11] - wire _T_758 = _T_755 & _T_598; // @[exu_div_ctl.scala 708:114] - wire _T_759 = _T_748 | _T_758; // @[exu_div_ctl.scala 708:86] - wire _T_766 = a_ff[1] & _T_587; // @[exu_div_ctl.scala 697:11] - wire _T_769 = _T_766 & _T_598; // @[exu_div_ctl.scala 709:33] - wire _T_770 = _T_759 | _T_769; // @[exu_div_ctl.scala 708:129] - wire _T_780 = a_ff[0] & _T_588; // @[exu_div_ctl.scala 697:11] - wire _T_781 = _T_770 | _T_780; // @[exu_div_ctl.scala 709:47] - wire _T_786 = ~a_ff[1]; // @[exu_div_ctl.scala 695:70] - wire _T_788 = _T_672 & _T_786; // @[exu_div_ctl.scala 695:95] - wire _T_798 = _T_788 & _T_665; // @[exu_div_ctl.scala 697:11] - wire _T_799 = _T_781 | _T_798; // @[exu_div_ctl.scala 709:88] - wire _T_808 = _T_673 & _T_582; // @[exu_div_ctl.scala 697:11] - wire _T_811 = _T_808 & _T_598; // @[exu_div_ctl.scala 710:36] - wire _T_812 = _T_799 | _T_811; // @[exu_div_ctl.scala 709:131] - wire _T_818 = _T_584 & _T_586; // @[exu_div_ctl.scala 696:95] - wire _T_819 = a_ff[3] & _T_818; // @[exu_div_ctl.scala 697:11] - wire _T_822 = _T_819 & _T_598; // @[exu_div_ctl.scala 710:76] - wire _T_823 = _T_812 | _T_822; // @[exu_div_ctl.scala 710:47] - wire _T_833 = _T_699 & b_ff[1]; // @[exu_div_ctl.scala 696:95] - wire _T_834 = _T_656 & _T_833; // @[exu_div_ctl.scala 697:11] - wire _T_835 = _T_823 | _T_834; // @[exu_div_ctl.scala 710:88] - wire _T_849 = _T_673 & _T_700; // @[exu_div_ctl.scala 697:11] - wire _T_850 = _T_835 | _T_849; // @[exu_div_ctl.scala 710:131] - wire _T_856 = _T_672 & a_ff[0]; // @[exu_div_ctl.scala 695:95] - wire _T_862 = _T_856 & _T_647; // @[exu_div_ctl.scala 697:11] - wire _T_863 = _T_850 | _T_862; // @[exu_div_ctl.scala 711:47] - wire _T_870 = _T_656 & _T_786; // @[exu_div_ctl.scala 695:95] - wire _T_876 = _T_699 & b_ff[0]; // @[exu_div_ctl.scala 696:95] - wire _T_877 = _T_870 & _T_876; // @[exu_div_ctl.scala 697:11] - wire _T_878 = _T_863 | _T_877; // @[exu_div_ctl.scala 711:88] - wire _T_883 = _T_655 & a_ff[1]; // @[exu_div_ctl.scala 695:95] - wire _T_884 = _T_883 & a_ff[0]; // @[exu_div_ctl.scala 695:95] - wire _T_890 = _T_884 & _T_587; // @[exu_div_ctl.scala 697:11] - wire _T_891 = _T_878 | _T_890; // @[exu_div_ctl.scala 711:131] - wire _T_897 = _T_613 & _T_586; // @[exu_div_ctl.scala 697:11] - wire _T_900 = _T_897 & _T_598; // @[exu_div_ctl.scala 712:75] - wire _T_901 = _T_891 | _T_900; // @[exu_div_ctl.scala 712:47] - wire _T_909 = _T_673 & a_ff[0]; // @[exu_div_ctl.scala 695:95] - wire _T_914 = _T_909 & _T_699; // @[exu_div_ctl.scala 697:11] - wire _T_915 = _T_901 | _T_914; // @[exu_div_ctl.scala 712:88] - wire _T_922 = b_ff[3] & _T_584; // @[exu_div_ctl.scala 696:95] - wire _T_923 = _T_613 & _T_922; // @[exu_div_ctl.scala 697:11] - wire _T_924 = _T_915 | _T_923; // @[exu_div_ctl.scala 712:131] - wire _T_934 = _T_922 & _T_586; // @[exu_div_ctl.scala 696:95] - wire _T_935 = _T_705 & _T_934; // @[exu_div_ctl.scala 697:11] - wire _T_936 = _T_924 | _T_935; // @[exu_div_ctl.scala 713:47] - wire _T_939 = a_ff[3] & a_ff[0]; // @[exu_div_ctl.scala 695:95] - wire _T_945 = _T_939 & _T_818; // @[exu_div_ctl.scala 697:11] - wire _T_946 = _T_936 | _T_945; // @[exu_div_ctl.scala 713:88] - wire _T_950 = a_ff[3] & _T_786; // @[exu_div_ctl.scala 695:95] - wire _T_958 = _T_833 & b_ff[0]; // @[exu_div_ctl.scala 696:95] - wire _T_959 = _T_950 & _T_958; // @[exu_div_ctl.scala 697:11] - wire _T_960 = _T_946 | _T_959; // @[exu_div_ctl.scala 713:131] - wire _T_967 = _T_717 & b_ff[3]; // @[exu_div_ctl.scala 697:11] - wire _T_970 = _T_967 & _T_598; // @[exu_div_ctl.scala 714:77] - wire _T_971 = _T_960 | _T_970; // @[exu_div_ctl.scala 714:47] - wire _T_980 = b_ff[3] & _T_586; // @[exu_div_ctl.scala 696:95] - wire _T_981 = _T_717 & _T_980; // @[exu_div_ctl.scala 697:11] - wire _T_982 = _T_971 | _T_981; // @[exu_div_ctl.scala 714:88] - wire _T_987 = _T_613 & a_ff[0]; // @[exu_div_ctl.scala 695:95] - wire _T_992 = _T_987 & _T_980; // @[exu_div_ctl.scala 697:11] - wire _T_993 = _T_982 | _T_992; // @[exu_div_ctl.scala 714:131] - wire _T_999 = _T_656 & a_ff[1]; // @[exu_div_ctl.scala 695:95] - wire _T_1004 = _T_999 & _T_745; // @[exu_div_ctl.scala 697:11] - wire _T_1005 = _T_993 | _T_1004; // @[exu_div_ctl.scala 715:47] - wire _T_1010 = _T_705 & a_ff[0]; // @[exu_div_ctl.scala 695:95] - wire _T_1013 = _T_1010 & _T_584; // @[exu_div_ctl.scala 697:11] - wire _T_1014 = _T_1005 | _T_1013; // @[exu_div_ctl.scala 715:88] - wire _T_1021 = _T_717 & a_ff[0]; // @[exu_div_ctl.scala 695:95] - wire _T_1023 = _T_1021 & b_ff[3]; // @[exu_div_ctl.scala 697:11] - wire _T_1024 = _T_1014 | _T_1023; // @[exu_div_ctl.scala 715:131] - wire _T_1030 = _T_705 & _T_584; // @[exu_div_ctl.scala 697:11] - wire _T_1033 = _T_1030 & _T_598; // @[exu_div_ctl.scala 716:74] - wire _T_1034 = _T_1024 | _T_1033; // @[exu_div_ctl.scala 716:47] - wire [31:0] _T_560 = {28'h0,_T_589,_T_620,_T_723,_T_1034}; // @[Cat.scala 29:58] - wire [31:0] _T_562 = _T_77 ? _T_559 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_563 = smallnum_case ? _T_560 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_564 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_565 = _T_562 | _T_563; // @[Mux.scala 27:72] - wire [31:0] q_in = _T_565 | _T_564; // @[Mux.scala 27:72] - wire _T_570 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 690:16] - wire _T_571 = _T_30 & _T_570; // @[exu_div_ctl.scala 690:14] - wire [31:0] _T_574 = _T_571 ? q_ff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_575 = control_ff[0] ? r_ff[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_576 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_577 = _T_574 | _T_575; // @[Mux.scala 27:72] - wire _T_1061 = shortq == 6'h1b; // @[exu_div_ctl.scala 731:58] - wire _T_1062 = shortq == 6'h1a; // @[exu_div_ctl.scala 731:58] - wire _T_1063 = shortq == 6'h19; // @[exu_div_ctl.scala 731:58] - wire _T_1064 = shortq == 6'h18; // @[exu_div_ctl.scala 731:58] - wire _T_1065 = shortq == 6'h17; // @[exu_div_ctl.scala 731:58] - wire _T_1066 = shortq == 6'h16; // @[exu_div_ctl.scala 731:58] - wire _T_1067 = shortq == 6'h15; // @[exu_div_ctl.scala 731:58] - wire _T_1068 = shortq == 6'h14; // @[exu_div_ctl.scala 731:58] - wire _T_1069 = shortq == 6'h13; // @[exu_div_ctl.scala 731:58] - wire _T_1070 = shortq == 6'h12; // @[exu_div_ctl.scala 731:58] - wire _T_1071 = shortq == 6'h11; // @[exu_div_ctl.scala 731:58] - wire _T_1072 = shortq == 6'h10; // @[exu_div_ctl.scala 731:58] - wire _T_1073 = shortq == 6'hf; // @[exu_div_ctl.scala 731:58] - wire _T_1074 = shortq == 6'he; // @[exu_div_ctl.scala 731:58] - wire _T_1075 = shortq == 6'hd; // @[exu_div_ctl.scala 731:58] - wire _T_1076 = shortq == 6'hc; // @[exu_div_ctl.scala 731:58] - wire _T_1077 = shortq == 6'hb; // @[exu_div_ctl.scala 731:58] - wire _T_1078 = shortq == 6'ha; // @[exu_div_ctl.scala 731:58] - wire _T_1079 = shortq == 6'h9; // @[exu_div_ctl.scala 731:58] - wire _T_1080 = shortq == 6'h8; // @[exu_div_ctl.scala 731:58] - wire _T_1081 = shortq == 6'h7; // @[exu_div_ctl.scala 731:58] - wire _T_1082 = shortq == 6'h6; // @[exu_div_ctl.scala 731:58] - wire _T_1083 = shortq == 6'h5; // @[exu_div_ctl.scala 731:58] - wire _T_1084 = shortq == 6'h4; // @[exu_div_ctl.scala 731:58] - wire _T_1085 = shortq == 6'h3; // @[exu_div_ctl.scala 731:58] - wire _T_1086 = shortq == 6'h2; // @[exu_div_ctl.scala 731:58] - wire _T_1087 = shortq == 6'h1; // @[exu_div_ctl.scala 731:58] - wire _T_1088 = shortq == 6'h0; // @[exu_div_ctl.scala 731:58] - wire [1:0] _T_1093 = _T_1061 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1094 = _T_1062 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1095 = _T_1063 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1096 = _T_1064 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1097 = _T_1065 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1098 = _T_1066 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1099 = _T_1067 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1100 = _T_1068 ? 4'hc : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1101 = _T_1069 ? 4'hc : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1102 = _T_1070 ? 4'hc : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1103 = _T_1071 ? 4'hf : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1104 = _T_1072 ? 4'hf : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1105 = _T_1073 ? 4'hf : 4'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1106 = _T_1074 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1107 = _T_1075 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1108 = _T_1076 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1109 = _T_1077 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1110 = _T_1078 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1111 = _T_1079 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1112 = _T_1080 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1113 = _T_1081 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1114 = _T_1082 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1115 = _T_1083 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1116 = _T_1084 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1117 = _T_1085 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1118 = _T_1086 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1119 = _T_1087 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1120 = _T_1088 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] - wire [2:0] _GEN_12 = {{1'd0}, _T_1093}; // @[Mux.scala 27:72] - wire [2:0] _T_1125 = _GEN_12 | _T_1094; // @[Mux.scala 27:72] - wire [2:0] _T_1126 = _T_1125 | _T_1095; // @[Mux.scala 27:72] - wire [2:0] _T_1127 = _T_1126 | _T_1096; // @[Mux.scala 27:72] - wire [3:0] _GEN_13 = {{1'd0}, _T_1127}; // @[Mux.scala 27:72] - wire [3:0] _T_1128 = _GEN_13 | _T_1097; // @[Mux.scala 27:72] - wire [3:0] _T_1129 = _T_1128 | _T_1098; // @[Mux.scala 27:72] - wire [3:0] _T_1130 = _T_1129 | _T_1099; // @[Mux.scala 27:72] - wire [3:0] _T_1131 = _T_1130 | _T_1100; // @[Mux.scala 27:72] - wire [3:0] _T_1132 = _T_1131 | _T_1101; // @[Mux.scala 27:72] - wire [3:0] _T_1133 = _T_1132 | _T_1102; // @[Mux.scala 27:72] - wire [3:0] _T_1134 = _T_1133 | _T_1103; // @[Mux.scala 27:72] - wire [3:0] _T_1135 = _T_1134 | _T_1104; // @[Mux.scala 27:72] + wire [32:0] _T_556 = _T_555 | _T_546; // @[Mux.scala 27:72] + wire [32:0] _T_557 = _T_556 | _T_547; // @[Mux.scala 27:72] + wire [32:0] _T_558 = _T_557 | _T_548; // @[Mux.scala 27:72] + wire [32:0] _T_559 = _T_558 | _T_549; // @[Mux.scala 27:72] + wire [32:0] _T_560 = _T_559 | _T_550; // @[Mux.scala 27:72] + wire [32:0] _T_561 = _T_560 | _T_551; // @[Mux.scala 27:72] + wire [32:0] _T_562 = _T_561 | _T_552; // @[Mux.scala 27:72] + wire [32:0] r_in = _T_562 | _T_553; // @[Mux.scala 27:72] + wire [31:0] _T_566 = {q_ff[28:0],_T_250,_T_263,_T_278}; // @[Cat.scala 29:58] + wire _T_589 = ~b_ff[3]; // @[exu_div_ctl.scala 704:70] + wire _T_591 = ~b_ff[2]; // @[exu_div_ctl.scala 704:70] + wire _T_594 = _T_589 & _T_591; // @[exu_div_ctl.scala 704:95] + wire _T_593 = ~b_ff[1]; // @[exu_div_ctl.scala 704:70] + wire _T_595 = _T_594 & _T_593; // @[exu_div_ctl.scala 704:95] + wire _T_596 = a_ff[3] & _T_595; // @[exu_div_ctl.scala 705:11] + wire _T_603 = a_ff[3] & _T_594; // @[exu_div_ctl.scala 705:11] + wire _T_605 = ~b_ff[0]; // @[exu_div_ctl.scala 710:33] + wire _T_606 = _T_603 & _T_605; // @[exu_div_ctl.scala 710:31] + wire _T_616 = a_ff[2] & _T_595; // @[exu_div_ctl.scala 705:11] + wire _T_617 = _T_606 | _T_616; // @[exu_div_ctl.scala 710:42] + wire _T_620 = a_ff[3] & a_ff[2]; // @[exu_div_ctl.scala 703:95] + wire _T_626 = _T_620 & _T_594; // @[exu_div_ctl.scala 705:11] + wire _T_627 = _T_617 | _T_626; // @[exu_div_ctl.scala 710:75] + wire _T_634 = a_ff[2] & _T_594; // @[exu_div_ctl.scala 705:11] + wire _T_637 = _T_634 & _T_605; // @[exu_div_ctl.scala 712:31] + wire _T_647 = a_ff[1] & _T_595; // @[exu_div_ctl.scala 705:11] + wire _T_648 = _T_637 | _T_647; // @[exu_div_ctl.scala 712:42] + wire _T_654 = _T_589 & _T_593; // @[exu_div_ctl.scala 704:95] + wire _T_655 = a_ff[3] & _T_654; // @[exu_div_ctl.scala 705:11] + wire _T_658 = _T_655 & _T_605; // @[exu_div_ctl.scala 712:106] + wire _T_659 = _T_648 | _T_658; // @[exu_div_ctl.scala 712:78] + wire _T_662 = ~a_ff[2]; // @[exu_div_ctl.scala 703:70] + wire _T_663 = a_ff[3] & _T_662; // @[exu_div_ctl.scala 703:95] + wire _T_671 = _T_594 & b_ff[1]; // @[exu_div_ctl.scala 704:95] + wire _T_672 = _T_671 & b_ff[0]; // @[exu_div_ctl.scala 704:95] + wire _T_673 = _T_663 & _T_672; // @[exu_div_ctl.scala 705:11] + wire _T_674 = _T_659 | _T_673; // @[exu_div_ctl.scala 712:117] + wire _T_676 = ~a_ff[3]; // @[exu_div_ctl.scala 703:70] + wire _T_679 = _T_676 & a_ff[2]; // @[exu_div_ctl.scala 703:95] + wire _T_680 = _T_679 & a_ff[1]; // @[exu_div_ctl.scala 703:95] + wire _T_686 = _T_680 & _T_594; // @[exu_div_ctl.scala 705:11] + wire _T_687 = _T_674 | _T_686; // @[exu_div_ctl.scala 713:44] + wire _T_693 = _T_620 & _T_589; // @[exu_div_ctl.scala 705:11] + wire _T_696 = _T_693 & _T_605; // @[exu_div_ctl.scala 713:107] + wire _T_697 = _T_687 | _T_696; // @[exu_div_ctl.scala 713:80] + wire _T_706 = _T_589 & b_ff[2]; // @[exu_div_ctl.scala 704:95] + wire _T_707 = _T_706 & _T_593; // @[exu_div_ctl.scala 704:95] + wire _T_708 = _T_620 & _T_707; // @[exu_div_ctl.scala 705:11] + wire _T_709 = _T_697 | _T_708; // @[exu_div_ctl.scala 713:119] + wire _T_712 = a_ff[3] & a_ff[1]; // @[exu_div_ctl.scala 703:95] + wire _T_718 = _T_712 & _T_654; // @[exu_div_ctl.scala 705:11] + wire _T_719 = _T_709 | _T_718; // @[exu_div_ctl.scala 714:44] + wire _T_724 = _T_620 & a_ff[1]; // @[exu_div_ctl.scala 703:95] + wire _T_729 = _T_724 & _T_706; // @[exu_div_ctl.scala 705:11] + wire _T_730 = _T_719 | _T_729; // @[exu_div_ctl.scala 714:79] + wire _T_734 = a_ff[2] & a_ff[1]; // @[exu_div_ctl.scala 703:95] + wire _T_735 = _T_734 & a_ff[0]; // @[exu_div_ctl.scala 703:95] + wire _T_741 = _T_735 & _T_654; // @[exu_div_ctl.scala 705:11] + wire _T_747 = _T_663 & a_ff[0]; // @[exu_div_ctl.scala 703:95] + wire _T_752 = _T_589 & b_ff[1]; // @[exu_div_ctl.scala 704:95] + wire _T_753 = _T_752 & b_ff[0]; // @[exu_div_ctl.scala 704:95] + wire _T_754 = _T_747 & _T_753; // @[exu_div_ctl.scala 705:11] + wire _T_755 = _T_741 | _T_754; // @[exu_div_ctl.scala 716:45] + wire _T_762 = a_ff[2] & _T_654; // @[exu_div_ctl.scala 705:11] + wire _T_765 = _T_762 & _T_605; // @[exu_div_ctl.scala 716:114] + wire _T_766 = _T_755 | _T_765; // @[exu_div_ctl.scala 716:86] + wire _T_773 = a_ff[1] & _T_594; // @[exu_div_ctl.scala 705:11] + wire _T_776 = _T_773 & _T_605; // @[exu_div_ctl.scala 717:33] + wire _T_777 = _T_766 | _T_776; // @[exu_div_ctl.scala 716:129] + wire _T_787 = a_ff[0] & _T_595; // @[exu_div_ctl.scala 705:11] + wire _T_788 = _T_777 | _T_787; // @[exu_div_ctl.scala 717:47] + wire _T_793 = ~a_ff[1]; // @[exu_div_ctl.scala 703:70] + wire _T_795 = _T_679 & _T_793; // @[exu_div_ctl.scala 703:95] + wire _T_805 = _T_795 & _T_672; // @[exu_div_ctl.scala 705:11] + wire _T_806 = _T_788 | _T_805; // @[exu_div_ctl.scala 717:88] + wire _T_815 = _T_680 & _T_589; // @[exu_div_ctl.scala 705:11] + wire _T_818 = _T_815 & _T_605; // @[exu_div_ctl.scala 718:36] + wire _T_819 = _T_806 | _T_818; // @[exu_div_ctl.scala 717:131] + wire _T_825 = _T_591 & _T_593; // @[exu_div_ctl.scala 704:95] + wire _T_826 = a_ff[3] & _T_825; // @[exu_div_ctl.scala 705:11] + wire _T_829 = _T_826 & _T_605; // @[exu_div_ctl.scala 718:76] + wire _T_830 = _T_819 | _T_829; // @[exu_div_ctl.scala 718:47] + wire _T_840 = _T_706 & b_ff[1]; // @[exu_div_ctl.scala 704:95] + wire _T_841 = _T_663 & _T_840; // @[exu_div_ctl.scala 705:11] + wire _T_842 = _T_830 | _T_841; // @[exu_div_ctl.scala 718:88] + wire _T_856 = _T_680 & _T_707; // @[exu_div_ctl.scala 705:11] + wire _T_857 = _T_842 | _T_856; // @[exu_div_ctl.scala 718:131] + wire _T_863 = _T_679 & a_ff[0]; // @[exu_div_ctl.scala 703:95] + wire _T_869 = _T_863 & _T_654; // @[exu_div_ctl.scala 705:11] + wire _T_870 = _T_857 | _T_869; // @[exu_div_ctl.scala 719:47] + wire _T_877 = _T_663 & _T_793; // @[exu_div_ctl.scala 703:95] + wire _T_883 = _T_706 & b_ff[0]; // @[exu_div_ctl.scala 704:95] + wire _T_884 = _T_877 & _T_883; // @[exu_div_ctl.scala 705:11] + wire _T_885 = _T_870 | _T_884; // @[exu_div_ctl.scala 719:88] + wire _T_890 = _T_662 & a_ff[1]; // @[exu_div_ctl.scala 703:95] + wire _T_891 = _T_890 & a_ff[0]; // @[exu_div_ctl.scala 703:95] + wire _T_897 = _T_891 & _T_594; // @[exu_div_ctl.scala 705:11] + wire _T_898 = _T_885 | _T_897; // @[exu_div_ctl.scala 719:131] + wire _T_904 = _T_620 & _T_593; // @[exu_div_ctl.scala 705:11] + wire _T_907 = _T_904 & _T_605; // @[exu_div_ctl.scala 720:75] + wire _T_908 = _T_898 | _T_907; // @[exu_div_ctl.scala 720:47] + wire _T_916 = _T_680 & a_ff[0]; // @[exu_div_ctl.scala 703:95] + wire _T_921 = _T_916 & _T_706; // @[exu_div_ctl.scala 705:11] + wire _T_922 = _T_908 | _T_921; // @[exu_div_ctl.scala 720:88] + wire _T_929 = b_ff[3] & _T_591; // @[exu_div_ctl.scala 704:95] + wire _T_930 = _T_620 & _T_929; // @[exu_div_ctl.scala 705:11] + wire _T_931 = _T_922 | _T_930; // @[exu_div_ctl.scala 720:131] + wire _T_941 = _T_929 & _T_593; // @[exu_div_ctl.scala 704:95] + wire _T_942 = _T_712 & _T_941; // @[exu_div_ctl.scala 705:11] + wire _T_943 = _T_931 | _T_942; // @[exu_div_ctl.scala 721:47] + wire _T_946 = a_ff[3] & a_ff[0]; // @[exu_div_ctl.scala 703:95] + wire _T_952 = _T_946 & _T_825; // @[exu_div_ctl.scala 705:11] + wire _T_953 = _T_943 | _T_952; // @[exu_div_ctl.scala 721:88] + wire _T_957 = a_ff[3] & _T_793; // @[exu_div_ctl.scala 703:95] + wire _T_965 = _T_840 & b_ff[0]; // @[exu_div_ctl.scala 704:95] + wire _T_966 = _T_957 & _T_965; // @[exu_div_ctl.scala 705:11] + wire _T_967 = _T_953 | _T_966; // @[exu_div_ctl.scala 721:131] + wire _T_974 = _T_724 & b_ff[3]; // @[exu_div_ctl.scala 705:11] + wire _T_977 = _T_974 & _T_605; // @[exu_div_ctl.scala 722:77] + wire _T_978 = _T_967 | _T_977; // @[exu_div_ctl.scala 722:47] + wire _T_987 = b_ff[3] & _T_593; // @[exu_div_ctl.scala 704:95] + wire _T_988 = _T_724 & _T_987; // @[exu_div_ctl.scala 705:11] + wire _T_989 = _T_978 | _T_988; // @[exu_div_ctl.scala 722:88] + wire _T_994 = _T_620 & a_ff[0]; // @[exu_div_ctl.scala 703:95] + wire _T_999 = _T_994 & _T_987; // @[exu_div_ctl.scala 705:11] + wire _T_1000 = _T_989 | _T_999; // @[exu_div_ctl.scala 722:131] + wire _T_1006 = _T_663 & a_ff[1]; // @[exu_div_ctl.scala 703:95] + wire _T_1011 = _T_1006 & _T_752; // @[exu_div_ctl.scala 705:11] + wire _T_1012 = _T_1000 | _T_1011; // @[exu_div_ctl.scala 723:47] + wire _T_1017 = _T_712 & a_ff[0]; // @[exu_div_ctl.scala 703:95] + wire _T_1020 = _T_1017 & _T_591; // @[exu_div_ctl.scala 705:11] + wire _T_1021 = _T_1012 | _T_1020; // @[exu_div_ctl.scala 723:88] + wire _T_1028 = _T_724 & a_ff[0]; // @[exu_div_ctl.scala 703:95] + wire _T_1030 = _T_1028 & b_ff[3]; // @[exu_div_ctl.scala 705:11] + wire _T_1031 = _T_1021 | _T_1030; // @[exu_div_ctl.scala 723:131] + wire _T_1037 = _T_712 & _T_591; // @[exu_div_ctl.scala 705:11] + wire _T_1040 = _T_1037 & _T_605; // @[exu_div_ctl.scala 724:74] + wire _T_1041 = _T_1031 | _T_1040; // @[exu_div_ctl.scala 724:47] + wire [31:0] _T_567 = {28'h0,_T_596,_T_627,_T_730,_T_1041}; // @[Cat.scala 29:58] + wire [31:0] _T_569 = _T_77 ? _T_566 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_570 = smallnum_case ? _T_567 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_571 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_572 = _T_569 | _T_570; // @[Mux.scala 27:72] + wire [31:0] q_in = _T_572 | _T_571; // @[Mux.scala 27:72] + wire _T_577 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 698:16] + wire _T_578 = _T_30 & _T_577; // @[exu_div_ctl.scala 698:14] + wire [31:0] _T_581 = _T_578 ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_582 = control_ff[0] ? r_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_583 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_584 = _T_581 | _T_582; // @[Mux.scala 27:72] + wire _T_1068 = shortq == 6'h1b; // @[exu_div_ctl.scala 739:58] + wire _T_1069 = shortq == 6'h1a; // @[exu_div_ctl.scala 739:58] + wire _T_1070 = shortq == 6'h19; // @[exu_div_ctl.scala 739:58] + wire _T_1071 = shortq == 6'h18; // @[exu_div_ctl.scala 739:58] + wire _T_1072 = shortq == 6'h17; // @[exu_div_ctl.scala 739:58] + wire _T_1073 = shortq == 6'h16; // @[exu_div_ctl.scala 739:58] + wire _T_1074 = shortq == 6'h15; // @[exu_div_ctl.scala 739:58] + wire _T_1075 = shortq == 6'h14; // @[exu_div_ctl.scala 739:58] + wire _T_1076 = shortq == 6'h13; // @[exu_div_ctl.scala 739:58] + wire _T_1077 = shortq == 6'h12; // @[exu_div_ctl.scala 739:58] + wire _T_1078 = shortq == 6'h11; // @[exu_div_ctl.scala 739:58] + wire _T_1079 = shortq == 6'h10; // @[exu_div_ctl.scala 739:58] + wire _T_1080 = shortq == 6'hf; // @[exu_div_ctl.scala 739:58] + wire _T_1081 = shortq == 6'he; // @[exu_div_ctl.scala 739:58] + wire _T_1082 = shortq == 6'hd; // @[exu_div_ctl.scala 739:58] + wire _T_1083 = shortq == 6'hc; // @[exu_div_ctl.scala 739:58] + wire _T_1084 = shortq == 6'hb; // @[exu_div_ctl.scala 739:58] + wire _T_1085 = shortq == 6'ha; // @[exu_div_ctl.scala 739:58] + wire _T_1086 = shortq == 6'h9; // @[exu_div_ctl.scala 739:58] + wire _T_1087 = shortq == 6'h8; // @[exu_div_ctl.scala 739:58] + wire _T_1088 = shortq == 6'h7; // @[exu_div_ctl.scala 739:58] + wire _T_1089 = shortq == 6'h6; // @[exu_div_ctl.scala 739:58] + wire _T_1090 = shortq == 6'h5; // @[exu_div_ctl.scala 739:58] + wire _T_1091 = shortq == 6'h4; // @[exu_div_ctl.scala 739:58] + wire _T_1092 = shortq == 6'h3; // @[exu_div_ctl.scala 739:58] + wire _T_1093 = shortq == 6'h2; // @[exu_div_ctl.scala 739:58] + wire _T_1094 = shortq == 6'h1; // @[exu_div_ctl.scala 739:58] + wire _T_1095 = shortq == 6'h0; // @[exu_div_ctl.scala 739:58] + wire [1:0] _T_1100 = _T_1068 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1101 = _T_1069 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1102 = _T_1070 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1103 = _T_1071 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1104 = _T_1072 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1105 = _T_1073 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1106 = _T_1074 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1107 = _T_1075 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1108 = _T_1076 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1109 = _T_1077 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1110 = _T_1078 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1111 = _T_1079 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1112 = _T_1080 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1113 = _T_1081 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1114 = _T_1082 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1115 = _T_1083 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1116 = _T_1084 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1117 = _T_1085 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1118 = _T_1086 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1119 = _T_1087 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1120 = _T_1088 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1121 = _T_1089 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1122 = _T_1090 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1123 = _T_1091 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1124 = _T_1092 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1125 = _T_1093 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1126 = _T_1094 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1127 = _T_1095 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [2:0] _GEN_12 = {{1'd0}, _T_1100}; // @[Mux.scala 27:72] + wire [2:0] _T_1132 = _GEN_12 | _T_1101; // @[Mux.scala 27:72] + wire [2:0] _T_1133 = _T_1132 | _T_1102; // @[Mux.scala 27:72] + wire [2:0] _T_1134 = _T_1133 | _T_1103; // @[Mux.scala 27:72] + wire [3:0] _GEN_13 = {{1'd0}, _T_1134}; // @[Mux.scala 27:72] + wire [3:0] _T_1135 = _GEN_13 | _T_1104; // @[Mux.scala 27:72] wire [3:0] _T_1136 = _T_1135 | _T_1105; // @[Mux.scala 27:72] - wire [4:0] _GEN_14 = {{1'd0}, _T_1136}; // @[Mux.scala 27:72] - wire [4:0] _T_1137 = _GEN_14 | _T_1106; // @[Mux.scala 27:72] - wire [4:0] _T_1138 = _T_1137 | _T_1107; // @[Mux.scala 27:72] - wire [4:0] _T_1139 = _T_1138 | _T_1108; // @[Mux.scala 27:72] - wire [4:0] _T_1140 = _T_1139 | _T_1109; // @[Mux.scala 27:72] - wire [4:0] _T_1141 = _T_1140 | _T_1110; // @[Mux.scala 27:72] - wire [4:0] _T_1142 = _T_1141 | _T_1111; // @[Mux.scala 27:72] - wire [4:0] _T_1143 = _T_1142 | _T_1112; // @[Mux.scala 27:72] - wire [4:0] _T_1144 = _T_1143 | _T_1113; // @[Mux.scala 27:72] + wire [3:0] _T_1137 = _T_1136 | _T_1106; // @[Mux.scala 27:72] + wire [3:0] _T_1138 = _T_1137 | _T_1107; // @[Mux.scala 27:72] + wire [3:0] _T_1139 = _T_1138 | _T_1108; // @[Mux.scala 27:72] + wire [3:0] _T_1140 = _T_1139 | _T_1109; // @[Mux.scala 27:72] + wire [3:0] _T_1141 = _T_1140 | _T_1110; // @[Mux.scala 27:72] + wire [3:0] _T_1142 = _T_1141 | _T_1111; // @[Mux.scala 27:72] + wire [3:0] _T_1143 = _T_1142 | _T_1112; // @[Mux.scala 27:72] + wire [4:0] _GEN_14 = {{1'd0}, _T_1143}; // @[Mux.scala 27:72] + wire [4:0] _T_1144 = _GEN_14 | _T_1113; // @[Mux.scala 27:72] wire [4:0] _T_1145 = _T_1144 | _T_1114; // @[Mux.scala 27:72] wire [4:0] _T_1146 = _T_1145 | _T_1115; // @[Mux.scala 27:72] wire [4:0] _T_1147 = _T_1146 | _T_1116; // @[Mux.scala 27:72] wire [4:0] _T_1148 = _T_1147 | _T_1117; // @[Mux.scala 27:72] wire [4:0] _T_1149 = _T_1148 | _T_1118; // @[Mux.scala 27:72] wire [4:0] _T_1150 = _T_1149 | _T_1119; // @[Mux.scala 27:72] - wire [4:0] shortq_decode = _T_1150 | _T_1120; // @[Mux.scala 27:72] - exu_div_cls a_enc ( // @[exu_div_ctl.scala 719:21] + wire [4:0] _T_1151 = _T_1150 | _T_1120; // @[Mux.scala 27:72] + wire [4:0] _T_1152 = _T_1151 | _T_1121; // @[Mux.scala 27:72] + wire [4:0] _T_1153 = _T_1152 | _T_1122; // @[Mux.scala 27:72] + wire [4:0] _T_1154 = _T_1153 | _T_1123; // @[Mux.scala 27:72] + wire [4:0] _T_1155 = _T_1154 | _T_1124; // @[Mux.scala 27:72] + wire [4:0] _T_1156 = _T_1155 | _T_1125; // @[Mux.scala 27:72] + wire [4:0] _T_1157 = _T_1156 | _T_1126; // @[Mux.scala 27:72] + wire [4:0] shortq_decode = _T_1157 | _T_1127; // @[Mux.scala 27:72] + exu_div_cls a_enc ( // @[exu_div_ctl.scala 727:21] .io_operand(a_enc_io_operand), .io_cls(a_enc_io_cls) ); - exu_div_cls b_enc ( // @[exu_div_ctl.scala 722:20] + exu_div_cls b_enc ( // @[exu_div_ctl.scala 730:20] .io_operand(b_enc_io_operand), .io_cls(b_enc_io_cls) ); @@ -875,10 +875,10 @@ module exu_div_new_3bit_fullshortq( .io_clk(rvclkhdr_10_io_clk), .io_en(rvclkhdr_10_io_en) ); - assign io_data_out = _T_577 | _T_576; // @[exu_div_ctl.scala 689:15] - assign io_valid_out = finish_ff & _T; // @[exu_div_ctl.scala 688:16] - assign a_enc_io_operand = {control_ff[2],a_ff[31:0]}; // @[exu_div_ctl.scala 720:20] - assign b_enc_io_operand = b_ff[32:0]; // @[exu_div_ctl.scala 723:20] + assign io_data_out = _T_584 | _T_583; // @[exu_div_ctl.scala 697:15] + assign io_valid_out = finish_ff & _T; // @[exu_div_ctl.scala 696:16] + assign a_enc_io_operand = {control_ff[2],a_ff[31:0]}; // @[exu_div_ctl.scala 728:20] + assign b_enc_io_operand = b_ff[32:0]; // @[exu_div_ctl.scala 731:20] assign rvclkhdr_io_clk = clock; // @[lib.scala 392:18] assign rvclkhdr_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 392:18] diff --git a/src/main/scala/exu/exu_div_ctl.scala b/src/main/scala/exu/exu_div_ctl.scala index 58ec5df4..afdd7a63 100644 --- a/src/main/scala/exu/exu_div_ctl.scala +++ b/src/main/scala/exu/exu_div_ctl.scala @@ -602,6 +602,13 @@ class exu_div_new_3bit_fullshortq extends Module with RequireAsyncReset with lib val shortq_shift = WireInit(0.U(5.W)) val shortq_decode = WireInit(0.U(5.W)) val shortq_shift_ff = WireInit(0.U(5.W)) + val adder1_out = WireInit(0.U(34.W)) + val adder2_out = WireInit(0.U(35.W)) + val adder3_out = WireInit(0.U(36.W)) + val adder4_out = WireInit(0.U(37.W)) + val adder5_out = WireInit(0.U(37.W)) + val adder6_out = WireInit(0.U(37.W)) + val adder7_out = WireInit(0.U(37.W)) val valid_ff_in = io.valid_in & !io.cancel val control_in = Cat((!io.valid_in & control_ff(2)) | (io.valid_in & io.signed_in & io.dividend_in(31)), (!io.valid_in & control_ff(1)) | (io.valid_in & io.signed_in & io.divisor_in(31)), (!io.valid_in & control_ff(0)) | (io.valid_in & io.rem_in)) val dividend_sign_ff = control_ff(2) @@ -634,13 +641,14 @@ class exu_div_new_3bit_fullshortq extends Module with RequireAsyncReset with lib val r_adder5_sel = running_state & (quotient_new === 5.U) & !shortq_enable_ff val r_adder6_sel = running_state & (quotient_new === 6.U) & !shortq_enable_ff val r_adder7_sel = running_state & (quotient_new === 7.U) & !shortq_enable_ff - val adder1_out = Cat(r_ff(30,0),a_ff(32,30)) + b_ff(33,0) - val adder2_out = Cat(r_ff(31,0),a_ff(32,30)) + Cat(b_ff(33,0),0.U) - val adder3_out = Cat(r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U) + b_ff(35,0) - val adder4_out = Cat(r_ff(32),r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U(2.W)) - val adder5_out = Cat(r_ff(32),r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U(2.W)) + b_ff - val adder6_out = Cat(r_ff(32),r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U(2.W)) + Cat(b_ff(35,0),0.U) - val adder7_out = Cat(r_ff(32),r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U(2.W)) + Cat(b_ff(35,0),0.U) + b_ff + + adder1_out := Cat(r_ff(30,0),a_ff(32,30)) + b_ff(33,0) + adder2_out := Cat(r_ff(31,0),a_ff(32,30)) + Cat(b_ff(33,0),0.U) + adder3_out := Cat(r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U) + b_ff(35,0) + adder4_out := Cat(r_ff(32),r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U(2.W)) + adder5_out := Cat(r_ff(32),r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U(2.W)) + b_ff + adder6_out := Cat(r_ff(32),r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U(2.W)) + Cat(b_ff(35,0),0.U) + adder7_out := Cat(r_ff(32),r_ff(32,0),a_ff(32,30)) + Cat(b_ff(34,0),0.U(2.W)) + Cat(b_ff(35,0),0.U) + b_ff quotient_raw := Cat((!adder7_out(36) ^ dividend_sign_ff) | ((a_ff(29,0) === 0.U) & (adder7_out === 0.U)), (!adder6_out(36) ^ dividend_sign_ff) | ((a_ff(29,0) === 0.U) & (adder6_out === 0.U)), (!adder5_out(36) ^ dividend_sign_ff) | ((a_ff(29,0) === 0.U) & (adder5_out === 0.U)), diff --git a/target/scala-2.12/classes/exu/div_main4$.class b/target/scala-2.12/classes/exu/div_main4$.class index adf42c12e8bf7e35b089c4def47782444da403f1..18e6128895e5f342747527d4b4d10b1d6e98f991 100644 GIT binary patch delta 99 zcmX>ocTjEv8#m+E$?V*=Kr)V3d~yu8CzQ39+X~3~!EFO1O?e>ll9PLQEP(u@Jg#6> il9N?TR0w~(Xw;KTS;UqKw delta 99 zcmX>ocTjEv8#m+I$?V*=Kr)V3d~yu8CzQ39+X~3~!EFO1O?e>ll9PLQEP(u@Jg#6> il9N?^4;GV?HmGV?O@GV?Q>XBK4m i$}Gev#4OBs8R+>Z8W})Cd^ID1#`|`ol9L6Lq$c+%n*v3SD90&q z3Yc$aSiFg0-8P0z+Zdj0WME)mV%!BJ*%%lZ7??RHJE`OXZQr00$;>6-1JcZYd=o?4 zZiac0Lh}WIVrf7z$;p1IF+f$TRXY^81SIhp$Tc}wtr+O!<7(zWC;wM-2fEK)T@~n> zTy+_s5nbwOKo5RYH(=%#5C9u(tHBEt@zy9;V*1a(#mvAU%gn+M%FN2p%goMjo|%*3 iD>E0P5HmO9WuWJuXk-8l@zsn38tbd6v-z@SG$Q~PidC2Z diff --git a/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class index d8bdf80f754b381cb5db00517a763f23b80fe8e2..fbaf2b50f7754404b9e0727a3498f5a193493071 100644 GIT binary patch delta 10760 zcmai42Ygh;_P%E}dy`F;cti{LsrLYr`XlBtzpgDKX9)S2#YQSJj9I!Po;zhQb<>I`z}aIepuhR*yZ9oQM+Bl!({ z@on7hjxW27k8{UY-Nwt^aV9;JCHO6F{3?qz93%?t?ghS06%K@{|1|3j0mlwlt?Nw( z3bkKV{Z{yd17pG-q60%BdJRe+Vo{jazpctd#gKlpDwCx2yH%Mir9Z986hktTRhcR! zlU13f4AI}17DPkzzfDV|f%@;$av|pwO-mmLPIFhQvRpBw?p9@mlzLi~l~S@>l~q#e zV^y3|O1CN%%D@Ak7u5y(&G0(wt1z|Es!Z2cmXGZ^Oa4A?RR&4veXBB4N++$#Y$=_# z(sPt0>YcSJb9A3&`7~Fbv}`{W>$c^as6>Bcc?vDi&n%A_QRwv{^t@H8a=(;(-~uW6 z!Ua;Y!Ua*lqGGg%0m4gD_)`b`r|8S z(o)@jRZ;(PsWsB7ER)hGxJpX-aFvwC!c|fl4_8U40IrhKM7Zj};Z;Fqzaj~ovnr+f zh1GTB&`Z|ziJK>zziwqF!y(?^S(ViiyJ1zz^f%VT&|>{lq)QI`zNTEw8loslpa=pZ zM+g`>0>H>I{6>z!H*yTUkrycgjog7yIJ7YiL2zMXPXs|g?XXl?f)Fq?5CBGw;Wu&& zzL8_-ja&?TU2TA>cYerU-@CQi$g}in^yj$VfOKMmNPjfanr%Xk*)Gx-jkG@(@+U@G z_JEMp2SxfY(ph@SLk;@Ao&Nf{hpK6gKK|hbq&JYx)7R{1pfWvTXM=uWm%sk%&T1;x zb9Ob*GW{abo%-xYM9Ll&DM$L4-eI>$-!Rhr#~SEm{koA>Jl;Tu^^m_b&_(^3zclE- zKk2Uzd7_#w>F1wlpilG}Pd3n1{STyH>iSa+dhfk0^lp1<^wY?X@2R1$^^s3E&^P*5 zNU!TFo@toA)OM^ za!53TPYI_G3gmkts4X853A{@rG}cB^5qvAi{}kZY0!)j7)HVuIxsawoqIt5x6H#R2 zkBXunMbTv;r9?xDjHb{-v!iJr@u}hHQ>R$;#E@n+OM9B7Yt2&2IG5g}W@$$p-ACJn zQQPAwksdP4OBdn}LtG}r-G+Ebh)){gPeMEZF_@<$Pz}Ao->_2@Pe~#xzmh&}Uxs*>OSUy*5^;MISQ1@S^#ZM)UtQs%1ybe;)Muwf$W+TisoA;yr5q z$Gv7J*(hwdv(ZWSsQL%L*pb?VOuoCwtjMA%_b6hrXzD$Rykya|dladBWeSZp6=|(_ z);vmtkYJ3S>7dz%$D~p(lS39o@%ZlK#h0W~3qWad_#J%zFbx0E-Y7YhO5-t~CudL` zAJB!mK|WK2fO)VBwSc^PCKTf*kU!+JU1&T=mWn2uc!zeS7G4gUvc(`4(pq6hJb$_? zjX@RgjS}eF{MImvbdgqeqxJ&b&Qm8)3_sD0x{IGDn~81W=a4bzQD#jPy@>ys7U!WbgJ0=ET}?Bz9(>9;%&8foLKM#&OkUxEsAT?Ki}QA8oTbI` zvhkEkv-tku@bFtbDcCei>u!J$(hMF5okVwI_|9DN$FKhU)=Y}!_1R>>uUuKSua{9a z#-nVsQD#$L^la6b*YUiEojQY{)Cd^ZkUH8G0?*sAjF*b_s;j9~3*-p}6k}5lxwDjN zF>XzjYEie%K+SnspmM?_9*~8OA+7>J)LrPr^MCe6yQNyTY`2sT>qAMfW2qRrDBdxP zy#0^3H#M8j%Ld82eX!Ke1)W)a@Sr)A|GN*_X%5%2;F;lR)Xg*pj=x$C&0e__fM40h zFRf8M;m$UPUrnPp3g?uL4QQ@3_0cpk^PcGx4q$jX%$W=43v)1iBKbq0UG6+ZS`M3?p}Y9LuyE=^QnK zIzt&YMjNd`#!T!8^+_J;g+g7J7t1eZ&;**#Q`e))@J#Asny-zOvujT#8k(j5B62AfRa^3uEcjrdh}&ph(F^l?q3}l>wdVC%lxA9_<%_DZ{JSi~+9Iu= zLFD&C^GsUAW3#b0UC0x@$wvLfVyu#oHHSX7_YT<vsbXsM*ff+J=Y!ph9MWs}XcR7?u7o(OXM>LLhZc*lO26ic-N zH_t8A#=AV%p0DeN5Gog@#8C%+Mf_SO1FB~(X{KceC=mn^2hE*a!+pcuxaDqk?8-%G zJT?fe3P+ljWAw!cSr3|1MBVq=H+q}7Egw6(V=(=NAI_s#TFI{rgtu1m%slLXD|t$P z;}^E#IO@ii^+&c!s;rVKtE9>*vCGC$51uvvnNzAbrHa!~;l9J*Ua|C0FaC$ns*qY0 zQmaA|RY;=V{HWlolq!`{rBbR?8Y(T*nmZwOT@|};quSox7ge%ym8@JPD_2SWzC3p@ z&WEd|%4(^yTB@uzRAMvXAMY2{^~fw*tjD^#R*Mva+nUD?rC`E#G8_?kdKg)F)es5- zaArDI6(dB~VyP5y(BoQjh_2NF+)e{OgWu29jOX&b&ZC)i&CS?s2_ELG(|UOYF(PTDhtxSCTc$`u*M_{)$x?SBg zGrQmJcJ*CG1T-7rK5R9x0VlxNX!GmlKgXF2_j_7dgL4D=8^uozr!Z5Ev?YoUABxla zm0>ss)QEEcf^7uW;2N!`F#v9s)ZAr>?Vgsb|DTqu_poIB-IlC(vt)gfCBkVoT5qhV zZdR?o%c`JzTD9SSTD8H$sttErwZYA*4KAy&P=3QBd;HbHD8ye9 zHe_R&?>HXIe63hlqxq+!aUQBgY>Pt@gu&t<63bsLq%f#V&c{AotBo?weh}n_9S3sF z+ZD?}o{eqNS{g@4+QhZ-*i<)ZiH6-qO91DNr>@B23aBTtN#kJFCSF-!WSu8aT&Nf3 zhs~37vx{@Hpe*8C274ReSZu{>m_NuB(0a$dW%(5Yw1qB z#YMbD5^wP!-Xe*&Na6wcAl@R0aZeEb-6Dy7K&AgRR4j*Kn(A(jj+I&5m`yrPE#kBp z1Qj1ojX^Grv}O%YcCAo`q0VovK%Ae+C$9*szmFK}?;WNzaBU&Q1l?^(gE@>CqV z8u;)96l;Si9t}0{tM}bj5eLK|UqR&2qXwQj6(i6f&Y3a%k#1y#jB^Q2XOqdxc89Vy zUv{oRa~KmgMDTgst{QC08kf#uIXN4&Y2qLi6D;P>9sS$(-}<-B=wFC21|AOH=6Y<{ zCd_QQjBdNP2e!%1ZR5L(C_ccmFWbbuHi@sAj;@LeE&sVhoZissK+hg+YwpoDZOQFk zdt4l$v)$;m7o-~5?QPm3(d}s7xRwG*=iQ2FG(8}$+A)0W46JxZigCf(si|`5(@L=P z?c@n1Sl4!H0g_{<)|yvN$H{g}2{`}{lM9w{8Het4Dnu15g;D%9aY{Z2RoW#^L^Dl~ z;P`56+-NIHVmuBrdt_@(r?fqCD~T(m1e>?!d7yY&3~X1M-yQHX0&d95WZ4=~7Ia71bF%C>%J`a6H1fOz{O91|>jf!~ znuFJp{o?i}PCc$}_hB!2H+wL`^pdN)!{^fX^fJ$whf#YOmtt|~=NTKx0|c1+B>B-1fhtt(EC zT7G=fLcG-+6IEOD+7%SRGs|&?KgQ!18P!TF8BR;>J!uZft?mQn>gn!SEUC&Lz|a#Y>uL!uXXXlwf)f%xE^5cV0?e`MN4N$+?tP(+PRs zk6(=T63cPlJHe-vqlFXNLbqW#f%e6V5=uWUr-_7js2*S#-W@id6sM@>0Xzx!8E;P~ zY)YjtB9VW&41PN)@6?l*V6w$mVa(8d3m>{1k#!QcbC*Z#bV^=?#Rv*{p;&gs{qYp$ zy+M4^rmU94*B4^$EvuveKCB!^+EZeCi{g)_;s}09xIGCO*88Rf@l=xqD=8ObO--I& zNoiiE1f$CW3(r`E7l+es_8wi0aoD{IOVepry+2lw-RpFdCGNwu&`Ak&Mji!?KAhqE zt6+k7`!StCz!`2lBiU0bXdu9`&4Xo(c@Tf4f}*|7xU+}xph{{(XPd3E@#0Fn8lCm1 z{8lCP^g7$r8)K*g`K~pj@xfI%l%B)U(AcTZi51K>VsuUzn1sxD^l%MO6pvg@@u*`s zDw$7TO)>l4?L?_c=8&U^nuGH=Njtc^?`dY>~vo2J577^dAS)IqkCQ( zBt3Ipa>n<-EIP6li^g00_*&{>`VdbT#`1$}mM?F$j>1hBv=F%yj9rI0eUU$~jz*e3 z)`BJIU5#@uA`5D$C4DNl_ZMp@(sTs@EWAWlL?A}- z!1Z{SxFQ~tl28^TYb{xinSWI-7+1Bp+uk%?l`CEh_uGKQ^s3l+VtMZkINx0r5BV|t z=pf9QtC)?qN8qY>#A$kLetQEtdlhkFJX&AX2D+`ER}T%`NG8JH0*2Ni7`_nazZhO$ z3#-4-LZxNz)?(g#!T(l^6WKN43tVM+%qF<&8Xk^DOhG&MZ^9ebH93RVK}JazS#o$2 zHp^@L{3gn$FM0B2WMAC(bmZlQj3lb39veCI9A$Gv>N(%JlzYEo6zu7;^?)g)KeMz#C)^BwIw z7Wof;CO`1{MfxTCbyDsAd;AakJ6}`nT8Xw)Tdvw|Wwt8YTIBEAF4``s_Mp(9#Gv-7 zJ$PyG`rumC9(rHsq|m9VJ>rkZfXG1A9yvC0Mr5gKPd}7?Ed71tpQhhT|53H~E$+Ld z?=sb%@lnP%8Q-b)Ov((*3_)I#`C#S_)t>u%o`0TAwdW<}^>*f^LwG&!R33U#P+73G zU>ow|1s@k&R_%o;g;|CDkUvq_Sa?{qPyTH3kCT5^?L{F)ZHwTUqSB(3MHR^3Dmq_u zLA5&~9mx*#%u(j3a-buQV~&p;pQ!fYxZ;%JuE-Y`R~K(U{%eU}iN9(u8Br2BwZs8o zPs!mDctSDxR47V2KV{;ds(NUYPB(qMTIDO9_}M))iQm-8=g{-J=!Iz0$4Vog`YMfc zZebrcwwsf1!wsyb8YI|6i-g7i- zus_VUrR6X^Z35e#Hiz-Fwd{ek4^ z_E_dO?C~ro+nrU#p3K_Lp2|AP_GAxXPiNP&XR^<;XLG{Y-kd_VFXu7#T+UbQ`FYs~$L9mxF`dpYkAJDhimz0&_B_G=GPWIM-UhJ;}N|7&O?+pBk9UFX}9Uo$2$AOs?+x9L{1SWbz8&nu@DO%# z_%Y-^vXdiTW2Z(gU?)fJVyEu^gq|((Y z_EEw2?Bl{jcB$}T_DSJ;>~i4`?C%pJ*{2gvvn!K0yE?2D=YVb`Yp z#=a~X$-XLjn*GC}u&*6s*f);n*!5yF`?k1%eP4Wl-6-kFZkB9jx11%{SYMwiMKQgs zOj1=v^)dUX_)O+UCECYZ>93HQr1;>Us(7iPO016sm`U9W%omudZdVd~{D7Ig#{jbe z^YP9C)&iKfw-cB@FkkN(zyg3-yw3vDfLXm?1?IE?^n(FDK7j!JeM$ia0c&CD3@jL! zW{Ch60xZBH8VLm!Xc3Ks0kc`20TvD{*wO$j0$7mmSYVOBLVdG=MF9)(gK0j|z`}iR z0*e6_=GRGB7z;4cFC1VTzzF}>fW-rg_J0ys0)0tW|I=u=c>5ZG#sBOa|B{bSl6O0NaHQ z0oD;%Vq_q&PQcnn{0CTPU`dgsz*2y9h|C9;3M@JOePCUHbxJ=7tShjN=|2MN1}r80 zGO+HzI`>@$tOu|zeT#tgbOKDx_zqw%fZZ}K0JH<^ni&GDH?STVe*)_Rtb67TU}?a5 zWv&C34yov9mI2J3mkulwSXy2puqEqK6 zU}k|6U@pLng3D0M1D0LzF0lTWZRK6ct-GS(Lc%3m`kF;D&1i1Vj*7)#M@-HKWGF zZ8V8O6cZDpj!RtP5{*k@V)n$B$>>Zb^JX&R%lqpVt=(j1_&%!6{p-|!PF0=SZr>kw z8r7GKhfY(MB9qQQ%P8q&iI0m@uhQ-dIiiz^KrB5iRzk9gv(8DwouA^#_PFa)yvQDR ze~SB3JD$$%xSFBsuKAz~v>_XHQ(cms;X{9cOjIB0wh5gvHXWw_s$A1q+O7jXeNBR2 z!&g4Vt@e2FQ#{!oFMEm?+2d67%tX%bWXCVlK&^v#fz`gixxGTGxBRoA^`^O2r@ENW zx6W4nDYv$GZzbwX@6^_DzQe{uk9Lx%{vT#3T^h%wf10HXNy8qSrA#6HYL>Dz$w16f zwvcpYDMuQup33ncqt%acLdZDvan4A{3As7ZoXHSwmP#~E!w|EyT1XLQsZ>Z-vs5Oe zNV8Nfq-e9WMjF@pRqjU5PTBhF&JvZ6!n9giG%Gw;JYO(NV}x`G4hZQ3vouFYSIy*g z636RZH%oI>Q}GNkPt7PkOfpnUNh8Trca(&Y1?shufXP$!x1eW~&C)a>nP6N<&TvRb zW;iJ%S2!*t1&tAsI~ph?PqS34#;o4#wMg^B*uyMM5hcCM(n9t7)o+ve>MNxMWQpop zmYcLxXiYIo%Y-z|EG-w(470RCNVCk+N+G3~rRRh++bpdTQo30xYJIEB!_X;B03VvA zJoR?vMv|`1t%?lF7v_I3Q=Qf!#vjd6rNADVr2_R-RRCG6-bY&4`nak{jvFmWk~fgo4Fj&%+nSvO=4WWr=?3?cSmONa;?VGNfD8elPR%l$Ore)kF@dKWOQiSDMIS)$7$Ja!vg`((7vM z?j~|Wy@~Xun!l$>joIz0cG|n1e5R^ zmAd-(P2_9Ua-fNPtL{0_q=xKsQIihVsP7=Zcd&*$Xw7@=ay}d6Pdr$nH<>G>6W%10 zOP=gs-lUI^tUfkr32Yr3JzRZV=Ci`O;r7cPFNi4ol)-qgh9T>MBA4?|=H z-O%7}7piUS(X;SP z?M=EvZtG3bP?n)NY&nDpMokq+Wr;v+V+nmo9yum>&h`P%F&odZr#$Na>~dzAp=26L z{{z!8_EjjEMXs`_zT_G5AuH%hhLTS>Z#=TE`;rLf&F@J**iodseQiDakz_sd90bNR zr8~1klP+w_QsP3=*tOLpKzBs{3u_%jhUwC6rKWJ=PSTkYi}sF~Oj4PB@z7b1EF_hC~@bH-)f`6rH=()=LpT; zMG?a252AMZJmTE>i2h%pp-1ILLyIBxxZyyuhvA@wW-#=tzc>2i|FlBAePO1p&>(4r zI{U&btx&H!VxTN-81d0%D+AcT326AFS;PxZLxro?#-Qi3xw2mu!oizq!GNs z!inA@zvW;=nW?Ejce<##ZMarbi@IQTc{mw>vIW9Aj~F}^aLqtADv~I=JWS1}EzDEg zh34K!VnJDeAkS0$G;%jb<9W;yMKB%Mp;7JLG0l1&+NVWYeH5(cDe-pJ^OO-H#BzB= z1$oGP6yCFM(i|2SjTwQgga@|4#5P6~E0$_l31Z(zlR>(YsG zBhXa^ispO!)GpvtG{_>~aj1&*gvSJQ(=@lxLke3IM^edrRUJ0OH zq|D$E69OSoW9{^pCsS_`douy?x|oHHMlbw50ll!84`+LiEY_xsM&`pNB@$mf+f_R#rRv6BEeGBk)=qm6p6O>V>8C0$;CpsxTA8hP%akA{h7}=Y-Mpt zwvH+3s9z%VOEi5}pM;?LZX8ZS2a?FEzAbWX=ux9l33OQgOBH_}*@5iQWFoWs>x(JqhLXvovu+Jc;3<-<;j3358!?f%vB#5fc3Q*NJO2Qf8UF9hRQy*n z6%J-9Y-TDtnyJvtu)3+(p(>PV+E8$#QUBiP+W%^Ft%K3EHlu4h8eJ=lelP=@`C29Y zNtn2>i4(Dmt+n$b=HL5K`Ct90bnv6n=0{~mKPv6~sQj%TmF<3r-l@b+&jU3Wy)y#w z?j&NhlhVU}@T+iQ#NdbMsuUmg#T-nig|o2`Rq^#K3}7N}Z2JkQ3TItyb^SSm_@OLY zJZWc_D%jQzk5zmt4Pb7`#6@v&w6%`yOvCE7jvd|rjYl(yLe?RY!+;=|!jXM38`HWp zop?j;nnL>M)+tj3?K-}O26^hy5I0BGYQb7<$6BqiRtwf@&Kg0g1!1*~u$mKgS1gWX z>jl|*JF@i}*?NtP?VkkCE3lfA^@4A`jc>hhP=MMl{B+EfjrH|FhOJRM`gpih8@W|&76aB1Djk% z{5?g9gCh-Mg7F&@Y0!=tUR!=+s{soupEA(kM=npw&fcG_seANq{zl4sB@^ZD~}V z(^~58@K>#%4A!A1A`i zEF&M?y;*V7>OttizF2^h;njTHPqOA>&hi^B^e|w3SzQ4c#3JTmS^I?_nSUz4ZJ?PA zn1Hnhd%wbB=9A$%h7I3lEs}0Eai9IzgeAnpewj~Z>$WOdpmh<{0bgz@$Rr0zs!fTTQ|8y z4nTY(>}DR72O{(8ptnoYV?Qs#TcVqbvCh6C0PA96u~<4Nzsk$Hvoc=h)}d@SFB{Bc z&ap@6nF^uTse|5LQ8vDibR+v%VIfH&`}rdCwAnt)c?k)j2aQiU(pPuTq2U{rkUx<_ z%?+reT~!a^0?MymthA9hkwfgtQe1Od_=pFw(aUhpZBZ0)kZ)03MZmO(WBB=HxLX<( z$3t1G2%li)yPWhVhuO2siAqjrGe>(x0vRt;{MhGJmk>rRLrQG1)AXD%zr2y)Vn@uVH&NzT}ZU0O*7Lr1$6eO`)S3M$2+ z{c0H^I=C9wm>-M5y`cnG*^|l=F|khK083knTWwA$3kBsVWxk+1g{6wGyn?cbghLtE2Z6+r z)0{378A5pnIm55fX}U8?k?`=0vRu%e;Rd*eXK+pAmANxU(MGh~m6f;mUjRAFhpPSM z)L9%twEN~+-eG<8XDm{MV|AMlV)hinm>7#Whzm(w*@Zl5^tf&KGd@$#QfC zzkun^VLjk(>CalE$|o%CexdINLj&gwehfN?uGKpG9AD*mQ_i&;wskgF)~?s>c2AU} zU6+c8xBi?xyB8~6gG>E+JGag3)*4))&)cepRgjVT^R`O1o_A#}6{M@~eY_3OJbGUl zFFbmmFWKCq_w6{1Y~@-K2S~W|lw?mi-ZI}?LEQB3+gS)?lPgJ2-39wLy;=!BFW3{1 zD!iM!V5`Q*(9H6S@pAQEH3IJ9a-6*{^79@#jP1l)FM9bR9M$~0Xy>0+(T}CA!-?^t zt>GHsu61On{-UE1T{VXFl0)^pYQ*9tyP8J!ZZ(P2U$WIilLJ{vHD+kkN;q~|nao}; z!EQB9gw$nzV$t?D6t#yC-X408ae-o5$nLU zIapcl@Cfx|lj=zzWb7J#%`>qAOq@WRbaxaF!EmIW1e3dVE6H6fi+yx=#flifMmJzR zzRS0)K(?v@C%n7-8W_Odvl268%+>aHE_eA#gFp?(ER-8D&UbBRcl=xV;LUUW2GWz<7xR7oQFp6yo2jPeJH z$-exsG(exOU#KsXt@;Z6CcP?K4b-kjzoS(ejxu* zwi?$Nn~htLzh*pPgq}${SlCADvy8q<#I}Z6fxgX?SldX9kd0l$sg+M6CE6FR9t&+~PMv{7WlG1f~*@_6-b@R< zyVE5;S#+t-ak|VmfiCyGPFHkM=}N!p^f`ZTy2}49EehC1iv!bWNl-9d9rP6~Ee}3U z%euDG^6oNS(<72r^xRI@hD@N9efrU=(4%x+zd^M6nb+z10im=eY!9s+)Q#4Kx6-Qc zOSFE7H*JVWqm9E2w0?L1-7q|iZXBLOHw}Lg@;3Uy@V&HY_}g@IR2^-O`a5OOqiIw0 zIJzY|gKmvENVmnt(ef>^iFAAHEV?6hE!{c7mA*V;3f(oLnZ7dOWBO`b5ZxV@OZUX> zr+ef6MEAuHru*Y})9vy5=z;j-^k70feJ!DizMgQD9!PkL9!e~thZ9?A%gB|qb>!Rh z&5@tb!y|v9$4CA`-x<}P9v>A!PbBT9Cr3BaQ=@Mne_T#ajg6wG$1X$uJ9>ItIz2P~ zHa$C`j-Hutgr1#PM$b(eLeEahq~|7Yr{^bsOwUa*(DPG1pzlwW>G`Px>HE``(hJje zBfmy3Oy58+PQQTsuk_-~aC&KGKJq4dY1UMFc~&j*H|gc%t@L9<=trq<(p#zb=rO&^lru=`fy((BhqfhH$lIzwk*&cI}YBy}})0%kCr0%itg zG8_Qr0?cT50L&Gbv*89XH(*Y3BrpY-S?&qU0<4q#M_}&2T;*H9Jb<|vw@BqClP5sM zSP9Szpqr5!=?u)>$c=ad!zu*K2bibv|A6@d^DvzT)&*E+(?MWs4Ugfd#ld1l9vsu-jc= zJ%I%&BZ2h-)=e1zECg6rOB1l(zg|DmM)WI5hecz({~YV$z`) z1#DQ%v%sQ(MI_t+76WW}!n?p?fmsuN1vUa$RKf#balj%Ic^t(9i%Bd6mH;d|WhSsh zU?WoEfQ>0l05$>Gn5>V0O$0VNJ0931VB@m;0h4UJU^9SC$r%W2Ca}pl+kwpjHZ7+HSTeAwIX?qS0X8G&KCo0^ z({slGn+N8}D_RIU7GbEjS(iU-+{9RvOpua9jHHM3lG*N#`;$QMe z(tM5;a|vG|N&KTEiGQOc@lTc{{soi7KWLI@G>ws@WG;23-6Ux-uU5~gCUccZJgMTz z0u<2xbbv&s)3FjwrYRB~!==e|;8;n*2U(Idi|f?TT1gtqtEBT}9xoWrC46)wVHv_> zCcA%;1az9qWqhwCNpsqamv92cdyL2{BqSW)JxTnNCy9UUB=HZOB>s()#6NG6q#~Z+ z11(8f#}j-mB}q%z<}2i(k_DND(B6_%$kANZbd~H6!-rs!w2&tiyuwCX34zbBx?COlaCokX-o$L-|{Qeh diff --git a/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class index 50abf2e90ef39c0c5ea95944b6945de5f11105f6..0d94be124e6a7a15455e4fa51b4bf83b047b4f4b 100644 GIT binary patch delta 3547 zcmYkZR)G2L}YpF_(0hbEM-v;lO5zKLM#Um z$YN8}lubn-)P~Y2oti`|+FY=*iO{A+18th#J@0evf7DTv4nM#hRWS zfi@ajVG0yB8av?{WVD_XdXuxL40>MGb*eJRQLGHsD*ItBqAb`?Re-@=%rIa-z_3z1 z*=2antZ{>{x}FGe6wx6b(^j3+Rxc>~=6m&_xVJqNH)+BwX}r1P73Cs^!q%y6pYT=c zUVZplv+jg9D2e$K+>3G)gAtzQ)s2XfX?t~%I`c}oWi&EMDpN^g9mPMUiGgx+#0hZ>X`OTuhEucMttbyDNh zqV?z{Qmxb#>Y-%xBB?s+A~h=oJ%4JKRBsAq(`-Oz1(i#EK>b54egh}csV?d_%HvJ+ zlBhQ7D&@Kny(p=|jhO8cli8clSxuEupHQ}`=;^6EYKWSoo=(Gw462)&ptR{qFE|~u zwac~g|8k95kb%3RsXf$}l*4B90;t{8rMa{`ZFOVvy&Pn}|joDt>hIRpUin>cJc?-QQR4;XldSW|z$<%)8 zI^~vwo{?&l8qUFNb92!NqAIBKlzkq0FH?ooY3d%eG#@82siV~IlxG2YDN@x1nC(X< z4;P{nLp4#Olw%Qk!KxfZMUmwhqZ4CAmM5W3EG~vj)OXaAB}faXOVr~#kZz+squff7 zW>9CTc_vG0kk2BWIIXUA!eJ-QB~ty=1G|vMP(75U3~4xZi29cb+>Krvb(?yvTuIZ* zG21P<)|+%fUx9PA)GyStZzHXsu2DXfNK2`2DbFgT`P4<~v1+8*QmxgPZD9?Pbm|P{ zB9LyNPEoUKktR?lsQc@X8mVr|rXFb+bx>-g957GxaCs+k>=0YPbiZE$c;6P5neIIf}H5x=cNF3~4d-HKjd{G>7^^ zl~%a-d00;gzdq$bVv=9=v2(>}pYpm=)o*^qI1!`jL(03~vX`MI^9Gc4)1biSp}QZ7*oeR+(8TkuT|TgAuH9r z+K@FS-3qBw64NKR8*VH5L)}cPYoUcx_G-ekrj>Hba9FrhnvzD@ih*$X8RS`Ia$S_2 z@G&efX;kkhDhzURQ|}9d^0R4-uoF(Jm7bzxYLJ>0fizZ?{1nEy;#P!Cx!>q2q9e_> z+KI+Ux)s)bu#?R#Mxk)08G2y`@wp)h{&?kJ&umKs%Xgr$(s- z>(Gm%nyE|FBMIn*Q8miR2KCi^$)f1O`J%fI;h_%m$%T1 zr&_4Xl;Z~U!lm*yV73cPW^P1h6;(ogO5K-)o{q|<2B?3jXOnRvmFlF%DAyFF7m$M4 zTIE{#f4M@XaDd#lIwkZwgx~S`vHXZ3Y zY7aF+&EJCFYO0Rxs++n&J+%$J1ZppJ zm2%2NFM_I<8qCCOv$N3gr^=|alyx?GuTpu`N$M`OI0q-vsKeABlv^%(iBgrhnC&Mf zkLIBhNi|Tzlx;qG0jg|8S-$xhqZK3h<|m<6EG&SG)c4fWg-CO$3)GWENVig-Q%=Q5 zQ>oL`9HTka%V&{RoK)9ZVY40Q;;3Hgp&dvgsV>T@1ZfC$fSRQIcB0op-K1VGRnnAF z%yvVrbw;hwmEl}9^(*!KJ4nl@E0kwB(qig6%B=!v4t1V-q7rF_RC6U}n_q<_g*rt! z2&C(&6V%LVq_Na7>cJYM5mYCosYM!0?Ux#=#caO2&}pXrre3Q<+CW{WmenH_)HUk4 z2Bf9bWy<4Sq(#)XQkJ_hTlQ{rhN#Ei!`>F^EH&?aq{-ALlzk)8MCv#-qX}sY)k8hd ztfcPEn5{#umA^O37Mu&B_EC4JRjueXQGZd(_aLpO#;B!xkycT^P>b7?G`bD5U6N~M zHurrvS4a(0+WkngsB_d~2au*yXDG)HkS0+dQ+5ZDCP-Bt#B9?KA&I7rQr7KA4OBZ+ z)qyaOYNKvbKAq?_Qh!ojT}bPs2D>oYl5QlG)X&tS!$?c0i_|kmkQPwiP_9RjW>R0O zauv=!E|wF*yGMDD80A-8)NC=_qr9$E^qO8Vjzy~ai1O$)@1?5AoIYip-dC)=to_&L irM#?p^~czZTi9zREL=5{78^D9EP6EKNBa7Iu>K#UC$^6O