diff --git a/src/main/scala/lib/beh_ib_func.scala b/src/main/scala/lib/beh_ib_func.scala index b1e707a1..665b0d08 100644 --- a/src/main/scala/lib/beh_ib_func.scala +++ b/src/main/scala/lib/beh_ib_func.scala @@ -6,7 +6,7 @@ import chisel3.experimental._ import chisel3.util.HasBlackBoxResource import chisel3.withClock -object beh_ib_func { +object beh_ib_func extends RequireAsyncReset { // use this for rvdffsc = > io.out := RegEnable(io.din & repl(io.din.getWidth, io.clear), 0.U, io.en) // use this for rvdffs = > io.out := RegEnable(io.din, 0.U, io.en)