From 57f1b66c1591f22adf6617e8f1539f650b55ad09 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Mon, 28 Dec 2020 12:49:43 +0500 Subject: [PATCH] bus buffer with buf_nextstate in resp updated --- lsu_bus_buffer.fir | 6324 ++++++++--------- lsu_bus_buffer.v | 2270 +++--- src/main/scala/lib/lib.scala | 4 +- src/main/scala/lsu/lsu_bus_buffer.scala | 3 +- .../classes/lib/lib$rvdff_fpga$.class | Bin 3060 -> 3059 bytes .../classes/lib/lib$rvdffs_fpga$.class | Bin 3314 -> 3313 bytes target/scala-2.12/classes/lsu/buffer$.class | Bin 3869 -> 3869 bytes .../classes/lsu/buffer$delayedInit$body.class | Bin 729 -> 729 bytes .../classes/lsu/lsu_bus_buffer.class | Bin 574190 -> 574190 bytes 9 files changed, 4301 insertions(+), 4300 deletions(-) diff --git a/lsu_bus_buffer.fir b/lsu_bus_buffer.fir index 56c8f30d..549bafbb 100644 --- a/lsu_bus_buffer.fir +++ b/lsu_bus_buffer.fir @@ -2513,7 +2513,7 @@ circuit lsu_bus_buffer : node _T_1769 = and(_T_1768, io.ldst_dual_r) @[lsu_bus_buffer.scala 347:35] node _T_1770 = or(_T_1767, _T_1769) @[lsu_bus_buffer.scala 346:250] obuf_merge_en <= _T_1770 @[lsu_bus_buffer.scala 344:17] - reg obuf_wr_enQ : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg obuf_wr_enQ : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] obuf_wr_enQ <= obuf_wr_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] @@ -2533,56 +2533,56 @@ circuit lsu_bus_buffer : _T_1776 <= obuf_rdrsp_pend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] obuf_rdrsp_pend <= _T_1776 @[lsu_bus_buffer.scala 352:19] - reg _T_1777 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1777 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] _T_1777 <= obuf_cmd_done_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] obuf_cmd_done <= _T_1777 @[lsu_bus_buffer.scala 353:17] - reg _T_1778 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] _T_1778 <= obuf_data_done_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] obuf_data_done <= _T_1778 @[lsu_bus_buffer.scala 354:18] - reg _T_1779 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1779 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] _T_1779 <= obuf_rdrsp_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 355:18] - node _T_1780 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] - reg _T_1781 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_1780 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:54] + reg _T_1781 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1780 : @[Reg.scala 28:19] _T_1781 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1781 @[lsu_bus_buffer.scala 356:13] - node _T_1782 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] - reg obuf_tag1 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_tag0 <= _T_1781 @[lsu_bus_buffer.scala 357:13] + node _T_1782 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:54] + reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1782 : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1783 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] - reg obuf_merge : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_1783 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:54] + reg obuf_merge : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1783 : @[Reg.scala 28:19] obuf_merge <= obuf_merge_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1784 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] - reg _T_1785 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_1784 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:54] + reg _T_1785 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1784 : @[Reg.scala 28:19] _T_1785 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1785 @[lsu_bus_buffer.scala 359:14] - node _T_1786 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] - reg _T_1787 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_write <= _T_1785 @[lsu_bus_buffer.scala 360:14] + node _T_1786 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:54] + reg _T_1787 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1786 : @[Reg.scala 28:19] _T_1787 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1787 @[lsu_bus_buffer.scala 360:19] - node _T_1788 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] - reg obuf_sz : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_sideeffect <= _T_1787 @[lsu_bus_buffer.scala 361:19] + node _T_1788 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:54] + reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1788 : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1789 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] - reg obuf_byteen : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_1789 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:54] + reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1789 : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] @@ -2594,7 +2594,7 @@ circuit lsu_bus_buffer : rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] reg _T_1790 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] _T_1790 <= obuf_addr_in @[lib.scala 397:16] - obuf_addr <= _T_1790 @[lsu_bus_buffer.scala 363:13] + obuf_addr <= _T_1790 @[lsu_bus_buffer.scala 364:13] inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 391:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -2603,1968 +2603,1968 @@ circuit lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] obuf_data <= obuf_data_in @[lib.scala 397:16] - reg _T_1791 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1791 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1791 <= obuf_data_done_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_wr_timer <= _T_1791 @[lsu_bus_buffer.scala 365:17] + obuf_wr_timer <= _T_1791 @[lsu_bus_buffer.scala 366:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1792 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 368:65] - node _T_1793 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:30] - node _T_1794 = and(ibuf_valid, _T_1793) @[lsu_bus_buffer.scala 369:19] - node _T_1795 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:18] - node _T_1796 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:57] - node _T_1797 = and(io.ldst_dual_r, _T_1796) @[lsu_bus_buffer.scala 370:45] - node _T_1798 = or(_T_1795, _T_1797) @[lsu_bus_buffer.scala 370:27] - node _T_1799 = and(io.lsu_busreq_r, _T_1798) @[lsu_bus_buffer.scala 369:58] - node _T_1800 = or(_T_1794, _T_1799) @[lsu_bus_buffer.scala 369:39] - node _T_1801 = eq(_T_1800, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:5] - node _T_1802 = and(_T_1792, _T_1801) @[lsu_bus_buffer.scala 368:76] - node _T_1803 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 368:65] - node _T_1804 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 369:30] - node _T_1805 = and(ibuf_valid, _T_1804) @[lsu_bus_buffer.scala 369:19] - node _T_1806 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:18] - node _T_1807 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:57] - node _T_1808 = and(io.ldst_dual_r, _T_1807) @[lsu_bus_buffer.scala 370:45] - node _T_1809 = or(_T_1806, _T_1808) @[lsu_bus_buffer.scala 370:27] - node _T_1810 = and(io.lsu_busreq_r, _T_1809) @[lsu_bus_buffer.scala 369:58] - node _T_1811 = or(_T_1805, _T_1810) @[lsu_bus_buffer.scala 369:39] - node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:5] - node _T_1813 = and(_T_1803, _T_1812) @[lsu_bus_buffer.scala 368:76] - node _T_1814 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 368:65] - node _T_1815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 369:30] - node _T_1816 = and(ibuf_valid, _T_1815) @[lsu_bus_buffer.scala 369:19] - node _T_1817 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:18] - node _T_1818 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:57] - node _T_1819 = and(io.ldst_dual_r, _T_1818) @[lsu_bus_buffer.scala 370:45] - node _T_1820 = or(_T_1817, _T_1819) @[lsu_bus_buffer.scala 370:27] - node _T_1821 = and(io.lsu_busreq_r, _T_1820) @[lsu_bus_buffer.scala 369:58] - node _T_1822 = or(_T_1816, _T_1821) @[lsu_bus_buffer.scala 369:39] - node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:5] - node _T_1824 = and(_T_1814, _T_1823) @[lsu_bus_buffer.scala 368:76] - node _T_1825 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 368:65] - node _T_1826 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 369:30] - node _T_1827 = and(ibuf_valid, _T_1826) @[lsu_bus_buffer.scala 369:19] - node _T_1828 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:18] - node _T_1829 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:57] - node _T_1830 = and(io.ldst_dual_r, _T_1829) @[lsu_bus_buffer.scala 370:45] - node _T_1831 = or(_T_1828, _T_1830) @[lsu_bus_buffer.scala 370:27] - node _T_1832 = and(io.lsu_busreq_r, _T_1831) @[lsu_bus_buffer.scala 369:58] - node _T_1833 = or(_T_1827, _T_1832) @[lsu_bus_buffer.scala 369:39] - node _T_1834 = eq(_T_1833, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:5] - node _T_1835 = and(_T_1825, _T_1834) @[lsu_bus_buffer.scala 368:76] + node _T_1792 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1793 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:30] + node _T_1794 = and(ibuf_valid, _T_1793) @[lsu_bus_buffer.scala 370:19] + node _T_1795 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:18] + node _T_1796 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:57] + node _T_1797 = and(io.ldst_dual_r, _T_1796) @[lsu_bus_buffer.scala 371:45] + node _T_1798 = or(_T_1795, _T_1797) @[lsu_bus_buffer.scala 371:27] + node _T_1799 = and(io.lsu_busreq_r, _T_1798) @[lsu_bus_buffer.scala 370:58] + node _T_1800 = or(_T_1794, _T_1799) @[lsu_bus_buffer.scala 370:39] + node _T_1801 = eq(_T_1800, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] + node _T_1802 = and(_T_1792, _T_1801) @[lsu_bus_buffer.scala 369:76] + node _T_1803 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1804 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:30] + node _T_1805 = and(ibuf_valid, _T_1804) @[lsu_bus_buffer.scala 370:19] + node _T_1806 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:18] + node _T_1807 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:57] + node _T_1808 = and(io.ldst_dual_r, _T_1807) @[lsu_bus_buffer.scala 371:45] + node _T_1809 = or(_T_1806, _T_1808) @[lsu_bus_buffer.scala 371:27] + node _T_1810 = and(io.lsu_busreq_r, _T_1809) @[lsu_bus_buffer.scala 370:58] + node _T_1811 = or(_T_1805, _T_1810) @[lsu_bus_buffer.scala 370:39] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] + node _T_1813 = and(_T_1803, _T_1812) @[lsu_bus_buffer.scala 369:76] + node _T_1814 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:30] + node _T_1816 = and(ibuf_valid, _T_1815) @[lsu_bus_buffer.scala 370:19] + node _T_1817 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:18] + node _T_1818 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:57] + node _T_1819 = and(io.ldst_dual_r, _T_1818) @[lsu_bus_buffer.scala 371:45] + node _T_1820 = or(_T_1817, _T_1819) @[lsu_bus_buffer.scala 371:27] + node _T_1821 = and(io.lsu_busreq_r, _T_1820) @[lsu_bus_buffer.scala 370:58] + node _T_1822 = or(_T_1816, _T_1821) @[lsu_bus_buffer.scala 370:39] + node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] + node _T_1824 = and(_T_1814, _T_1823) @[lsu_bus_buffer.scala 369:76] + node _T_1825 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1826 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:30] + node _T_1827 = and(ibuf_valid, _T_1826) @[lsu_bus_buffer.scala 370:19] + node _T_1828 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:18] + node _T_1829 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:57] + node _T_1830 = and(io.ldst_dual_r, _T_1829) @[lsu_bus_buffer.scala 371:45] + node _T_1831 = or(_T_1828, _T_1830) @[lsu_bus_buffer.scala 371:27] + node _T_1832 = and(io.lsu_busreq_r, _T_1831) @[lsu_bus_buffer.scala 370:58] + node _T_1833 = or(_T_1827, _T_1832) @[lsu_bus_buffer.scala 370:39] + node _T_1834 = eq(_T_1833, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] + node _T_1835 = and(_T_1825, _T_1834) @[lsu_bus_buffer.scala 369:76] node _T_1836 = mux(_T_1835, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1837 = mux(_T_1824, UInt<2>("h02"), _T_1836) @[Mux.scala 98:16] node _T_1838 = mux(_T_1813, UInt<1>("h01"), _T_1837) @[Mux.scala 98:16] node _T_1839 = mux(_T_1802, UInt<1>("h00"), _T_1838) @[Mux.scala 98:16] - WrPtr0_m <= _T_1839 @[lsu_bus_buffer.scala 368:12] + WrPtr0_m <= _T_1839 @[lsu_bus_buffer.scala 369:12] wire WrPtr1_m : UInt<2> WrPtr1_m <= UInt<1>("h00") - node _T_1840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 374:65] - node _T_1841 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:103] - node _T_1842 = and(ibuf_valid, _T_1841) @[lsu_bus_buffer.scala 374:92] - node _T_1843 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:33] - node _T_1844 = and(io.lsu_busreq_m, _T_1843) @[lsu_bus_buffer.scala 375:22] - node _T_1845 = or(_T_1842, _T_1844) @[lsu_bus_buffer.scala 374:112] - node _T_1846 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 376:36] - node _T_1847 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:34] - node _T_1848 = and(io.ldst_dual_r, _T_1847) @[lsu_bus_buffer.scala 377:23] - node _T_1849 = or(_T_1846, _T_1848) @[lsu_bus_buffer.scala 376:46] - node _T_1850 = and(io.lsu_busreq_r, _T_1849) @[lsu_bus_buffer.scala 376:22] - node _T_1851 = or(_T_1845, _T_1850) @[lsu_bus_buffer.scala 375:42] - node _T_1852 = eq(_T_1851, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:78] - node _T_1853 = and(_T_1840, _T_1852) @[lsu_bus_buffer.scala 374:76] - node _T_1854 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 374:65] - node _T_1855 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 374:103] - node _T_1856 = and(ibuf_valid, _T_1855) @[lsu_bus_buffer.scala 374:92] - node _T_1857 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:33] - node _T_1858 = and(io.lsu_busreq_m, _T_1857) @[lsu_bus_buffer.scala 375:22] - node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 374:112] - node _T_1860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 376:36] - node _T_1861 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 377:34] - node _T_1862 = and(io.ldst_dual_r, _T_1861) @[lsu_bus_buffer.scala 377:23] - node _T_1863 = or(_T_1860, _T_1862) @[lsu_bus_buffer.scala 376:46] - node _T_1864 = and(io.lsu_busreq_r, _T_1863) @[lsu_bus_buffer.scala 376:22] - node _T_1865 = or(_T_1859, _T_1864) @[lsu_bus_buffer.scala 375:42] - node _T_1866 = eq(_T_1865, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:78] - node _T_1867 = and(_T_1854, _T_1866) @[lsu_bus_buffer.scala 374:76] - node _T_1868 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 374:65] - node _T_1869 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 374:103] - node _T_1870 = and(ibuf_valid, _T_1869) @[lsu_bus_buffer.scala 374:92] - node _T_1871 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:33] - node _T_1872 = and(io.lsu_busreq_m, _T_1871) @[lsu_bus_buffer.scala 375:22] - node _T_1873 = or(_T_1870, _T_1872) @[lsu_bus_buffer.scala 374:112] - node _T_1874 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 376:36] - node _T_1875 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 377:34] - node _T_1876 = and(io.ldst_dual_r, _T_1875) @[lsu_bus_buffer.scala 377:23] - node _T_1877 = or(_T_1874, _T_1876) @[lsu_bus_buffer.scala 376:46] - node _T_1878 = and(io.lsu_busreq_r, _T_1877) @[lsu_bus_buffer.scala 376:22] - node _T_1879 = or(_T_1873, _T_1878) @[lsu_bus_buffer.scala 375:42] - node _T_1880 = eq(_T_1879, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:78] - node _T_1881 = and(_T_1868, _T_1880) @[lsu_bus_buffer.scala 374:76] - node _T_1882 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 374:65] - node _T_1883 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 374:103] - node _T_1884 = and(ibuf_valid, _T_1883) @[lsu_bus_buffer.scala 374:92] - node _T_1885 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:33] - node _T_1886 = and(io.lsu_busreq_m, _T_1885) @[lsu_bus_buffer.scala 375:22] - node _T_1887 = or(_T_1884, _T_1886) @[lsu_bus_buffer.scala 374:112] - node _T_1888 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 376:36] - node _T_1889 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 377:34] - node _T_1890 = and(io.ldst_dual_r, _T_1889) @[lsu_bus_buffer.scala 377:23] - node _T_1891 = or(_T_1888, _T_1890) @[lsu_bus_buffer.scala 376:46] - node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[lsu_bus_buffer.scala 376:22] - node _T_1893 = or(_T_1887, _T_1892) @[lsu_bus_buffer.scala 375:42] - node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:78] - node _T_1895 = and(_T_1882, _T_1894) @[lsu_bus_buffer.scala 374:76] + node _T_1840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] + node _T_1841 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:103] + node _T_1842 = and(ibuf_valid, _T_1841) @[lsu_bus_buffer.scala 375:92] + node _T_1843 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 376:33] + node _T_1844 = and(io.lsu_busreq_m, _T_1843) @[lsu_bus_buffer.scala 376:22] + node _T_1845 = or(_T_1842, _T_1844) @[lsu_bus_buffer.scala 375:112] + node _T_1846 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:36] + node _T_1847 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:34] + node _T_1848 = and(io.ldst_dual_r, _T_1847) @[lsu_bus_buffer.scala 378:23] + node _T_1849 = or(_T_1846, _T_1848) @[lsu_bus_buffer.scala 377:46] + node _T_1850 = and(io.lsu_busreq_r, _T_1849) @[lsu_bus_buffer.scala 377:22] + node _T_1851 = or(_T_1845, _T_1850) @[lsu_bus_buffer.scala 376:42] + node _T_1852 = eq(_T_1851, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] + node _T_1853 = and(_T_1840, _T_1852) @[lsu_bus_buffer.scala 375:76] + node _T_1854 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] + node _T_1855 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:103] + node _T_1856 = and(ibuf_valid, _T_1855) @[lsu_bus_buffer.scala 375:92] + node _T_1857 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 376:33] + node _T_1858 = and(io.lsu_busreq_m, _T_1857) @[lsu_bus_buffer.scala 376:22] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 375:112] + node _T_1860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 377:36] + node _T_1861 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 378:34] + node _T_1862 = and(io.ldst_dual_r, _T_1861) @[lsu_bus_buffer.scala 378:23] + node _T_1863 = or(_T_1860, _T_1862) @[lsu_bus_buffer.scala 377:46] + node _T_1864 = and(io.lsu_busreq_r, _T_1863) @[lsu_bus_buffer.scala 377:22] + node _T_1865 = or(_T_1859, _T_1864) @[lsu_bus_buffer.scala 376:42] + node _T_1866 = eq(_T_1865, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] + node _T_1867 = and(_T_1854, _T_1866) @[lsu_bus_buffer.scala 375:76] + node _T_1868 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] + node _T_1869 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:103] + node _T_1870 = and(ibuf_valid, _T_1869) @[lsu_bus_buffer.scala 375:92] + node _T_1871 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 376:33] + node _T_1872 = and(io.lsu_busreq_m, _T_1871) @[lsu_bus_buffer.scala 376:22] + node _T_1873 = or(_T_1870, _T_1872) @[lsu_bus_buffer.scala 375:112] + node _T_1874 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 377:36] + node _T_1875 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 378:34] + node _T_1876 = and(io.ldst_dual_r, _T_1875) @[lsu_bus_buffer.scala 378:23] + node _T_1877 = or(_T_1874, _T_1876) @[lsu_bus_buffer.scala 377:46] + node _T_1878 = and(io.lsu_busreq_r, _T_1877) @[lsu_bus_buffer.scala 377:22] + node _T_1879 = or(_T_1873, _T_1878) @[lsu_bus_buffer.scala 376:42] + node _T_1880 = eq(_T_1879, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] + node _T_1881 = and(_T_1868, _T_1880) @[lsu_bus_buffer.scala 375:76] + node _T_1882 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] + node _T_1883 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:103] + node _T_1884 = and(ibuf_valid, _T_1883) @[lsu_bus_buffer.scala 375:92] + node _T_1885 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 376:33] + node _T_1886 = and(io.lsu_busreq_m, _T_1885) @[lsu_bus_buffer.scala 376:22] + node _T_1887 = or(_T_1884, _T_1886) @[lsu_bus_buffer.scala 375:112] + node _T_1888 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 377:36] + node _T_1889 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 378:34] + node _T_1890 = and(io.ldst_dual_r, _T_1889) @[lsu_bus_buffer.scala 378:23] + node _T_1891 = or(_T_1888, _T_1890) @[lsu_bus_buffer.scala 377:46] + node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[lsu_bus_buffer.scala 377:22] + node _T_1893 = or(_T_1887, _T_1892) @[lsu_bus_buffer.scala 376:42] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] + node _T_1895 = and(_T_1882, _T_1894) @[lsu_bus_buffer.scala 375:76] node _T_1896 = mux(_T_1895, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1897 = mux(_T_1881, UInt<2>("h02"), _T_1896) @[Mux.scala 98:16] node _T_1898 = mux(_T_1867, UInt<1>("h01"), _T_1897) @[Mux.scala 98:16] node _T_1899 = mux(_T_1853, UInt<1>("h00"), _T_1898) @[Mux.scala 98:16] - WrPtr1_m <= _T_1899 @[lsu_bus_buffer.scala 374:12] - wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 379:21] - buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:11] - buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:11] - buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:11] - buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:11] - node _T_1900 = orr(buf_age[0]) @[lsu_bus_buffer.scala 382:58] - node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:45] - node _T_1902 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 382:78] - node _T_1903 = and(_T_1901, _T_1902) @[lsu_bus_buffer.scala 382:63] - node _T_1904 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 382:90] - node _T_1905 = and(_T_1903, _T_1904) @[lsu_bus_buffer.scala 382:88] - node _T_1906 = orr(buf_age[1]) @[lsu_bus_buffer.scala 382:58] - node _T_1907 = eq(_T_1906, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:45] - node _T_1908 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 382:78] - node _T_1909 = and(_T_1907, _T_1908) @[lsu_bus_buffer.scala 382:63] - node _T_1910 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 382:90] - node _T_1911 = and(_T_1909, _T_1910) @[lsu_bus_buffer.scala 382:88] - node _T_1912 = orr(buf_age[2]) @[lsu_bus_buffer.scala 382:58] - node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:45] - node _T_1914 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 382:78] - node _T_1915 = and(_T_1913, _T_1914) @[lsu_bus_buffer.scala 382:63] - node _T_1916 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 382:90] - node _T_1917 = and(_T_1915, _T_1916) @[lsu_bus_buffer.scala 382:88] - node _T_1918 = orr(buf_age[3]) @[lsu_bus_buffer.scala 382:58] - node _T_1919 = eq(_T_1918, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:45] - node _T_1920 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 382:78] - node _T_1921 = and(_T_1919, _T_1920) @[lsu_bus_buffer.scala 382:63] - node _T_1922 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 382:90] - node _T_1923 = and(_T_1921, _T_1922) @[lsu_bus_buffer.scala 382:88] + WrPtr1_m <= _T_1899 @[lsu_bus_buffer.scala 375:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 380:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] + node _T_1900 = orr(buf_age[0]) @[lsu_bus_buffer.scala 383:58] + node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] + node _T_1902 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] + node _T_1903 = and(_T_1901, _T_1902) @[lsu_bus_buffer.scala 383:63] + node _T_1904 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] + node _T_1905 = and(_T_1903, _T_1904) @[lsu_bus_buffer.scala 383:88] + node _T_1906 = orr(buf_age[1]) @[lsu_bus_buffer.scala 383:58] + node _T_1907 = eq(_T_1906, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] + node _T_1908 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] + node _T_1909 = and(_T_1907, _T_1908) @[lsu_bus_buffer.scala 383:63] + node _T_1910 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] + node _T_1911 = and(_T_1909, _T_1910) @[lsu_bus_buffer.scala 383:88] + node _T_1912 = orr(buf_age[2]) @[lsu_bus_buffer.scala 383:58] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] + node _T_1914 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] + node _T_1915 = and(_T_1913, _T_1914) @[lsu_bus_buffer.scala 383:63] + node _T_1916 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] + node _T_1917 = and(_T_1915, _T_1916) @[lsu_bus_buffer.scala 383:88] + node _T_1918 = orr(buf_age[3]) @[lsu_bus_buffer.scala 383:58] + node _T_1919 = eq(_T_1918, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] + node _T_1920 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] + node _T_1921 = and(_T_1919, _T_1920) @[lsu_bus_buffer.scala 383:63] + node _T_1922 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] + node _T_1923 = and(_T_1921, _T_1922) @[lsu_bus_buffer.scala 383:88] node _T_1924 = cat(_T_1923, _T_1917) @[Cat.scala 29:58] node _T_1925 = cat(_T_1924, _T_1911) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1925, _T_1905) @[Cat.scala 29:58] - node _T_1926 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 383:62] - node _T_1927 = and(buf_age[0], _T_1926) @[lsu_bus_buffer.scala 383:59] - node _T_1928 = orr(_T_1927) @[lsu_bus_buffer.scala 383:76] - node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1930 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 383:94] - node _T_1931 = eq(_T_1930, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:83] - node _T_1932 = and(_T_1929, _T_1931) @[lsu_bus_buffer.scala 383:81] - node _T_1933 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:113] - node _T_1934 = and(_T_1932, _T_1933) @[lsu_bus_buffer.scala 383:98] - node _T_1935 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:125] - node _T_1936 = and(_T_1934, _T_1935) @[lsu_bus_buffer.scala 383:123] - node _T_1937 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 383:62] - node _T_1938 = and(buf_age[1], _T_1937) @[lsu_bus_buffer.scala 383:59] - node _T_1939 = orr(_T_1938) @[lsu_bus_buffer.scala 383:76] - node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1941 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 383:94] - node _T_1942 = eq(_T_1941, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:83] - node _T_1943 = and(_T_1940, _T_1942) @[lsu_bus_buffer.scala 383:81] - node _T_1944 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:113] - node _T_1945 = and(_T_1943, _T_1944) @[lsu_bus_buffer.scala 383:98] - node _T_1946 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:125] - node _T_1947 = and(_T_1945, _T_1946) @[lsu_bus_buffer.scala 383:123] - node _T_1948 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 383:62] - node _T_1949 = and(buf_age[2], _T_1948) @[lsu_bus_buffer.scala 383:59] - node _T_1950 = orr(_T_1949) @[lsu_bus_buffer.scala 383:76] - node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1952 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 383:94] - node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:83] - node _T_1954 = and(_T_1951, _T_1953) @[lsu_bus_buffer.scala 383:81] - node _T_1955 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:113] - node _T_1956 = and(_T_1954, _T_1955) @[lsu_bus_buffer.scala 383:98] - node _T_1957 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:125] - node _T_1958 = and(_T_1956, _T_1957) @[lsu_bus_buffer.scala 383:123] - node _T_1959 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 383:62] - node _T_1960 = and(buf_age[3], _T_1959) @[lsu_bus_buffer.scala 383:59] - node _T_1961 = orr(_T_1960) @[lsu_bus_buffer.scala 383:76] - node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1963 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 383:94] - node _T_1964 = eq(_T_1963, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:83] - node _T_1965 = and(_T_1962, _T_1964) @[lsu_bus_buffer.scala 383:81] - node _T_1966 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:113] - node _T_1967 = and(_T_1965, _T_1966) @[lsu_bus_buffer.scala 383:98] - node _T_1968 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:125] - node _T_1969 = and(_T_1967, _T_1968) @[lsu_bus_buffer.scala 383:123] + node _T_1926 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] + node _T_1927 = and(buf_age[0], _T_1926) @[lsu_bus_buffer.scala 384:59] + node _T_1928 = orr(_T_1927) @[lsu_bus_buffer.scala 384:76] + node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] + node _T_1930 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 384:94] + node _T_1931 = eq(_T_1930, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] + node _T_1932 = and(_T_1929, _T_1931) @[lsu_bus_buffer.scala 384:81] + node _T_1933 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] + node _T_1934 = and(_T_1932, _T_1933) @[lsu_bus_buffer.scala 384:98] + node _T_1935 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] + node _T_1936 = and(_T_1934, _T_1935) @[lsu_bus_buffer.scala 384:123] + node _T_1937 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] + node _T_1938 = and(buf_age[1], _T_1937) @[lsu_bus_buffer.scala 384:59] + node _T_1939 = orr(_T_1938) @[lsu_bus_buffer.scala 384:76] + node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] + node _T_1941 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 384:94] + node _T_1942 = eq(_T_1941, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] + node _T_1943 = and(_T_1940, _T_1942) @[lsu_bus_buffer.scala 384:81] + node _T_1944 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] + node _T_1945 = and(_T_1943, _T_1944) @[lsu_bus_buffer.scala 384:98] + node _T_1946 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] + node _T_1947 = and(_T_1945, _T_1946) @[lsu_bus_buffer.scala 384:123] + node _T_1948 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] + node _T_1949 = and(buf_age[2], _T_1948) @[lsu_bus_buffer.scala 384:59] + node _T_1950 = orr(_T_1949) @[lsu_bus_buffer.scala 384:76] + node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] + node _T_1952 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 384:94] + node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] + node _T_1954 = and(_T_1951, _T_1953) @[lsu_bus_buffer.scala 384:81] + node _T_1955 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] + node _T_1956 = and(_T_1954, _T_1955) @[lsu_bus_buffer.scala 384:98] + node _T_1957 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] + node _T_1958 = and(_T_1956, _T_1957) @[lsu_bus_buffer.scala 384:123] + node _T_1959 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] + node _T_1960 = and(buf_age[3], _T_1959) @[lsu_bus_buffer.scala 384:59] + node _T_1961 = orr(_T_1960) @[lsu_bus_buffer.scala 384:76] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] + node _T_1963 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 384:94] + node _T_1964 = eq(_T_1963, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] + node _T_1965 = and(_T_1962, _T_1964) @[lsu_bus_buffer.scala 384:81] + node _T_1966 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] + node _T_1967 = and(_T_1965, _T_1966) @[lsu_bus_buffer.scala 384:98] + node _T_1968 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] + node _T_1969 = and(_T_1967, _T_1968) @[lsu_bus_buffer.scala 384:123] node _T_1970 = cat(_T_1969, _T_1958) @[Cat.scala 29:58] node _T_1971 = cat(_T_1970, _T_1947) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_1971, _T_1936) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 384:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 385:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 385:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 385:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 385:19] - node _T_1972 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 386:65] - node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:44] - node _T_1974 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 386:85] - node _T_1975 = and(_T_1973, _T_1974) @[lsu_bus_buffer.scala 386:70] - node _T_1976 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 386:65] - node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:44] - node _T_1978 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 386:85] - node _T_1979 = and(_T_1977, _T_1978) @[lsu_bus_buffer.scala 386:70] - node _T_1980 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 386:65] - node _T_1981 = eq(_T_1980, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:44] - node _T_1982 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 386:85] - node _T_1983 = and(_T_1981, _T_1982) @[lsu_bus_buffer.scala 386:70] - node _T_1984 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 386:65] - node _T_1985 = eq(_T_1984, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:44] - node _T_1986 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 386:85] - node _T_1987 = and(_T_1985, _T_1986) @[lsu_bus_buffer.scala 386:70] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 385:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] + node _T_1972 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 387:65] + node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] + node _T_1974 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] + node _T_1975 = and(_T_1973, _T_1974) @[lsu_bus_buffer.scala 387:70] + node _T_1976 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 387:65] + node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] + node _T_1978 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] + node _T_1979 = and(_T_1977, _T_1978) @[lsu_bus_buffer.scala 387:70] + node _T_1980 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 387:65] + node _T_1981 = eq(_T_1980, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] + node _T_1982 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] + node _T_1983 = and(_T_1981, _T_1982) @[lsu_bus_buffer.scala 387:70] + node _T_1984 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 387:65] + node _T_1985 = eq(_T_1984, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] + node _T_1986 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] + node _T_1987 = and(_T_1985, _T_1986) @[lsu_bus_buffer.scala 387:70] node _T_1988 = cat(_T_1987, _T_1983) @[Cat.scala 29:58] node _T_1989 = cat(_T_1988, _T_1979) @[Cat.scala 29:58] node RspPtrDec = cat(_T_1989, _T_1975) @[Cat.scala 29:58] - node _T_1990 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 387:31] - found_cmdptr0 <= _T_1990 @[lsu_bus_buffer.scala 387:17] - node _T_1991 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 388:31] - found_cmdptr1 <= _T_1991 @[lsu_bus_buffer.scala 388:17] + node _T_1990 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 388:31] + found_cmdptr0 <= _T_1990 @[lsu_bus_buffer.scala 388:17] + node _T_1991 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 389:31] + found_cmdptr1 <= _T_1991 @[lsu_bus_buffer.scala 389:17] wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") node _T_1992 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1993 = cat(_T_1992, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1994 = bits(_T_1993, 4, 4) @[lsu_bus_buffer.scala 390:39] - node _T_1995 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 390:45] - node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 390:42] - node _T_1997 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 390:51] - node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 390:48] - node _T_1999 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 390:57] - node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 390:54] - node _T_2001 = bits(_T_1993, 2, 2) @[lsu_bus_buffer.scala 390:64] - node _T_2002 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 390:70] - node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 390:67] - node _T_2004 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 390:76] - node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 390:73] - node _T_2006 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 390:82] - node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 390:79] - node _T_2008 = bits(_T_1993, 1, 1) @[lsu_bus_buffer.scala 390:89] - node _T_2009 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 390:95] - node _T_2010 = or(_T_2008, _T_2009) @[lsu_bus_buffer.scala 390:92] - node _T_2011 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 390:101] - node _T_2012 = or(_T_2010, _T_2011) @[lsu_bus_buffer.scala 390:98] - node _T_2013 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 390:107] - node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 390:104] + node _T_1994 = bits(_T_1993, 4, 4) @[lsu_bus_buffer.scala 391:39] + node _T_1995 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 391:45] + node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 391:42] + node _T_1997 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 391:51] + node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 391:48] + node _T_1999 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 391:57] + node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 391:54] + node _T_2001 = bits(_T_1993, 2, 2) @[lsu_bus_buffer.scala 391:64] + node _T_2002 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 391:70] + node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 391:67] + node _T_2004 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 391:76] + node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 391:73] + node _T_2006 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 391:82] + node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 391:79] + node _T_2008 = bits(_T_1993, 1, 1) @[lsu_bus_buffer.scala 391:89] + node _T_2009 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 391:95] + node _T_2010 = or(_T_2008, _T_2009) @[lsu_bus_buffer.scala 391:92] + node _T_2011 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 391:101] + node _T_2012 = or(_T_2010, _T_2011) @[lsu_bus_buffer.scala 391:98] + node _T_2013 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 391:107] + node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 391:104] node _T_2015 = cat(_T_2000, _T_2007) @[Cat.scala 29:58] node _T_2016 = cat(_T_2015, _T_2014) @[Cat.scala 29:58] - CmdPtr0 <= _T_2016 @[lsu_bus_buffer.scala 395:11] + CmdPtr0 <= _T_2016 @[lsu_bus_buffer.scala 396:11] node _T_2017 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2018 = cat(_T_2017, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2019 = bits(_T_2018, 4, 4) @[lsu_bus_buffer.scala 390:39] - node _T_2020 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 390:45] - node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 390:42] - node _T_2022 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 390:51] - node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 390:48] - node _T_2024 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 390:57] - node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 390:54] - node _T_2026 = bits(_T_2018, 2, 2) @[lsu_bus_buffer.scala 390:64] - node _T_2027 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 390:70] - node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 390:67] - node _T_2029 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 390:76] - node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 390:73] - node _T_2031 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 390:82] - node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 390:79] - node _T_2033 = bits(_T_2018, 1, 1) @[lsu_bus_buffer.scala 390:89] - node _T_2034 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 390:95] - node _T_2035 = or(_T_2033, _T_2034) @[lsu_bus_buffer.scala 390:92] - node _T_2036 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 390:101] - node _T_2037 = or(_T_2035, _T_2036) @[lsu_bus_buffer.scala 390:98] - node _T_2038 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 390:107] - node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 390:104] + node _T_2019 = bits(_T_2018, 4, 4) @[lsu_bus_buffer.scala 391:39] + node _T_2020 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 391:45] + node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 391:42] + node _T_2022 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 391:51] + node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 391:48] + node _T_2024 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 391:57] + node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 391:54] + node _T_2026 = bits(_T_2018, 2, 2) @[lsu_bus_buffer.scala 391:64] + node _T_2027 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 391:70] + node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 391:67] + node _T_2029 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 391:76] + node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 391:73] + node _T_2031 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 391:82] + node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 391:79] + node _T_2033 = bits(_T_2018, 1, 1) @[lsu_bus_buffer.scala 391:89] + node _T_2034 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 391:95] + node _T_2035 = or(_T_2033, _T_2034) @[lsu_bus_buffer.scala 391:92] + node _T_2036 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 391:101] + node _T_2037 = or(_T_2035, _T_2036) @[lsu_bus_buffer.scala 391:98] + node _T_2038 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 391:107] + node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 391:104] node _T_2040 = cat(_T_2025, _T_2032) @[Cat.scala 29:58] node _T_2041 = cat(_T_2040, _T_2039) @[Cat.scala 29:58] - CmdPtr1 <= _T_2041 @[lsu_bus_buffer.scala 397:11] + CmdPtr1 <= _T_2041 @[lsu_bus_buffer.scala 398:11] node _T_2042 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2043 = cat(_T_2042, RspPtrDec) @[Cat.scala 29:58] - node _T_2044 = bits(_T_2043, 4, 4) @[lsu_bus_buffer.scala 390:39] - node _T_2045 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 390:45] - node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 390:42] - node _T_2047 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 390:51] - node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 390:48] - node _T_2049 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 390:57] - node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 390:54] - node _T_2051 = bits(_T_2043, 2, 2) @[lsu_bus_buffer.scala 390:64] - node _T_2052 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 390:70] - node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 390:67] - node _T_2054 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 390:76] - node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 390:73] - node _T_2056 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 390:82] - node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 390:79] - node _T_2058 = bits(_T_2043, 1, 1) @[lsu_bus_buffer.scala 390:89] - node _T_2059 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 390:95] - node _T_2060 = or(_T_2058, _T_2059) @[lsu_bus_buffer.scala 390:92] - node _T_2061 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 390:101] - node _T_2062 = or(_T_2060, _T_2061) @[lsu_bus_buffer.scala 390:98] - node _T_2063 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 390:107] - node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 390:104] + node _T_2044 = bits(_T_2043, 4, 4) @[lsu_bus_buffer.scala 391:39] + node _T_2045 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 391:45] + node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 391:42] + node _T_2047 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 391:51] + node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 391:48] + node _T_2049 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 391:57] + node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 391:54] + node _T_2051 = bits(_T_2043, 2, 2) @[lsu_bus_buffer.scala 391:64] + node _T_2052 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 391:70] + node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 391:67] + node _T_2054 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 391:76] + node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 391:73] + node _T_2056 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 391:82] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 391:79] + node _T_2058 = bits(_T_2043, 1, 1) @[lsu_bus_buffer.scala 391:89] + node _T_2059 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 391:95] + node _T_2060 = or(_T_2058, _T_2059) @[lsu_bus_buffer.scala 391:92] + node _T_2061 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 391:101] + node _T_2062 = or(_T_2060, _T_2061) @[lsu_bus_buffer.scala 391:98] + node _T_2063 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 391:107] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 391:104] node _T_2065 = cat(_T_2050, _T_2057) @[Cat.scala 29:58] node _T_2066 = cat(_T_2065, _T_2064) @[Cat.scala 29:58] - RspPtr <= _T_2066 @[lsu_bus_buffer.scala 398:10] - wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 399:26] - buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:16] - buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:16] - buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:16] - buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:16] - wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 401:25] - buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:15] - buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:15] - buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:15] - buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:15] - wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 403:28] - buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:18] - buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:18] - buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:18] - buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:18] - wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 405:27] - buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:17] - buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:17] - buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:17] - buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:17] - wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 407:24] - buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 408:14] - buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 408:14] - buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 408:14] - buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 408:14] - node _T_2067 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2068 = and(_T_2067, buf_state_en[0]) @[lsu_bus_buffer.scala 410:94] - node _T_2069 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2070 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2071 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2072 = and(_T_2070, _T_2071) @[lsu_bus_buffer.scala 411:57] - node _T_2073 = or(_T_2069, _T_2072) @[lsu_bus_buffer.scala 411:31] - node _T_2074 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2075 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2076 = and(_T_2074, _T_2075) @[lsu_bus_buffer.scala 412:41] - node _T_2077 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:83] - node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 412:71] - node _T_2079 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:104] - node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 412:92] - node _T_2081 = or(_T_2073, _T_2080) @[lsu_bus_buffer.scala 411:86] - node _T_2082 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2083 = and(_T_2082, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2084 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:64] - node _T_2085 = and(_T_2083, _T_2084) @[lsu_bus_buffer.scala 413:52] - node _T_2086 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:85] - node _T_2087 = and(_T_2085, _T_2086) @[lsu_bus_buffer.scala 413:73] - node _T_2088 = or(_T_2081, _T_2087) @[lsu_bus_buffer.scala 412:114] - node _T_2089 = and(_T_2068, _T_2088) @[lsu_bus_buffer.scala 410:113] - node _T_2090 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 413:109] - node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 413:97] - node _T_2092 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2093 = and(_T_2092, buf_state_en[0]) @[lsu_bus_buffer.scala 410:94] - node _T_2094 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2095 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2096 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2097 = and(_T_2095, _T_2096) @[lsu_bus_buffer.scala 411:57] - node _T_2098 = or(_T_2094, _T_2097) @[lsu_bus_buffer.scala 411:31] - node _T_2099 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2100 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2101 = and(_T_2099, _T_2100) @[lsu_bus_buffer.scala 412:41] - node _T_2102 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:83] - node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 412:71] - node _T_2104 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:104] - node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 412:92] - node _T_2106 = or(_T_2098, _T_2105) @[lsu_bus_buffer.scala 411:86] - node _T_2107 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2108 = and(_T_2107, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2109 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:64] - node _T_2110 = and(_T_2108, _T_2109) @[lsu_bus_buffer.scala 413:52] - node _T_2111 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:85] - node _T_2112 = and(_T_2110, _T_2111) @[lsu_bus_buffer.scala 413:73] - node _T_2113 = or(_T_2106, _T_2112) @[lsu_bus_buffer.scala 412:114] - node _T_2114 = and(_T_2093, _T_2113) @[lsu_bus_buffer.scala 410:113] - node _T_2115 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 413:109] - node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 413:97] - node _T_2117 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2118 = and(_T_2117, buf_state_en[0]) @[lsu_bus_buffer.scala 410:94] - node _T_2119 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2120 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2121 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2122 = and(_T_2120, _T_2121) @[lsu_bus_buffer.scala 411:57] - node _T_2123 = or(_T_2119, _T_2122) @[lsu_bus_buffer.scala 411:31] - node _T_2124 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2125 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2126 = and(_T_2124, _T_2125) @[lsu_bus_buffer.scala 412:41] - node _T_2127 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:83] - node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 412:71] - node _T_2129 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:104] - node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 412:92] - node _T_2131 = or(_T_2123, _T_2130) @[lsu_bus_buffer.scala 411:86] - node _T_2132 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2133 = and(_T_2132, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2134 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:64] - node _T_2135 = and(_T_2133, _T_2134) @[lsu_bus_buffer.scala 413:52] - node _T_2136 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:85] - node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 413:73] - node _T_2138 = or(_T_2131, _T_2137) @[lsu_bus_buffer.scala 412:114] - node _T_2139 = and(_T_2118, _T_2138) @[lsu_bus_buffer.scala 410:113] - node _T_2140 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 413:109] - node _T_2141 = or(_T_2139, _T_2140) @[lsu_bus_buffer.scala 413:97] - node _T_2142 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2143 = and(_T_2142, buf_state_en[0]) @[lsu_bus_buffer.scala 410:94] - node _T_2144 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2145 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2146 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2147 = and(_T_2145, _T_2146) @[lsu_bus_buffer.scala 411:57] - node _T_2148 = or(_T_2144, _T_2147) @[lsu_bus_buffer.scala 411:31] - node _T_2149 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2150 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2151 = and(_T_2149, _T_2150) @[lsu_bus_buffer.scala 412:41] - node _T_2152 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:83] - node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 412:71] - node _T_2154 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:104] - node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 412:92] - node _T_2156 = or(_T_2148, _T_2155) @[lsu_bus_buffer.scala 411:86] - node _T_2157 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2158 = and(_T_2157, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2159 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:64] - node _T_2160 = and(_T_2158, _T_2159) @[lsu_bus_buffer.scala 413:52] - node _T_2161 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:85] - node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 413:73] - node _T_2163 = or(_T_2156, _T_2162) @[lsu_bus_buffer.scala 412:114] - node _T_2164 = and(_T_2143, _T_2163) @[lsu_bus_buffer.scala 410:113] - node _T_2165 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 413:109] - node _T_2166 = or(_T_2164, _T_2165) @[lsu_bus_buffer.scala 413:97] + RspPtr <= _T_2066 @[lsu_bus_buffer.scala 399:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 400:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 402:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 404:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 406:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 408:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] + node _T_2067 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2068 = and(_T_2067, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] + node _T_2069 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2070 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2071 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2072 = and(_T_2070, _T_2071) @[lsu_bus_buffer.scala 412:57] + node _T_2073 = or(_T_2069, _T_2072) @[lsu_bus_buffer.scala 412:31] + node _T_2074 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2075 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2076 = and(_T_2074, _T_2075) @[lsu_bus_buffer.scala 413:41] + node _T_2077 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 413:71] + node _T_2079 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] + node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 413:92] + node _T_2081 = or(_T_2073, _T_2080) @[lsu_bus_buffer.scala 412:86] + node _T_2082 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2083 = and(_T_2082, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2084 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] + node _T_2085 = and(_T_2083, _T_2084) @[lsu_bus_buffer.scala 414:52] + node _T_2086 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] + node _T_2087 = and(_T_2085, _T_2086) @[lsu_bus_buffer.scala 414:73] + node _T_2088 = or(_T_2081, _T_2087) @[lsu_bus_buffer.scala 413:114] + node _T_2089 = and(_T_2068, _T_2088) @[lsu_bus_buffer.scala 411:113] + node _T_2090 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 414:109] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 414:97] + node _T_2092 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2093 = and(_T_2092, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] + node _T_2094 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2095 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2096 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2097 = and(_T_2095, _T_2096) @[lsu_bus_buffer.scala 412:57] + node _T_2098 = or(_T_2094, _T_2097) @[lsu_bus_buffer.scala 412:31] + node _T_2099 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2100 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2101 = and(_T_2099, _T_2100) @[lsu_bus_buffer.scala 413:41] + node _T_2102 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 413:71] + node _T_2104 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] + node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 413:92] + node _T_2106 = or(_T_2098, _T_2105) @[lsu_bus_buffer.scala 412:86] + node _T_2107 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2108 = and(_T_2107, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2109 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] + node _T_2110 = and(_T_2108, _T_2109) @[lsu_bus_buffer.scala 414:52] + node _T_2111 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] + node _T_2112 = and(_T_2110, _T_2111) @[lsu_bus_buffer.scala 414:73] + node _T_2113 = or(_T_2106, _T_2112) @[lsu_bus_buffer.scala 413:114] + node _T_2114 = and(_T_2093, _T_2113) @[lsu_bus_buffer.scala 411:113] + node _T_2115 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 414:109] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 414:97] + node _T_2117 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2118 = and(_T_2117, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] + node _T_2119 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2120 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2121 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2122 = and(_T_2120, _T_2121) @[lsu_bus_buffer.scala 412:57] + node _T_2123 = or(_T_2119, _T_2122) @[lsu_bus_buffer.scala 412:31] + node _T_2124 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2125 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2126 = and(_T_2124, _T_2125) @[lsu_bus_buffer.scala 413:41] + node _T_2127 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 413:71] + node _T_2129 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] + node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 413:92] + node _T_2131 = or(_T_2123, _T_2130) @[lsu_bus_buffer.scala 412:86] + node _T_2132 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2133 = and(_T_2132, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2134 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] + node _T_2135 = and(_T_2133, _T_2134) @[lsu_bus_buffer.scala 414:52] + node _T_2136 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 414:73] + node _T_2138 = or(_T_2131, _T_2137) @[lsu_bus_buffer.scala 413:114] + node _T_2139 = and(_T_2118, _T_2138) @[lsu_bus_buffer.scala 411:113] + node _T_2140 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 414:109] + node _T_2141 = or(_T_2139, _T_2140) @[lsu_bus_buffer.scala 414:97] + node _T_2142 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2143 = and(_T_2142, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] + node _T_2144 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2145 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2146 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2147 = and(_T_2145, _T_2146) @[lsu_bus_buffer.scala 412:57] + node _T_2148 = or(_T_2144, _T_2147) @[lsu_bus_buffer.scala 412:31] + node _T_2149 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2150 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2151 = and(_T_2149, _T_2150) @[lsu_bus_buffer.scala 413:41] + node _T_2152 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 413:71] + node _T_2154 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] + node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 413:92] + node _T_2156 = or(_T_2148, _T_2155) @[lsu_bus_buffer.scala 412:86] + node _T_2157 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2158 = and(_T_2157, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2159 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] + node _T_2160 = and(_T_2158, _T_2159) @[lsu_bus_buffer.scala 414:52] + node _T_2161 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 414:73] + node _T_2163 = or(_T_2156, _T_2162) @[lsu_bus_buffer.scala 413:114] + node _T_2164 = and(_T_2143, _T_2163) @[lsu_bus_buffer.scala 411:113] + node _T_2165 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 414:109] + node _T_2166 = or(_T_2164, _T_2165) @[lsu_bus_buffer.scala 414:97] node _T_2167 = cat(_T_2166, _T_2141) @[Cat.scala 29:58] node _T_2168 = cat(_T_2167, _T_2116) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2168, _T_2091) @[Cat.scala 29:58] - node _T_2169 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2170 = and(_T_2169, buf_state_en[1]) @[lsu_bus_buffer.scala 410:94] - node _T_2171 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2172 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2173 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2174 = and(_T_2172, _T_2173) @[lsu_bus_buffer.scala 411:57] - node _T_2175 = or(_T_2171, _T_2174) @[lsu_bus_buffer.scala 411:31] - node _T_2176 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2177 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2178 = and(_T_2176, _T_2177) @[lsu_bus_buffer.scala 412:41] - node _T_2179 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:83] - node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 412:71] - node _T_2181 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:104] - node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 412:92] - node _T_2183 = or(_T_2175, _T_2182) @[lsu_bus_buffer.scala 411:86] - node _T_2184 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2185 = and(_T_2184, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2186 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:64] - node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 413:52] - node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:85] - node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 413:73] - node _T_2190 = or(_T_2183, _T_2189) @[lsu_bus_buffer.scala 412:114] - node _T_2191 = and(_T_2170, _T_2190) @[lsu_bus_buffer.scala 410:113] - node _T_2192 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 413:109] - node _T_2193 = or(_T_2191, _T_2192) @[lsu_bus_buffer.scala 413:97] - node _T_2194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2195 = and(_T_2194, buf_state_en[1]) @[lsu_bus_buffer.scala 410:94] - node _T_2196 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2197 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2198 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2199 = and(_T_2197, _T_2198) @[lsu_bus_buffer.scala 411:57] - node _T_2200 = or(_T_2196, _T_2199) @[lsu_bus_buffer.scala 411:31] - node _T_2201 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2202 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2203 = and(_T_2201, _T_2202) @[lsu_bus_buffer.scala 412:41] - node _T_2204 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:83] - node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 412:71] - node _T_2206 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:104] - node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 412:92] - node _T_2208 = or(_T_2200, _T_2207) @[lsu_bus_buffer.scala 411:86] - node _T_2209 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2210 = and(_T_2209, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2211 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:64] - node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 413:52] - node _T_2213 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:85] - node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 413:73] - node _T_2215 = or(_T_2208, _T_2214) @[lsu_bus_buffer.scala 412:114] - node _T_2216 = and(_T_2195, _T_2215) @[lsu_bus_buffer.scala 410:113] - node _T_2217 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 413:109] - node _T_2218 = or(_T_2216, _T_2217) @[lsu_bus_buffer.scala 413:97] - node _T_2219 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2220 = and(_T_2219, buf_state_en[1]) @[lsu_bus_buffer.scala 410:94] - node _T_2221 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2222 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2223 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2224 = and(_T_2222, _T_2223) @[lsu_bus_buffer.scala 411:57] - node _T_2225 = or(_T_2221, _T_2224) @[lsu_bus_buffer.scala 411:31] - node _T_2226 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2227 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2228 = and(_T_2226, _T_2227) @[lsu_bus_buffer.scala 412:41] - node _T_2229 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:83] - node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 412:71] - node _T_2231 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:104] - node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 412:92] - node _T_2233 = or(_T_2225, _T_2232) @[lsu_bus_buffer.scala 411:86] - node _T_2234 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2235 = and(_T_2234, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2236 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:64] - node _T_2237 = and(_T_2235, _T_2236) @[lsu_bus_buffer.scala 413:52] - node _T_2238 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:85] - node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 413:73] - node _T_2240 = or(_T_2233, _T_2239) @[lsu_bus_buffer.scala 412:114] - node _T_2241 = and(_T_2220, _T_2240) @[lsu_bus_buffer.scala 410:113] - node _T_2242 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 413:109] - node _T_2243 = or(_T_2241, _T_2242) @[lsu_bus_buffer.scala 413:97] - node _T_2244 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2245 = and(_T_2244, buf_state_en[1]) @[lsu_bus_buffer.scala 410:94] - node _T_2246 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2247 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2248 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2249 = and(_T_2247, _T_2248) @[lsu_bus_buffer.scala 411:57] - node _T_2250 = or(_T_2246, _T_2249) @[lsu_bus_buffer.scala 411:31] - node _T_2251 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2252 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2253 = and(_T_2251, _T_2252) @[lsu_bus_buffer.scala 412:41] - node _T_2254 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:83] - node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 412:71] - node _T_2256 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:104] - node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 412:92] - node _T_2258 = or(_T_2250, _T_2257) @[lsu_bus_buffer.scala 411:86] - node _T_2259 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2260 = and(_T_2259, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2261 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:64] - node _T_2262 = and(_T_2260, _T_2261) @[lsu_bus_buffer.scala 413:52] - node _T_2263 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:85] - node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 413:73] - node _T_2265 = or(_T_2258, _T_2264) @[lsu_bus_buffer.scala 412:114] - node _T_2266 = and(_T_2245, _T_2265) @[lsu_bus_buffer.scala 410:113] - node _T_2267 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 413:109] - node _T_2268 = or(_T_2266, _T_2267) @[lsu_bus_buffer.scala 413:97] + node _T_2169 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2170 = and(_T_2169, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] + node _T_2171 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2172 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2173 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2174 = and(_T_2172, _T_2173) @[lsu_bus_buffer.scala 412:57] + node _T_2175 = or(_T_2171, _T_2174) @[lsu_bus_buffer.scala 412:31] + node _T_2176 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2177 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2178 = and(_T_2176, _T_2177) @[lsu_bus_buffer.scala 413:41] + node _T_2179 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] + node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 413:71] + node _T_2181 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] + node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 413:92] + node _T_2183 = or(_T_2175, _T_2182) @[lsu_bus_buffer.scala 412:86] + node _T_2184 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2185 = and(_T_2184, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2186 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 414:52] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 414:73] + node _T_2190 = or(_T_2183, _T_2189) @[lsu_bus_buffer.scala 413:114] + node _T_2191 = and(_T_2170, _T_2190) @[lsu_bus_buffer.scala 411:113] + node _T_2192 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 414:109] + node _T_2193 = or(_T_2191, _T_2192) @[lsu_bus_buffer.scala 414:97] + node _T_2194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2195 = and(_T_2194, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] + node _T_2196 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2197 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2198 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2199 = and(_T_2197, _T_2198) @[lsu_bus_buffer.scala 412:57] + node _T_2200 = or(_T_2196, _T_2199) @[lsu_bus_buffer.scala 412:31] + node _T_2201 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2202 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2203 = and(_T_2201, _T_2202) @[lsu_bus_buffer.scala 413:41] + node _T_2204 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] + node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 413:71] + node _T_2206 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] + node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 413:92] + node _T_2208 = or(_T_2200, _T_2207) @[lsu_bus_buffer.scala 412:86] + node _T_2209 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2210 = and(_T_2209, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2211 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 414:52] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 414:73] + node _T_2215 = or(_T_2208, _T_2214) @[lsu_bus_buffer.scala 413:114] + node _T_2216 = and(_T_2195, _T_2215) @[lsu_bus_buffer.scala 411:113] + node _T_2217 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 414:109] + node _T_2218 = or(_T_2216, _T_2217) @[lsu_bus_buffer.scala 414:97] + node _T_2219 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2220 = and(_T_2219, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] + node _T_2221 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2222 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2223 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2224 = and(_T_2222, _T_2223) @[lsu_bus_buffer.scala 412:57] + node _T_2225 = or(_T_2221, _T_2224) @[lsu_bus_buffer.scala 412:31] + node _T_2226 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2227 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2228 = and(_T_2226, _T_2227) @[lsu_bus_buffer.scala 413:41] + node _T_2229 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] + node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 413:71] + node _T_2231 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] + node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 413:92] + node _T_2233 = or(_T_2225, _T_2232) @[lsu_bus_buffer.scala 412:86] + node _T_2234 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2235 = and(_T_2234, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2236 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] + node _T_2237 = and(_T_2235, _T_2236) @[lsu_bus_buffer.scala 414:52] + node _T_2238 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 414:73] + node _T_2240 = or(_T_2233, _T_2239) @[lsu_bus_buffer.scala 413:114] + node _T_2241 = and(_T_2220, _T_2240) @[lsu_bus_buffer.scala 411:113] + node _T_2242 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 414:109] + node _T_2243 = or(_T_2241, _T_2242) @[lsu_bus_buffer.scala 414:97] + node _T_2244 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2245 = and(_T_2244, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] + node _T_2246 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2247 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2248 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2249 = and(_T_2247, _T_2248) @[lsu_bus_buffer.scala 412:57] + node _T_2250 = or(_T_2246, _T_2249) @[lsu_bus_buffer.scala 412:31] + node _T_2251 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2252 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2253 = and(_T_2251, _T_2252) @[lsu_bus_buffer.scala 413:41] + node _T_2254 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] + node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 413:71] + node _T_2256 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] + node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 413:92] + node _T_2258 = or(_T_2250, _T_2257) @[lsu_bus_buffer.scala 412:86] + node _T_2259 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2260 = and(_T_2259, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2261 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] + node _T_2262 = and(_T_2260, _T_2261) @[lsu_bus_buffer.scala 414:52] + node _T_2263 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 414:73] + node _T_2265 = or(_T_2258, _T_2264) @[lsu_bus_buffer.scala 413:114] + node _T_2266 = and(_T_2245, _T_2265) @[lsu_bus_buffer.scala 411:113] + node _T_2267 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 414:109] + node _T_2268 = or(_T_2266, _T_2267) @[lsu_bus_buffer.scala 414:97] node _T_2269 = cat(_T_2268, _T_2243) @[Cat.scala 29:58] node _T_2270 = cat(_T_2269, _T_2218) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2270, _T_2193) @[Cat.scala 29:58] - node _T_2271 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2272 = and(_T_2271, buf_state_en[2]) @[lsu_bus_buffer.scala 410:94] - node _T_2273 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2274 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2275 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2276 = and(_T_2274, _T_2275) @[lsu_bus_buffer.scala 411:57] - node _T_2277 = or(_T_2273, _T_2276) @[lsu_bus_buffer.scala 411:31] - node _T_2278 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2279 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2280 = and(_T_2278, _T_2279) @[lsu_bus_buffer.scala 412:41] - node _T_2281 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:83] - node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 412:71] - node _T_2283 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:104] - node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 412:92] - node _T_2285 = or(_T_2277, _T_2284) @[lsu_bus_buffer.scala 411:86] - node _T_2286 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2287 = and(_T_2286, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2288 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:64] - node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 413:52] - node _T_2290 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:85] - node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 413:73] - node _T_2292 = or(_T_2285, _T_2291) @[lsu_bus_buffer.scala 412:114] - node _T_2293 = and(_T_2272, _T_2292) @[lsu_bus_buffer.scala 410:113] - node _T_2294 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 413:109] - node _T_2295 = or(_T_2293, _T_2294) @[lsu_bus_buffer.scala 413:97] - node _T_2296 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2297 = and(_T_2296, buf_state_en[2]) @[lsu_bus_buffer.scala 410:94] - node _T_2298 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2299 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2301 = and(_T_2299, _T_2300) @[lsu_bus_buffer.scala 411:57] - node _T_2302 = or(_T_2298, _T_2301) @[lsu_bus_buffer.scala 411:31] - node _T_2303 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2304 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2305 = and(_T_2303, _T_2304) @[lsu_bus_buffer.scala 412:41] - node _T_2306 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:83] - node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 412:71] - node _T_2308 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:104] - node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 412:92] - node _T_2310 = or(_T_2302, _T_2309) @[lsu_bus_buffer.scala 411:86] - node _T_2311 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2312 = and(_T_2311, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2313 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:64] - node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 413:52] - node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:85] - node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 413:73] - node _T_2317 = or(_T_2310, _T_2316) @[lsu_bus_buffer.scala 412:114] - node _T_2318 = and(_T_2297, _T_2317) @[lsu_bus_buffer.scala 410:113] - node _T_2319 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 413:109] - node _T_2320 = or(_T_2318, _T_2319) @[lsu_bus_buffer.scala 413:97] - node _T_2321 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2322 = and(_T_2321, buf_state_en[2]) @[lsu_bus_buffer.scala 410:94] - node _T_2323 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2324 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2325 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2326 = and(_T_2324, _T_2325) @[lsu_bus_buffer.scala 411:57] - node _T_2327 = or(_T_2323, _T_2326) @[lsu_bus_buffer.scala 411:31] - node _T_2328 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2329 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2330 = and(_T_2328, _T_2329) @[lsu_bus_buffer.scala 412:41] - node _T_2331 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:83] - node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 412:71] - node _T_2333 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:104] - node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 412:92] - node _T_2335 = or(_T_2327, _T_2334) @[lsu_bus_buffer.scala 411:86] - node _T_2336 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2337 = and(_T_2336, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2338 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:64] - node _T_2339 = and(_T_2337, _T_2338) @[lsu_bus_buffer.scala 413:52] - node _T_2340 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:85] - node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 413:73] - node _T_2342 = or(_T_2335, _T_2341) @[lsu_bus_buffer.scala 412:114] - node _T_2343 = and(_T_2322, _T_2342) @[lsu_bus_buffer.scala 410:113] - node _T_2344 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 413:109] - node _T_2345 = or(_T_2343, _T_2344) @[lsu_bus_buffer.scala 413:97] - node _T_2346 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2347 = and(_T_2346, buf_state_en[2]) @[lsu_bus_buffer.scala 410:94] - node _T_2348 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2349 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2350 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2351 = and(_T_2349, _T_2350) @[lsu_bus_buffer.scala 411:57] - node _T_2352 = or(_T_2348, _T_2351) @[lsu_bus_buffer.scala 411:31] - node _T_2353 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2354 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2355 = and(_T_2353, _T_2354) @[lsu_bus_buffer.scala 412:41] - node _T_2356 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:83] - node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 412:71] - node _T_2358 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:104] - node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 412:92] - node _T_2360 = or(_T_2352, _T_2359) @[lsu_bus_buffer.scala 411:86] - node _T_2361 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2362 = and(_T_2361, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:64] - node _T_2364 = and(_T_2362, _T_2363) @[lsu_bus_buffer.scala 413:52] - node _T_2365 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:85] - node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 413:73] - node _T_2367 = or(_T_2360, _T_2366) @[lsu_bus_buffer.scala 412:114] - node _T_2368 = and(_T_2347, _T_2367) @[lsu_bus_buffer.scala 410:113] - node _T_2369 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 413:109] - node _T_2370 = or(_T_2368, _T_2369) @[lsu_bus_buffer.scala 413:97] + node _T_2271 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2272 = and(_T_2271, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] + node _T_2273 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2274 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2275 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2276 = and(_T_2274, _T_2275) @[lsu_bus_buffer.scala 412:57] + node _T_2277 = or(_T_2273, _T_2276) @[lsu_bus_buffer.scala 412:31] + node _T_2278 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2279 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2280 = and(_T_2278, _T_2279) @[lsu_bus_buffer.scala 413:41] + node _T_2281 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] + node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 413:71] + node _T_2283 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] + node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 413:92] + node _T_2285 = or(_T_2277, _T_2284) @[lsu_bus_buffer.scala 412:86] + node _T_2286 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2287 = and(_T_2286, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2288 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 414:52] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 414:73] + node _T_2292 = or(_T_2285, _T_2291) @[lsu_bus_buffer.scala 413:114] + node _T_2293 = and(_T_2272, _T_2292) @[lsu_bus_buffer.scala 411:113] + node _T_2294 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 414:109] + node _T_2295 = or(_T_2293, _T_2294) @[lsu_bus_buffer.scala 414:97] + node _T_2296 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2297 = and(_T_2296, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] + node _T_2298 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2299 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2301 = and(_T_2299, _T_2300) @[lsu_bus_buffer.scala 412:57] + node _T_2302 = or(_T_2298, _T_2301) @[lsu_bus_buffer.scala 412:31] + node _T_2303 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2304 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2305 = and(_T_2303, _T_2304) @[lsu_bus_buffer.scala 413:41] + node _T_2306 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] + node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 413:71] + node _T_2308 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] + node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 413:92] + node _T_2310 = or(_T_2302, _T_2309) @[lsu_bus_buffer.scala 412:86] + node _T_2311 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2312 = and(_T_2311, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2313 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 414:52] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 414:73] + node _T_2317 = or(_T_2310, _T_2316) @[lsu_bus_buffer.scala 413:114] + node _T_2318 = and(_T_2297, _T_2317) @[lsu_bus_buffer.scala 411:113] + node _T_2319 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 414:109] + node _T_2320 = or(_T_2318, _T_2319) @[lsu_bus_buffer.scala 414:97] + node _T_2321 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2322 = and(_T_2321, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] + node _T_2323 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2324 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2325 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2326 = and(_T_2324, _T_2325) @[lsu_bus_buffer.scala 412:57] + node _T_2327 = or(_T_2323, _T_2326) @[lsu_bus_buffer.scala 412:31] + node _T_2328 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2329 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2330 = and(_T_2328, _T_2329) @[lsu_bus_buffer.scala 413:41] + node _T_2331 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] + node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 413:71] + node _T_2333 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] + node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 413:92] + node _T_2335 = or(_T_2327, _T_2334) @[lsu_bus_buffer.scala 412:86] + node _T_2336 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2337 = and(_T_2336, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2338 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] + node _T_2339 = and(_T_2337, _T_2338) @[lsu_bus_buffer.scala 414:52] + node _T_2340 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 414:73] + node _T_2342 = or(_T_2335, _T_2341) @[lsu_bus_buffer.scala 413:114] + node _T_2343 = and(_T_2322, _T_2342) @[lsu_bus_buffer.scala 411:113] + node _T_2344 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 414:109] + node _T_2345 = or(_T_2343, _T_2344) @[lsu_bus_buffer.scala 414:97] + node _T_2346 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2347 = and(_T_2346, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] + node _T_2348 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2349 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2350 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2351 = and(_T_2349, _T_2350) @[lsu_bus_buffer.scala 412:57] + node _T_2352 = or(_T_2348, _T_2351) @[lsu_bus_buffer.scala 412:31] + node _T_2353 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2354 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2355 = and(_T_2353, _T_2354) @[lsu_bus_buffer.scala 413:41] + node _T_2356 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] + node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 413:71] + node _T_2358 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] + node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 413:92] + node _T_2360 = or(_T_2352, _T_2359) @[lsu_bus_buffer.scala 412:86] + node _T_2361 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2362 = and(_T_2361, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] + node _T_2364 = and(_T_2362, _T_2363) @[lsu_bus_buffer.scala 414:52] + node _T_2365 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 414:73] + node _T_2367 = or(_T_2360, _T_2366) @[lsu_bus_buffer.scala 413:114] + node _T_2368 = and(_T_2347, _T_2367) @[lsu_bus_buffer.scala 411:113] + node _T_2369 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 414:109] + node _T_2370 = or(_T_2368, _T_2369) @[lsu_bus_buffer.scala 414:97] node _T_2371 = cat(_T_2370, _T_2345) @[Cat.scala 29:58] node _T_2372 = cat(_T_2371, _T_2320) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2372, _T_2295) @[Cat.scala 29:58] - node _T_2373 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2374 = and(_T_2373, buf_state_en[3]) @[lsu_bus_buffer.scala 410:94] - node _T_2375 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2376 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2377 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2378 = and(_T_2376, _T_2377) @[lsu_bus_buffer.scala 411:57] - node _T_2379 = or(_T_2375, _T_2378) @[lsu_bus_buffer.scala 411:31] - node _T_2380 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2381 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2382 = and(_T_2380, _T_2381) @[lsu_bus_buffer.scala 412:41] - node _T_2383 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:83] - node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 412:71] - node _T_2385 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:104] - node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 412:92] - node _T_2387 = or(_T_2379, _T_2386) @[lsu_bus_buffer.scala 411:86] - node _T_2388 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2389 = and(_T_2388, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2390 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:64] - node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 413:52] - node _T_2392 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:85] - node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 413:73] - node _T_2394 = or(_T_2387, _T_2393) @[lsu_bus_buffer.scala 412:114] - node _T_2395 = and(_T_2374, _T_2394) @[lsu_bus_buffer.scala 410:113] - node _T_2396 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 413:109] - node _T_2397 = or(_T_2395, _T_2396) @[lsu_bus_buffer.scala 413:97] - node _T_2398 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2399 = and(_T_2398, buf_state_en[3]) @[lsu_bus_buffer.scala 410:94] - node _T_2400 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2401 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2402 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2403 = and(_T_2401, _T_2402) @[lsu_bus_buffer.scala 411:57] - node _T_2404 = or(_T_2400, _T_2403) @[lsu_bus_buffer.scala 411:31] - node _T_2405 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2406 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2407 = and(_T_2405, _T_2406) @[lsu_bus_buffer.scala 412:41] - node _T_2408 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:83] - node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 412:71] - node _T_2410 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:104] - node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 412:92] - node _T_2412 = or(_T_2404, _T_2411) @[lsu_bus_buffer.scala 411:86] - node _T_2413 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2414 = and(_T_2413, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2415 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:64] - node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 413:52] - node _T_2417 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:85] - node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 413:73] - node _T_2419 = or(_T_2412, _T_2418) @[lsu_bus_buffer.scala 412:114] - node _T_2420 = and(_T_2399, _T_2419) @[lsu_bus_buffer.scala 410:113] - node _T_2421 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 413:109] - node _T_2422 = or(_T_2420, _T_2421) @[lsu_bus_buffer.scala 413:97] - node _T_2423 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2424 = and(_T_2423, buf_state_en[3]) @[lsu_bus_buffer.scala 410:94] - node _T_2425 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2426 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2427 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2428 = and(_T_2426, _T_2427) @[lsu_bus_buffer.scala 411:57] - node _T_2429 = or(_T_2425, _T_2428) @[lsu_bus_buffer.scala 411:31] - node _T_2430 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2431 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2432 = and(_T_2430, _T_2431) @[lsu_bus_buffer.scala 412:41] - node _T_2433 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:83] - node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 412:71] - node _T_2435 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:104] - node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 412:92] - node _T_2437 = or(_T_2429, _T_2436) @[lsu_bus_buffer.scala 411:86] - node _T_2438 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2439 = and(_T_2438, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2440 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:64] - node _T_2441 = and(_T_2439, _T_2440) @[lsu_bus_buffer.scala 413:52] - node _T_2442 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:85] - node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 413:73] - node _T_2444 = or(_T_2437, _T_2443) @[lsu_bus_buffer.scala 412:114] - node _T_2445 = and(_T_2424, _T_2444) @[lsu_bus_buffer.scala 410:113] - node _T_2446 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 413:109] - node _T_2447 = or(_T_2445, _T_2446) @[lsu_bus_buffer.scala 413:97] - node _T_2448 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2449 = and(_T_2448, buf_state_en[3]) @[lsu_bus_buffer.scala 410:94] - node _T_2450 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 411:20] - node _T_2451 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:47] - node _T_2452 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 411:59] - node _T_2453 = and(_T_2451, _T_2452) @[lsu_bus_buffer.scala 411:57] - node _T_2454 = or(_T_2450, _T_2453) @[lsu_bus_buffer.scala 411:31] - node _T_2455 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:23] - node _T_2456 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:53] - node _T_2457 = and(_T_2455, _T_2456) @[lsu_bus_buffer.scala 412:41] - node _T_2458 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:83] - node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 412:71] - node _T_2460 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:104] - node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 412:92] - node _T_2462 = or(_T_2454, _T_2461) @[lsu_bus_buffer.scala 411:86] - node _T_2463 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:17] - node _T_2464 = and(_T_2463, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:35] - node _T_2465 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:64] - node _T_2466 = and(_T_2464, _T_2465) @[lsu_bus_buffer.scala 413:52] - node _T_2467 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:85] - node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 413:73] - node _T_2469 = or(_T_2462, _T_2468) @[lsu_bus_buffer.scala 412:114] - node _T_2470 = and(_T_2449, _T_2469) @[lsu_bus_buffer.scala 410:113] - node _T_2471 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 413:109] - node _T_2472 = or(_T_2470, _T_2471) @[lsu_bus_buffer.scala 413:97] + node _T_2373 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2374 = and(_T_2373, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] + node _T_2375 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2376 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2377 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2378 = and(_T_2376, _T_2377) @[lsu_bus_buffer.scala 412:57] + node _T_2379 = or(_T_2375, _T_2378) @[lsu_bus_buffer.scala 412:31] + node _T_2380 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2381 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2382 = and(_T_2380, _T_2381) @[lsu_bus_buffer.scala 413:41] + node _T_2383 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] + node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 413:71] + node _T_2385 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] + node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 413:92] + node _T_2387 = or(_T_2379, _T_2386) @[lsu_bus_buffer.scala 412:86] + node _T_2388 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2389 = and(_T_2388, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2390 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 414:52] + node _T_2392 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 414:73] + node _T_2394 = or(_T_2387, _T_2393) @[lsu_bus_buffer.scala 413:114] + node _T_2395 = and(_T_2374, _T_2394) @[lsu_bus_buffer.scala 411:113] + node _T_2396 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 414:109] + node _T_2397 = or(_T_2395, _T_2396) @[lsu_bus_buffer.scala 414:97] + node _T_2398 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2399 = and(_T_2398, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] + node _T_2400 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2401 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2402 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2403 = and(_T_2401, _T_2402) @[lsu_bus_buffer.scala 412:57] + node _T_2404 = or(_T_2400, _T_2403) @[lsu_bus_buffer.scala 412:31] + node _T_2405 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2406 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2407 = and(_T_2405, _T_2406) @[lsu_bus_buffer.scala 413:41] + node _T_2408 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] + node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 413:71] + node _T_2410 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] + node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 413:92] + node _T_2412 = or(_T_2404, _T_2411) @[lsu_bus_buffer.scala 412:86] + node _T_2413 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2414 = and(_T_2413, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2415 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 414:52] + node _T_2417 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 414:73] + node _T_2419 = or(_T_2412, _T_2418) @[lsu_bus_buffer.scala 413:114] + node _T_2420 = and(_T_2399, _T_2419) @[lsu_bus_buffer.scala 411:113] + node _T_2421 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 414:109] + node _T_2422 = or(_T_2420, _T_2421) @[lsu_bus_buffer.scala 414:97] + node _T_2423 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2424 = and(_T_2423, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] + node _T_2425 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2426 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2427 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2428 = and(_T_2426, _T_2427) @[lsu_bus_buffer.scala 412:57] + node _T_2429 = or(_T_2425, _T_2428) @[lsu_bus_buffer.scala 412:31] + node _T_2430 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2431 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2432 = and(_T_2430, _T_2431) @[lsu_bus_buffer.scala 413:41] + node _T_2433 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] + node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 413:71] + node _T_2435 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] + node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 413:92] + node _T_2437 = or(_T_2429, _T_2436) @[lsu_bus_buffer.scala 412:86] + node _T_2438 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2439 = and(_T_2438, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2440 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] + node _T_2441 = and(_T_2439, _T_2440) @[lsu_bus_buffer.scala 414:52] + node _T_2442 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 414:73] + node _T_2444 = or(_T_2437, _T_2443) @[lsu_bus_buffer.scala 413:114] + node _T_2445 = and(_T_2424, _T_2444) @[lsu_bus_buffer.scala 411:113] + node _T_2446 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 414:109] + node _T_2447 = or(_T_2445, _T_2446) @[lsu_bus_buffer.scala 414:97] + node _T_2448 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2449 = and(_T_2448, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] + node _T_2450 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] + node _T_2451 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] + node _T_2452 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] + node _T_2453 = and(_T_2451, _T_2452) @[lsu_bus_buffer.scala 412:57] + node _T_2454 = or(_T_2450, _T_2453) @[lsu_bus_buffer.scala 412:31] + node _T_2455 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] + node _T_2456 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] + node _T_2457 = and(_T_2455, _T_2456) @[lsu_bus_buffer.scala 413:41] + node _T_2458 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] + node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 413:71] + node _T_2460 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] + node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 413:92] + node _T_2462 = or(_T_2454, _T_2461) @[lsu_bus_buffer.scala 412:86] + node _T_2463 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] + node _T_2464 = and(_T_2463, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] + node _T_2465 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] + node _T_2466 = and(_T_2464, _T_2465) @[lsu_bus_buffer.scala 414:52] + node _T_2467 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 414:73] + node _T_2469 = or(_T_2462, _T_2468) @[lsu_bus_buffer.scala 413:114] + node _T_2470 = and(_T_2449, _T_2469) @[lsu_bus_buffer.scala 411:113] + node _T_2471 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 414:109] + node _T_2472 = or(_T_2470, _T_2471) @[lsu_bus_buffer.scala 414:97] node _T_2473 = cat(_T_2472, _T_2447) @[Cat.scala 29:58] node _T_2474 = cat(_T_2473, _T_2422) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2474, _T_2397) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 414:22] - buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 415:12] - buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 415:12] - buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 415:12] - buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 415:12] - node _T_2475 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 416:72] - node _T_2476 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 416:103] - node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 416:76] - node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 416:138] - node _T_2482 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 416:72] - node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 416:103] - node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 416:76] - node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 416:138] - node _T_2489 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 416:72] - node _T_2490 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 416:103] - node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 416:76] - node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 416:138] - node _T_2496 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 416:72] - node _T_2497 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2498 = and(_T_2497, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 416:103] - node _T_2499 = eq(_T_2498, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2500 = and(_T_2496, _T_2499) @[lsu_bus_buffer.scala 416:76] - node _T_2501 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 416:138] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 415:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] + node _T_2475 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 417:72] + node _T_2476 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] + node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 417:76] + node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 417:138] + node _T_2482 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 417:72] + node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] + node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 417:76] + node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 417:138] + node _T_2489 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 417:72] + node _T_2490 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] + node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 417:76] + node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 417:138] + node _T_2496 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 417:72] + node _T_2497 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2498 = and(_T_2497, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] + node _T_2499 = eq(_T_2498, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2500 = and(_T_2496, _T_2499) @[lsu_bus_buffer.scala 417:76] + node _T_2501 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 417:138] node _T_2503 = cat(_T_2502, _T_2495) @[Cat.scala 29:58] node _T_2504 = cat(_T_2503, _T_2488) @[Cat.scala 29:58] node _T_2505 = cat(_T_2504, _T_2481) @[Cat.scala 29:58] - node _T_2506 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 416:72] - node _T_2507 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 416:103] - node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 416:76] - node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 416:138] - node _T_2513 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 416:72] - node _T_2514 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 416:103] - node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 416:76] - node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 416:138] - node _T_2520 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 416:72] - node _T_2521 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 416:103] - node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 416:76] - node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 416:138] - node _T_2527 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 416:72] - node _T_2528 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2529 = and(_T_2528, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 416:103] - node _T_2530 = eq(_T_2529, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2531 = and(_T_2527, _T_2530) @[lsu_bus_buffer.scala 416:76] - node _T_2532 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2533 = and(_T_2531, _T_2532) @[lsu_bus_buffer.scala 416:138] + node _T_2506 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 417:72] + node _T_2507 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] + node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 417:76] + node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 417:138] + node _T_2513 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 417:72] + node _T_2514 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] + node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 417:76] + node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 417:138] + node _T_2520 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 417:72] + node _T_2521 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] + node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 417:76] + node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 417:138] + node _T_2527 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 417:72] + node _T_2528 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2529 = and(_T_2528, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] + node _T_2530 = eq(_T_2529, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2531 = and(_T_2527, _T_2530) @[lsu_bus_buffer.scala 417:76] + node _T_2532 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2533 = and(_T_2531, _T_2532) @[lsu_bus_buffer.scala 417:138] node _T_2534 = cat(_T_2533, _T_2526) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2519) @[Cat.scala 29:58] node _T_2536 = cat(_T_2535, _T_2512) @[Cat.scala 29:58] - node _T_2537 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 416:72] - node _T_2538 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 416:103] - node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 416:76] - node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 416:138] - node _T_2544 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 416:72] - node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 416:103] - node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 416:76] - node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 416:138] - node _T_2551 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 416:72] - node _T_2552 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 416:103] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 416:76] - node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 416:138] - node _T_2558 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 416:72] - node _T_2559 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 416:103] - node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2562 = and(_T_2558, _T_2561) @[lsu_bus_buffer.scala 416:76] - node _T_2563 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2564 = and(_T_2562, _T_2563) @[lsu_bus_buffer.scala 416:138] + node _T_2537 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 417:72] + node _T_2538 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] + node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 417:76] + node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 417:138] + node _T_2544 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 417:72] + node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] + node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 417:76] + node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 417:138] + node _T_2551 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 417:72] + node _T_2552 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 417:76] + node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 417:138] + node _T_2558 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 417:72] + node _T_2559 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] + node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2562 = and(_T_2558, _T_2561) @[lsu_bus_buffer.scala 417:76] + node _T_2563 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2564 = and(_T_2562, _T_2563) @[lsu_bus_buffer.scala 417:138] node _T_2565 = cat(_T_2564, _T_2557) @[Cat.scala 29:58] node _T_2566 = cat(_T_2565, _T_2550) @[Cat.scala 29:58] node _T_2567 = cat(_T_2566, _T_2543) @[Cat.scala 29:58] - node _T_2568 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 416:72] - node _T_2569 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 416:103] - node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 416:76] - node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 416:138] - node _T_2575 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 416:72] - node _T_2576 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 416:103] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 416:76] - node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 416:138] - node _T_2582 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 416:72] - node _T_2583 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 416:103] - node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 416:76] - node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 416:138] - node _T_2589 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 416:72] - node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 416:93] - node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 416:103] - node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:78] - node _T_2593 = and(_T_2589, _T_2592) @[lsu_bus_buffer.scala 416:76] - node _T_2594 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:140] - node _T_2595 = and(_T_2593, _T_2594) @[lsu_bus_buffer.scala 416:138] + node _T_2568 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 417:72] + node _T_2569 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] + node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 417:76] + node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 417:138] + node _T_2575 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 417:72] + node _T_2576 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 417:76] + node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 417:138] + node _T_2582 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 417:72] + node _T_2583 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 417:76] + node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 417:138] + node _T_2589 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 417:72] + node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] + node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] + node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] + node _T_2593 = and(_T_2589, _T_2592) @[lsu_bus_buffer.scala 417:76] + node _T_2594 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] + node _T_2595 = and(_T_2593, _T_2594) @[lsu_bus_buffer.scala 417:138] node _T_2596 = cat(_T_2595, _T_2588) @[Cat.scala 29:58] node _T_2597 = cat(_T_2596, _T_2581) @[Cat.scala 29:58] node _T_2598 = cat(_T_2597, _T_2574) @[Cat.scala 29:58] - buf_age[0] <= _T_2505 @[lsu_bus_buffer.scala 416:11] - buf_age[1] <= _T_2536 @[lsu_bus_buffer.scala 416:11] - buf_age[2] <= _T_2567 @[lsu_bus_buffer.scala 416:11] - buf_age[3] <= _T_2598 @[lsu_bus_buffer.scala 416:11] - node _T_2599 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 417:76] - node _T_2600 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 417:100] - node _T_2601 = eq(_T_2600, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2602 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2603 = and(_T_2601, _T_2602) @[lsu_bus_buffer.scala 417:104] - node _T_2604 = mux(_T_2599, UInt<1>("h00"), _T_2603) @[lsu_bus_buffer.scala 417:72] - node _T_2605 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 417:76] - node _T_2606 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 417:100] - node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2608 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2609 = and(_T_2607, _T_2608) @[lsu_bus_buffer.scala 417:104] - node _T_2610 = mux(_T_2605, UInt<1>("h00"), _T_2609) @[lsu_bus_buffer.scala 417:72] - node _T_2611 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 417:76] - node _T_2612 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 417:100] - node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2614 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2615 = and(_T_2613, _T_2614) @[lsu_bus_buffer.scala 417:104] - node _T_2616 = mux(_T_2611, UInt<1>("h00"), _T_2615) @[lsu_bus_buffer.scala 417:72] - node _T_2617 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 417:76] - node _T_2618 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 417:100] - node _T_2619 = eq(_T_2618, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2620 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2621 = and(_T_2619, _T_2620) @[lsu_bus_buffer.scala 417:104] - node _T_2622 = mux(_T_2617, UInt<1>("h00"), _T_2621) @[lsu_bus_buffer.scala 417:72] + buf_age[0] <= _T_2505 @[lsu_bus_buffer.scala 417:11] + buf_age[1] <= _T_2536 @[lsu_bus_buffer.scala 417:11] + buf_age[2] <= _T_2567 @[lsu_bus_buffer.scala 417:11] + buf_age[3] <= _T_2598 @[lsu_bus_buffer.scala 417:11] + node _T_2599 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] + node _T_2600 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 418:100] + node _T_2601 = eq(_T_2600, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2602 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2603 = and(_T_2601, _T_2602) @[lsu_bus_buffer.scala 418:104] + node _T_2604 = mux(_T_2599, UInt<1>("h00"), _T_2603) @[lsu_bus_buffer.scala 418:72] + node _T_2605 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] + node _T_2606 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 418:100] + node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2608 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2609 = and(_T_2607, _T_2608) @[lsu_bus_buffer.scala 418:104] + node _T_2610 = mux(_T_2605, UInt<1>("h00"), _T_2609) @[lsu_bus_buffer.scala 418:72] + node _T_2611 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] + node _T_2612 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 418:100] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2614 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2615 = and(_T_2613, _T_2614) @[lsu_bus_buffer.scala 418:104] + node _T_2616 = mux(_T_2611, UInt<1>("h00"), _T_2615) @[lsu_bus_buffer.scala 418:72] + node _T_2617 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] + node _T_2618 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 418:100] + node _T_2619 = eq(_T_2618, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2620 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2621 = and(_T_2619, _T_2620) @[lsu_bus_buffer.scala 418:104] + node _T_2622 = mux(_T_2617, UInt<1>("h00"), _T_2621) @[lsu_bus_buffer.scala 418:72] node _T_2623 = cat(_T_2622, _T_2616) @[Cat.scala 29:58] node _T_2624 = cat(_T_2623, _T_2610) @[Cat.scala 29:58] node _T_2625 = cat(_T_2624, _T_2604) @[Cat.scala 29:58] - node _T_2626 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 417:76] - node _T_2627 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 417:100] - node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2629 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2630 = and(_T_2628, _T_2629) @[lsu_bus_buffer.scala 417:104] - node _T_2631 = mux(_T_2626, UInt<1>("h00"), _T_2630) @[lsu_bus_buffer.scala 417:72] - node _T_2632 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 417:76] - node _T_2633 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 417:100] - node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2635 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2636 = and(_T_2634, _T_2635) @[lsu_bus_buffer.scala 417:104] - node _T_2637 = mux(_T_2632, UInt<1>("h00"), _T_2636) @[lsu_bus_buffer.scala 417:72] - node _T_2638 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 417:76] - node _T_2639 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 417:100] - node _T_2640 = eq(_T_2639, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2641 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2642 = and(_T_2640, _T_2641) @[lsu_bus_buffer.scala 417:104] - node _T_2643 = mux(_T_2638, UInt<1>("h00"), _T_2642) @[lsu_bus_buffer.scala 417:72] - node _T_2644 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 417:76] - node _T_2645 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 417:100] - node _T_2646 = eq(_T_2645, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2647 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2648 = and(_T_2646, _T_2647) @[lsu_bus_buffer.scala 417:104] - node _T_2649 = mux(_T_2644, UInt<1>("h00"), _T_2648) @[lsu_bus_buffer.scala 417:72] + node _T_2626 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] + node _T_2627 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 418:100] + node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2629 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2630 = and(_T_2628, _T_2629) @[lsu_bus_buffer.scala 418:104] + node _T_2631 = mux(_T_2626, UInt<1>("h00"), _T_2630) @[lsu_bus_buffer.scala 418:72] + node _T_2632 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] + node _T_2633 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 418:100] + node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2635 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2636 = and(_T_2634, _T_2635) @[lsu_bus_buffer.scala 418:104] + node _T_2637 = mux(_T_2632, UInt<1>("h00"), _T_2636) @[lsu_bus_buffer.scala 418:72] + node _T_2638 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] + node _T_2639 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 418:100] + node _T_2640 = eq(_T_2639, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2641 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2642 = and(_T_2640, _T_2641) @[lsu_bus_buffer.scala 418:104] + node _T_2643 = mux(_T_2638, UInt<1>("h00"), _T_2642) @[lsu_bus_buffer.scala 418:72] + node _T_2644 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] + node _T_2645 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 418:100] + node _T_2646 = eq(_T_2645, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2647 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2648 = and(_T_2646, _T_2647) @[lsu_bus_buffer.scala 418:104] + node _T_2649 = mux(_T_2644, UInt<1>("h00"), _T_2648) @[lsu_bus_buffer.scala 418:72] node _T_2650 = cat(_T_2649, _T_2643) @[Cat.scala 29:58] node _T_2651 = cat(_T_2650, _T_2637) @[Cat.scala 29:58] node _T_2652 = cat(_T_2651, _T_2631) @[Cat.scala 29:58] - node _T_2653 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 417:76] - node _T_2654 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 417:100] - node _T_2655 = eq(_T_2654, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2656 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2657 = and(_T_2655, _T_2656) @[lsu_bus_buffer.scala 417:104] - node _T_2658 = mux(_T_2653, UInt<1>("h00"), _T_2657) @[lsu_bus_buffer.scala 417:72] - node _T_2659 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 417:76] - node _T_2660 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 417:100] - node _T_2661 = eq(_T_2660, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2662 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2663 = and(_T_2661, _T_2662) @[lsu_bus_buffer.scala 417:104] - node _T_2664 = mux(_T_2659, UInt<1>("h00"), _T_2663) @[lsu_bus_buffer.scala 417:72] - node _T_2665 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 417:76] - node _T_2666 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 417:100] - node _T_2667 = eq(_T_2666, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2668 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2669 = and(_T_2667, _T_2668) @[lsu_bus_buffer.scala 417:104] - node _T_2670 = mux(_T_2665, UInt<1>("h00"), _T_2669) @[lsu_bus_buffer.scala 417:72] - node _T_2671 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 417:76] - node _T_2672 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 417:100] - node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2674 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2675 = and(_T_2673, _T_2674) @[lsu_bus_buffer.scala 417:104] - node _T_2676 = mux(_T_2671, UInt<1>("h00"), _T_2675) @[lsu_bus_buffer.scala 417:72] + node _T_2653 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] + node _T_2654 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 418:100] + node _T_2655 = eq(_T_2654, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2656 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2657 = and(_T_2655, _T_2656) @[lsu_bus_buffer.scala 418:104] + node _T_2658 = mux(_T_2653, UInt<1>("h00"), _T_2657) @[lsu_bus_buffer.scala 418:72] + node _T_2659 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] + node _T_2660 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 418:100] + node _T_2661 = eq(_T_2660, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2662 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2663 = and(_T_2661, _T_2662) @[lsu_bus_buffer.scala 418:104] + node _T_2664 = mux(_T_2659, UInt<1>("h00"), _T_2663) @[lsu_bus_buffer.scala 418:72] + node _T_2665 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] + node _T_2666 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 418:100] + node _T_2667 = eq(_T_2666, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2668 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2669 = and(_T_2667, _T_2668) @[lsu_bus_buffer.scala 418:104] + node _T_2670 = mux(_T_2665, UInt<1>("h00"), _T_2669) @[lsu_bus_buffer.scala 418:72] + node _T_2671 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] + node _T_2672 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 418:100] + node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2674 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2675 = and(_T_2673, _T_2674) @[lsu_bus_buffer.scala 418:104] + node _T_2676 = mux(_T_2671, UInt<1>("h00"), _T_2675) @[lsu_bus_buffer.scala 418:72] node _T_2677 = cat(_T_2676, _T_2670) @[Cat.scala 29:58] node _T_2678 = cat(_T_2677, _T_2664) @[Cat.scala 29:58] node _T_2679 = cat(_T_2678, _T_2658) @[Cat.scala 29:58] - node _T_2680 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 417:76] - node _T_2681 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 417:100] - node _T_2682 = eq(_T_2681, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2683 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2684 = and(_T_2682, _T_2683) @[lsu_bus_buffer.scala 417:104] - node _T_2685 = mux(_T_2680, UInt<1>("h00"), _T_2684) @[lsu_bus_buffer.scala 417:72] - node _T_2686 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 417:76] - node _T_2687 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 417:100] - node _T_2688 = eq(_T_2687, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2689 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2690 = and(_T_2688, _T_2689) @[lsu_bus_buffer.scala 417:104] - node _T_2691 = mux(_T_2686, UInt<1>("h00"), _T_2690) @[lsu_bus_buffer.scala 417:72] - node _T_2692 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 417:76] - node _T_2693 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 417:100] - node _T_2694 = eq(_T_2693, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2695 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2696 = and(_T_2694, _T_2695) @[lsu_bus_buffer.scala 417:104] - node _T_2697 = mux(_T_2692, UInt<1>("h00"), _T_2696) @[lsu_bus_buffer.scala 417:72] - node _T_2698 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 417:76] - node _T_2699 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 417:100] - node _T_2700 = eq(_T_2699, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:89] - node _T_2701 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:119] - node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 417:104] - node _T_2703 = mux(_T_2698, UInt<1>("h00"), _T_2702) @[lsu_bus_buffer.scala 417:72] + node _T_2680 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] + node _T_2681 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 418:100] + node _T_2682 = eq(_T_2681, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2683 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2684 = and(_T_2682, _T_2683) @[lsu_bus_buffer.scala 418:104] + node _T_2685 = mux(_T_2680, UInt<1>("h00"), _T_2684) @[lsu_bus_buffer.scala 418:72] + node _T_2686 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] + node _T_2687 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 418:100] + node _T_2688 = eq(_T_2687, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2689 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2690 = and(_T_2688, _T_2689) @[lsu_bus_buffer.scala 418:104] + node _T_2691 = mux(_T_2686, UInt<1>("h00"), _T_2690) @[lsu_bus_buffer.scala 418:72] + node _T_2692 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] + node _T_2693 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 418:100] + node _T_2694 = eq(_T_2693, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2695 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2696 = and(_T_2694, _T_2695) @[lsu_bus_buffer.scala 418:104] + node _T_2697 = mux(_T_2692, UInt<1>("h00"), _T_2696) @[lsu_bus_buffer.scala 418:72] + node _T_2698 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] + node _T_2699 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 418:100] + node _T_2700 = eq(_T_2699, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] + node _T_2701 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] + node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 418:104] + node _T_2703 = mux(_T_2698, UInt<1>("h00"), _T_2702) @[lsu_bus_buffer.scala 418:72] node _T_2704 = cat(_T_2703, _T_2697) @[Cat.scala 29:58] node _T_2705 = cat(_T_2704, _T_2691) @[Cat.scala 29:58] node _T_2706 = cat(_T_2705, _T_2685) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2625 @[lsu_bus_buffer.scala 417:19] - buf_age_younger[1] <= _T_2652 @[lsu_bus_buffer.scala 417:19] - buf_age_younger[2] <= _T_2679 @[lsu_bus_buffer.scala 417:19] - buf_age_younger[3] <= _T_2706 @[lsu_bus_buffer.scala 417:19] - node _T_2707 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 418:83] - node _T_2708 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2709 = and(_T_2707, _T_2708) @[lsu_bus_buffer.scala 418:87] - node _T_2710 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 418:83] - node _T_2711 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2712 = and(_T_2710, _T_2711) @[lsu_bus_buffer.scala 418:87] - node _T_2713 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 418:83] - node _T_2714 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2715 = and(_T_2713, _T_2714) @[lsu_bus_buffer.scala 418:87] - node _T_2716 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 418:83] - node _T_2717 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2718 = and(_T_2716, _T_2717) @[lsu_bus_buffer.scala 418:87] + buf_age_younger[0] <= _T_2625 @[lsu_bus_buffer.scala 418:19] + buf_age_younger[1] <= _T_2652 @[lsu_bus_buffer.scala 418:19] + buf_age_younger[2] <= _T_2679 @[lsu_bus_buffer.scala 418:19] + buf_age_younger[3] <= _T_2706 @[lsu_bus_buffer.scala 418:19] + node _T_2707 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 419:83] + node _T_2708 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2709 = and(_T_2707, _T_2708) @[lsu_bus_buffer.scala 419:87] + node _T_2710 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 419:83] + node _T_2711 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2712 = and(_T_2710, _T_2711) @[lsu_bus_buffer.scala 419:87] + node _T_2713 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 419:83] + node _T_2714 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2715 = and(_T_2713, _T_2714) @[lsu_bus_buffer.scala 419:87] + node _T_2716 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 419:83] + node _T_2717 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2718 = and(_T_2716, _T_2717) @[lsu_bus_buffer.scala 419:87] node _T_2719 = cat(_T_2718, _T_2715) @[Cat.scala 29:58] node _T_2720 = cat(_T_2719, _T_2712) @[Cat.scala 29:58] node _T_2721 = cat(_T_2720, _T_2709) @[Cat.scala 29:58] - node _T_2722 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 418:83] - node _T_2723 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2724 = and(_T_2722, _T_2723) @[lsu_bus_buffer.scala 418:87] - node _T_2725 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 418:83] - node _T_2726 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2727 = and(_T_2725, _T_2726) @[lsu_bus_buffer.scala 418:87] - node _T_2728 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 418:83] - node _T_2729 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2730 = and(_T_2728, _T_2729) @[lsu_bus_buffer.scala 418:87] - node _T_2731 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 418:83] - node _T_2732 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2733 = and(_T_2731, _T_2732) @[lsu_bus_buffer.scala 418:87] + node _T_2722 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 419:83] + node _T_2723 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2724 = and(_T_2722, _T_2723) @[lsu_bus_buffer.scala 419:87] + node _T_2725 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 419:83] + node _T_2726 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2727 = and(_T_2725, _T_2726) @[lsu_bus_buffer.scala 419:87] + node _T_2728 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 419:83] + node _T_2729 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2730 = and(_T_2728, _T_2729) @[lsu_bus_buffer.scala 419:87] + node _T_2731 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 419:83] + node _T_2732 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2733 = and(_T_2731, _T_2732) @[lsu_bus_buffer.scala 419:87] node _T_2734 = cat(_T_2733, _T_2730) @[Cat.scala 29:58] node _T_2735 = cat(_T_2734, _T_2727) @[Cat.scala 29:58] node _T_2736 = cat(_T_2735, _T_2724) @[Cat.scala 29:58] - node _T_2737 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 418:83] - node _T_2738 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2739 = and(_T_2737, _T_2738) @[lsu_bus_buffer.scala 418:87] - node _T_2740 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 418:83] - node _T_2741 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2742 = and(_T_2740, _T_2741) @[lsu_bus_buffer.scala 418:87] - node _T_2743 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 418:83] - node _T_2744 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2745 = and(_T_2743, _T_2744) @[lsu_bus_buffer.scala 418:87] - node _T_2746 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 418:83] - node _T_2747 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2748 = and(_T_2746, _T_2747) @[lsu_bus_buffer.scala 418:87] + node _T_2737 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 419:83] + node _T_2738 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2739 = and(_T_2737, _T_2738) @[lsu_bus_buffer.scala 419:87] + node _T_2740 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 419:83] + node _T_2741 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2742 = and(_T_2740, _T_2741) @[lsu_bus_buffer.scala 419:87] + node _T_2743 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 419:83] + node _T_2744 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2745 = and(_T_2743, _T_2744) @[lsu_bus_buffer.scala 419:87] + node _T_2746 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 419:83] + node _T_2747 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2748 = and(_T_2746, _T_2747) @[lsu_bus_buffer.scala 419:87] node _T_2749 = cat(_T_2748, _T_2745) @[Cat.scala 29:58] node _T_2750 = cat(_T_2749, _T_2742) @[Cat.scala 29:58] node _T_2751 = cat(_T_2750, _T_2739) @[Cat.scala 29:58] - node _T_2752 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 418:83] - node _T_2753 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2754 = and(_T_2752, _T_2753) @[lsu_bus_buffer.scala 418:87] - node _T_2755 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 418:83] - node _T_2756 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2757 = and(_T_2755, _T_2756) @[lsu_bus_buffer.scala 418:87] - node _T_2758 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 418:83] - node _T_2759 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2760 = and(_T_2758, _T_2759) @[lsu_bus_buffer.scala 418:87] - node _T_2761 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 418:83] - node _T_2762 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 418:102] - node _T_2763 = and(_T_2761, _T_2762) @[lsu_bus_buffer.scala 418:87] + node _T_2752 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 419:83] + node _T_2753 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2754 = and(_T_2752, _T_2753) @[lsu_bus_buffer.scala 419:87] + node _T_2755 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 419:83] + node _T_2756 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2757 = and(_T_2755, _T_2756) @[lsu_bus_buffer.scala 419:87] + node _T_2758 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 419:83] + node _T_2759 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2760 = and(_T_2758, _T_2759) @[lsu_bus_buffer.scala 419:87] + node _T_2761 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 419:83] + node _T_2762 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] + node _T_2763 = and(_T_2761, _T_2762) @[lsu_bus_buffer.scala 419:87] node _T_2764 = cat(_T_2763, _T_2760) @[Cat.scala 29:58] node _T_2765 = cat(_T_2764, _T_2757) @[Cat.scala 29:58] node _T_2766 = cat(_T_2765, _T_2754) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2721 @[lsu_bus_buffer.scala 418:19] - buf_rsp_pickage[1] <= _T_2736 @[lsu_bus_buffer.scala 418:19] - buf_rsp_pickage[2] <= _T_2751 @[lsu_bus_buffer.scala 418:19] - buf_rsp_pickage[3] <= _T_2766 @[lsu_bus_buffer.scala 418:19] - node _T_2767 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2768 = and(_T_2767, buf_state_en[0]) @[lsu_bus_buffer.scala 420:93] - node _T_2769 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2770 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2771 = or(_T_2769, _T_2770) @[lsu_bus_buffer.scala 421:32] - node _T_2772 = eq(_T_2771, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2773 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2774 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2775 = and(_T_2773, _T_2774) @[lsu_bus_buffer.scala 422:41] - node _T_2776 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:82] - node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 422:71] - node _T_2778 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:101] - node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 422:90] - node _T_2780 = or(_T_2772, _T_2779) @[lsu_bus_buffer.scala 421:59] - node _T_2781 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2782 = and(_T_2781, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2783 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:63] - node _T_2784 = and(_T_2782, _T_2783) @[lsu_bus_buffer.scala 423:52] - node _T_2785 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 423:71] - node _T_2787 = or(_T_2780, _T_2786) @[lsu_bus_buffer.scala 422:110] - node _T_2788 = and(_T_2768, _T_2787) @[lsu_bus_buffer.scala 420:112] - node _T_2789 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2790 = and(_T_2789, buf_state_en[0]) @[lsu_bus_buffer.scala 420:93] - node _T_2791 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2792 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2793 = or(_T_2791, _T_2792) @[lsu_bus_buffer.scala 421:32] - node _T_2794 = eq(_T_2793, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2795 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2796 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2797 = and(_T_2795, _T_2796) @[lsu_bus_buffer.scala 422:41] - node _T_2798 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:82] - node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 422:71] - node _T_2800 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:101] - node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 422:90] - node _T_2802 = or(_T_2794, _T_2801) @[lsu_bus_buffer.scala 421:59] - node _T_2803 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2804 = and(_T_2803, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2805 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:63] - node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 423:52] - node _T_2807 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 423:71] - node _T_2809 = or(_T_2802, _T_2808) @[lsu_bus_buffer.scala 422:110] - node _T_2810 = and(_T_2790, _T_2809) @[lsu_bus_buffer.scala 420:112] - node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2812 = and(_T_2811, buf_state_en[0]) @[lsu_bus_buffer.scala 420:93] - node _T_2813 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2814 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2815 = or(_T_2813, _T_2814) @[lsu_bus_buffer.scala 421:32] - node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2819 = and(_T_2817, _T_2818) @[lsu_bus_buffer.scala 422:41] - node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:82] - node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 422:71] - node _T_2822 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:101] - node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 422:90] - node _T_2824 = or(_T_2816, _T_2823) @[lsu_bus_buffer.scala 421:59] - node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2826 = and(_T_2825, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:63] - node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 423:52] - node _T_2829 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 423:71] - node _T_2831 = or(_T_2824, _T_2830) @[lsu_bus_buffer.scala 422:110] - node _T_2832 = and(_T_2812, _T_2831) @[lsu_bus_buffer.scala 420:112] - node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2834 = and(_T_2833, buf_state_en[0]) @[lsu_bus_buffer.scala 420:93] - node _T_2835 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2836 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2837 = or(_T_2835, _T_2836) @[lsu_bus_buffer.scala 421:32] - node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2841 = and(_T_2839, _T_2840) @[lsu_bus_buffer.scala 422:41] - node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:82] - node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 422:71] - node _T_2844 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:101] - node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 422:90] - node _T_2846 = or(_T_2838, _T_2845) @[lsu_bus_buffer.scala 421:59] - node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2848 = and(_T_2847, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:63] - node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 423:52] - node _T_2851 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 423:71] - node _T_2853 = or(_T_2846, _T_2852) @[lsu_bus_buffer.scala 422:110] - node _T_2854 = and(_T_2834, _T_2853) @[lsu_bus_buffer.scala 420:112] + buf_rsp_pickage[0] <= _T_2721 @[lsu_bus_buffer.scala 419:19] + buf_rsp_pickage[1] <= _T_2736 @[lsu_bus_buffer.scala 419:19] + buf_rsp_pickage[2] <= _T_2751 @[lsu_bus_buffer.scala 419:19] + buf_rsp_pickage[3] <= _T_2766 @[lsu_bus_buffer.scala 419:19] + node _T_2767 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2768 = and(_T_2767, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] + node _T_2769 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2770 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2771 = or(_T_2769, _T_2770) @[lsu_bus_buffer.scala 422:32] + node _T_2772 = eq(_T_2771, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2773 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2774 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2775 = and(_T_2773, _T_2774) @[lsu_bus_buffer.scala 423:41] + node _T_2776 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 423:71] + node _T_2778 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] + node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 423:90] + node _T_2780 = or(_T_2772, _T_2779) @[lsu_bus_buffer.scala 422:59] + node _T_2781 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2782 = and(_T_2781, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2783 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] + node _T_2784 = and(_T_2782, _T_2783) @[lsu_bus_buffer.scala 424:52] + node _T_2785 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 424:71] + node _T_2787 = or(_T_2780, _T_2786) @[lsu_bus_buffer.scala 423:110] + node _T_2788 = and(_T_2768, _T_2787) @[lsu_bus_buffer.scala 421:112] + node _T_2789 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2790 = and(_T_2789, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] + node _T_2791 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2792 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2793 = or(_T_2791, _T_2792) @[lsu_bus_buffer.scala 422:32] + node _T_2794 = eq(_T_2793, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2795 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2796 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2797 = and(_T_2795, _T_2796) @[lsu_bus_buffer.scala 423:41] + node _T_2798 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 423:71] + node _T_2800 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] + node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 423:90] + node _T_2802 = or(_T_2794, _T_2801) @[lsu_bus_buffer.scala 422:59] + node _T_2803 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2804 = and(_T_2803, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2805 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 424:52] + node _T_2807 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 424:71] + node _T_2809 = or(_T_2802, _T_2808) @[lsu_bus_buffer.scala 423:110] + node _T_2810 = and(_T_2790, _T_2809) @[lsu_bus_buffer.scala 421:112] + node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2812 = and(_T_2811, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] + node _T_2813 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2814 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2815 = or(_T_2813, _T_2814) @[lsu_bus_buffer.scala 422:32] + node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2819 = and(_T_2817, _T_2818) @[lsu_bus_buffer.scala 423:41] + node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 423:71] + node _T_2822 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] + node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 423:90] + node _T_2824 = or(_T_2816, _T_2823) @[lsu_bus_buffer.scala 422:59] + node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2826 = and(_T_2825, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 424:52] + node _T_2829 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 424:71] + node _T_2831 = or(_T_2824, _T_2830) @[lsu_bus_buffer.scala 423:110] + node _T_2832 = and(_T_2812, _T_2831) @[lsu_bus_buffer.scala 421:112] + node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2834 = and(_T_2833, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] + node _T_2835 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2836 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2837 = or(_T_2835, _T_2836) @[lsu_bus_buffer.scala 422:32] + node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2841 = and(_T_2839, _T_2840) @[lsu_bus_buffer.scala 423:41] + node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 423:71] + node _T_2844 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] + node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 423:90] + node _T_2846 = or(_T_2838, _T_2845) @[lsu_bus_buffer.scala 422:59] + node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2848 = and(_T_2847, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 424:52] + node _T_2851 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 424:71] + node _T_2853 = or(_T_2846, _T_2852) @[lsu_bus_buffer.scala 423:110] + node _T_2854 = and(_T_2834, _T_2853) @[lsu_bus_buffer.scala 421:112] node _T_2855 = cat(_T_2854, _T_2832) @[Cat.scala 29:58] node _T_2856 = cat(_T_2855, _T_2810) @[Cat.scala 29:58] node _T_2857 = cat(_T_2856, _T_2788) @[Cat.scala 29:58] - node _T_2858 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2859 = and(_T_2858, buf_state_en[1]) @[lsu_bus_buffer.scala 420:93] - node _T_2860 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2861 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2862 = or(_T_2860, _T_2861) @[lsu_bus_buffer.scala 421:32] - node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2866 = and(_T_2864, _T_2865) @[lsu_bus_buffer.scala 422:41] - node _T_2867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:82] - node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 422:71] - node _T_2869 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:101] - node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 422:90] - node _T_2871 = or(_T_2863, _T_2870) @[lsu_bus_buffer.scala 421:59] - node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2873 = and(_T_2872, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2874 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:63] - node _T_2875 = and(_T_2873, _T_2874) @[lsu_bus_buffer.scala 423:52] - node _T_2876 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2877 = and(_T_2875, _T_2876) @[lsu_bus_buffer.scala 423:71] - node _T_2878 = or(_T_2871, _T_2877) @[lsu_bus_buffer.scala 422:110] - node _T_2879 = and(_T_2859, _T_2878) @[lsu_bus_buffer.scala 420:112] - node _T_2880 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2881 = and(_T_2880, buf_state_en[1]) @[lsu_bus_buffer.scala 420:93] - node _T_2882 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2883 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2884 = or(_T_2882, _T_2883) @[lsu_bus_buffer.scala 421:32] - node _T_2885 = eq(_T_2884, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2886 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2887 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2888 = and(_T_2886, _T_2887) @[lsu_bus_buffer.scala 422:41] - node _T_2889 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:82] - node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 422:71] - node _T_2891 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:101] - node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 422:90] - node _T_2893 = or(_T_2885, _T_2892) @[lsu_bus_buffer.scala 421:59] - node _T_2894 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2895 = and(_T_2894, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2896 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:63] - node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 423:52] - node _T_2898 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 423:71] - node _T_2900 = or(_T_2893, _T_2899) @[lsu_bus_buffer.scala 422:110] - node _T_2901 = and(_T_2881, _T_2900) @[lsu_bus_buffer.scala 420:112] - node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2903 = and(_T_2902, buf_state_en[1]) @[lsu_bus_buffer.scala 420:93] - node _T_2904 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2905 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2906 = or(_T_2904, _T_2905) @[lsu_bus_buffer.scala 421:32] - node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2910 = and(_T_2908, _T_2909) @[lsu_bus_buffer.scala 422:41] - node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:82] - node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 422:71] - node _T_2913 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:101] - node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 422:90] - node _T_2915 = or(_T_2907, _T_2914) @[lsu_bus_buffer.scala 421:59] - node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2917 = and(_T_2916, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:63] - node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 423:52] - node _T_2920 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 423:71] - node _T_2922 = or(_T_2915, _T_2921) @[lsu_bus_buffer.scala 422:110] - node _T_2923 = and(_T_2903, _T_2922) @[lsu_bus_buffer.scala 420:112] - node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2925 = and(_T_2924, buf_state_en[1]) @[lsu_bus_buffer.scala 420:93] - node _T_2926 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2927 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2928 = or(_T_2926, _T_2927) @[lsu_bus_buffer.scala 421:32] - node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2932 = and(_T_2930, _T_2931) @[lsu_bus_buffer.scala 422:41] - node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:82] - node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 422:71] - node _T_2935 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:101] - node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 422:90] - node _T_2937 = or(_T_2929, _T_2936) @[lsu_bus_buffer.scala 421:59] - node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2939 = and(_T_2938, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:63] - node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 423:52] - node _T_2942 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 423:71] - node _T_2944 = or(_T_2937, _T_2943) @[lsu_bus_buffer.scala 422:110] - node _T_2945 = and(_T_2925, _T_2944) @[lsu_bus_buffer.scala 420:112] + node _T_2858 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2859 = and(_T_2858, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] + node _T_2860 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2861 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2862 = or(_T_2860, _T_2861) @[lsu_bus_buffer.scala 422:32] + node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2866 = and(_T_2864, _T_2865) @[lsu_bus_buffer.scala 423:41] + node _T_2867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] + node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 423:71] + node _T_2869 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 423:90] + node _T_2871 = or(_T_2863, _T_2870) @[lsu_bus_buffer.scala 422:59] + node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2873 = and(_T_2872, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2874 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] + node _T_2875 = and(_T_2873, _T_2874) @[lsu_bus_buffer.scala 424:52] + node _T_2876 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] + node _T_2877 = and(_T_2875, _T_2876) @[lsu_bus_buffer.scala 424:71] + node _T_2878 = or(_T_2871, _T_2877) @[lsu_bus_buffer.scala 423:110] + node _T_2879 = and(_T_2859, _T_2878) @[lsu_bus_buffer.scala 421:112] + node _T_2880 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2881 = and(_T_2880, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] + node _T_2882 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2883 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2884 = or(_T_2882, _T_2883) @[lsu_bus_buffer.scala 422:32] + node _T_2885 = eq(_T_2884, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2886 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2887 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2888 = and(_T_2886, _T_2887) @[lsu_bus_buffer.scala 423:41] + node _T_2889 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] + node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 423:71] + node _T_2891 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] + node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 423:90] + node _T_2893 = or(_T_2885, _T_2892) @[lsu_bus_buffer.scala 422:59] + node _T_2894 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2895 = and(_T_2894, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2896 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 424:52] + node _T_2898 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 424:71] + node _T_2900 = or(_T_2893, _T_2899) @[lsu_bus_buffer.scala 423:110] + node _T_2901 = and(_T_2881, _T_2900) @[lsu_bus_buffer.scala 421:112] + node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2903 = and(_T_2902, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] + node _T_2904 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2905 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2906 = or(_T_2904, _T_2905) @[lsu_bus_buffer.scala 422:32] + node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2910 = and(_T_2908, _T_2909) @[lsu_bus_buffer.scala 423:41] + node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] + node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 423:71] + node _T_2913 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] + node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 423:90] + node _T_2915 = or(_T_2907, _T_2914) @[lsu_bus_buffer.scala 422:59] + node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2917 = and(_T_2916, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 424:52] + node _T_2920 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 424:71] + node _T_2922 = or(_T_2915, _T_2921) @[lsu_bus_buffer.scala 423:110] + node _T_2923 = and(_T_2903, _T_2922) @[lsu_bus_buffer.scala 421:112] + node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2925 = and(_T_2924, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] + node _T_2926 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2927 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2928 = or(_T_2926, _T_2927) @[lsu_bus_buffer.scala 422:32] + node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2932 = and(_T_2930, _T_2931) @[lsu_bus_buffer.scala 423:41] + node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] + node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 423:71] + node _T_2935 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] + node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 423:90] + node _T_2937 = or(_T_2929, _T_2936) @[lsu_bus_buffer.scala 422:59] + node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2939 = and(_T_2938, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 424:52] + node _T_2942 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 424:71] + node _T_2944 = or(_T_2937, _T_2943) @[lsu_bus_buffer.scala 423:110] + node _T_2945 = and(_T_2925, _T_2944) @[lsu_bus_buffer.scala 421:112] node _T_2946 = cat(_T_2945, _T_2923) @[Cat.scala 29:58] node _T_2947 = cat(_T_2946, _T_2901) @[Cat.scala 29:58] node _T_2948 = cat(_T_2947, _T_2879) @[Cat.scala 29:58] - node _T_2949 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2950 = and(_T_2949, buf_state_en[2]) @[lsu_bus_buffer.scala 420:93] - node _T_2951 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2952 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2953 = or(_T_2951, _T_2952) @[lsu_bus_buffer.scala 421:32] - node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2957 = and(_T_2955, _T_2956) @[lsu_bus_buffer.scala 422:41] - node _T_2958 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:82] - node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 422:71] - node _T_2960 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:101] - node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 422:90] - node _T_2962 = or(_T_2954, _T_2961) @[lsu_bus_buffer.scala 421:59] - node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2964 = and(_T_2963, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2965 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:63] - node _T_2966 = and(_T_2964, _T_2965) @[lsu_bus_buffer.scala 423:52] - node _T_2967 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2968 = and(_T_2966, _T_2967) @[lsu_bus_buffer.scala 423:71] - node _T_2969 = or(_T_2962, _T_2968) @[lsu_bus_buffer.scala 422:110] - node _T_2970 = and(_T_2950, _T_2969) @[lsu_bus_buffer.scala 420:112] - node _T_2971 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2972 = and(_T_2971, buf_state_en[2]) @[lsu_bus_buffer.scala 420:93] - node _T_2973 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2974 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2975 = or(_T_2973, _T_2974) @[lsu_bus_buffer.scala 421:32] - node _T_2976 = eq(_T_2975, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2977 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_2978 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_2979 = and(_T_2977, _T_2978) @[lsu_bus_buffer.scala 422:41] - node _T_2980 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:82] - node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 422:71] - node _T_2982 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:101] - node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 422:90] - node _T_2984 = or(_T_2976, _T_2983) @[lsu_bus_buffer.scala 421:59] - node _T_2985 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_2986 = and(_T_2985, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_2987 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:63] - node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 423:52] - node _T_2989 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 423:71] - node _T_2991 = or(_T_2984, _T_2990) @[lsu_bus_buffer.scala 422:110] - node _T_2992 = and(_T_2972, _T_2991) @[lsu_bus_buffer.scala 420:112] - node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2994 = and(_T_2993, buf_state_en[2]) @[lsu_bus_buffer.scala 420:93] - node _T_2995 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_2996 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_2997 = or(_T_2995, _T_2996) @[lsu_bus_buffer.scala 421:32] - node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_3001 = and(_T_2999, _T_3000) @[lsu_bus_buffer.scala 422:41] - node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:82] - node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 422:71] - node _T_3004 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:101] - node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 422:90] - node _T_3006 = or(_T_2998, _T_3005) @[lsu_bus_buffer.scala 421:59] - node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_3008 = and(_T_3007, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:63] - node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 423:52] - node _T_3011 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 423:71] - node _T_3013 = or(_T_3006, _T_3012) @[lsu_bus_buffer.scala 422:110] - node _T_3014 = and(_T_2994, _T_3013) @[lsu_bus_buffer.scala 420:112] - node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_3016 = and(_T_3015, buf_state_en[2]) @[lsu_bus_buffer.scala 420:93] - node _T_3017 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_3018 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_3019 = or(_T_3017, _T_3018) @[lsu_bus_buffer.scala 421:32] - node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_3023 = and(_T_3021, _T_3022) @[lsu_bus_buffer.scala 422:41] - node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:82] - node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 422:71] - node _T_3026 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:101] - node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 422:90] - node _T_3028 = or(_T_3020, _T_3027) @[lsu_bus_buffer.scala 421:59] - node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_3030 = and(_T_3029, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:63] - node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 423:52] - node _T_3033 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 423:71] - node _T_3035 = or(_T_3028, _T_3034) @[lsu_bus_buffer.scala 422:110] - node _T_3036 = and(_T_3016, _T_3035) @[lsu_bus_buffer.scala 420:112] + node _T_2949 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2950 = and(_T_2949, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] + node _T_2951 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2952 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2953 = or(_T_2951, _T_2952) @[lsu_bus_buffer.scala 422:32] + node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2957 = and(_T_2955, _T_2956) @[lsu_bus_buffer.scala 423:41] + node _T_2958 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] + node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 423:71] + node _T_2960 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 423:90] + node _T_2962 = or(_T_2954, _T_2961) @[lsu_bus_buffer.scala 422:59] + node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2964 = and(_T_2963, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2965 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] + node _T_2966 = and(_T_2964, _T_2965) @[lsu_bus_buffer.scala 424:52] + node _T_2967 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] + node _T_2968 = and(_T_2966, _T_2967) @[lsu_bus_buffer.scala 424:71] + node _T_2969 = or(_T_2962, _T_2968) @[lsu_bus_buffer.scala 423:110] + node _T_2970 = and(_T_2950, _T_2969) @[lsu_bus_buffer.scala 421:112] + node _T_2971 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2972 = and(_T_2971, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] + node _T_2973 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2974 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2975 = or(_T_2973, _T_2974) @[lsu_bus_buffer.scala 422:32] + node _T_2976 = eq(_T_2975, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2977 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_2978 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_2979 = and(_T_2977, _T_2978) @[lsu_bus_buffer.scala 423:41] + node _T_2980 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] + node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 423:71] + node _T_2982 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] + node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 423:90] + node _T_2984 = or(_T_2976, _T_2983) @[lsu_bus_buffer.scala 422:59] + node _T_2985 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_2986 = and(_T_2985, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_2987 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 424:52] + node _T_2989 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 424:71] + node _T_2991 = or(_T_2984, _T_2990) @[lsu_bus_buffer.scala 423:110] + node _T_2992 = and(_T_2972, _T_2991) @[lsu_bus_buffer.scala 421:112] + node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2994 = and(_T_2993, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] + node _T_2995 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_2996 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_2997 = or(_T_2995, _T_2996) @[lsu_bus_buffer.scala 422:32] + node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_3001 = and(_T_2999, _T_3000) @[lsu_bus_buffer.scala 423:41] + node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] + node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 423:71] + node _T_3004 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] + node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 423:90] + node _T_3006 = or(_T_2998, _T_3005) @[lsu_bus_buffer.scala 422:59] + node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_3008 = and(_T_3007, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 424:52] + node _T_3011 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 424:71] + node _T_3013 = or(_T_3006, _T_3012) @[lsu_bus_buffer.scala 423:110] + node _T_3014 = and(_T_2994, _T_3013) @[lsu_bus_buffer.scala 421:112] + node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_3016 = and(_T_3015, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] + node _T_3017 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_3018 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_3019 = or(_T_3017, _T_3018) @[lsu_bus_buffer.scala 422:32] + node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_3023 = and(_T_3021, _T_3022) @[lsu_bus_buffer.scala 423:41] + node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] + node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 423:71] + node _T_3026 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] + node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 423:90] + node _T_3028 = or(_T_3020, _T_3027) @[lsu_bus_buffer.scala 422:59] + node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_3030 = and(_T_3029, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 424:52] + node _T_3033 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 424:71] + node _T_3035 = or(_T_3028, _T_3034) @[lsu_bus_buffer.scala 423:110] + node _T_3036 = and(_T_3016, _T_3035) @[lsu_bus_buffer.scala 421:112] node _T_3037 = cat(_T_3036, _T_3014) @[Cat.scala 29:58] node _T_3038 = cat(_T_3037, _T_2992) @[Cat.scala 29:58] node _T_3039 = cat(_T_3038, _T_2970) @[Cat.scala 29:58] - node _T_3040 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_3041 = and(_T_3040, buf_state_en[3]) @[lsu_bus_buffer.scala 420:93] - node _T_3042 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_3043 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_3044 = or(_T_3042, _T_3043) @[lsu_bus_buffer.scala 421:32] - node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_3048 = and(_T_3046, _T_3047) @[lsu_bus_buffer.scala 422:41] - node _T_3049 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:82] - node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 422:71] - node _T_3051 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:101] - node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 422:90] - node _T_3053 = or(_T_3045, _T_3052) @[lsu_bus_buffer.scala 421:59] - node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_3055 = and(_T_3054, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_3056 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:63] - node _T_3057 = and(_T_3055, _T_3056) @[lsu_bus_buffer.scala 423:52] - node _T_3058 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_3059 = and(_T_3057, _T_3058) @[lsu_bus_buffer.scala 423:71] - node _T_3060 = or(_T_3053, _T_3059) @[lsu_bus_buffer.scala 422:110] - node _T_3061 = and(_T_3041, _T_3060) @[lsu_bus_buffer.scala 420:112] - node _T_3062 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_3063 = and(_T_3062, buf_state_en[3]) @[lsu_bus_buffer.scala 420:93] - node _T_3064 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_3065 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_3066 = or(_T_3064, _T_3065) @[lsu_bus_buffer.scala 421:32] - node _T_3067 = eq(_T_3066, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_3068 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_3069 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_3070 = and(_T_3068, _T_3069) @[lsu_bus_buffer.scala 422:41] - node _T_3071 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:82] - node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 422:71] - node _T_3073 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:101] - node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 422:90] - node _T_3075 = or(_T_3067, _T_3074) @[lsu_bus_buffer.scala 421:59] - node _T_3076 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_3077 = and(_T_3076, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_3078 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:63] - node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 423:52] - node _T_3080 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 423:71] - node _T_3082 = or(_T_3075, _T_3081) @[lsu_bus_buffer.scala 422:110] - node _T_3083 = and(_T_3063, _T_3082) @[lsu_bus_buffer.scala 420:112] - node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_3085 = and(_T_3084, buf_state_en[3]) @[lsu_bus_buffer.scala 420:93] - node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_3087 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_3088 = or(_T_3086, _T_3087) @[lsu_bus_buffer.scala 421:32] - node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_3092 = and(_T_3090, _T_3091) @[lsu_bus_buffer.scala 422:41] - node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:82] - node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 422:71] - node _T_3095 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:101] - node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 422:90] - node _T_3097 = or(_T_3089, _T_3096) @[lsu_bus_buffer.scala 421:59] - node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_3099 = and(_T_3098, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:63] - node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 423:52] - node _T_3102 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 423:71] - node _T_3104 = or(_T_3097, _T_3103) @[lsu_bus_buffer.scala 422:110] - node _T_3105 = and(_T_3085, _T_3104) @[lsu_bus_buffer.scala 420:112] - node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_3107 = and(_T_3106, buf_state_en[3]) @[lsu_bus_buffer.scala 420:93] - node _T_3108 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:21] - node _T_3109 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:47] - node _T_3110 = or(_T_3108, _T_3109) @[lsu_bus_buffer.scala 421:32] - node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:6] - node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:23] - node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:53] - node _T_3114 = and(_T_3112, _T_3113) @[lsu_bus_buffer.scala 422:41] - node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:82] - node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 422:71] - node _T_3117 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:101] - node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 422:90] - node _T_3119 = or(_T_3111, _T_3118) @[lsu_bus_buffer.scala 421:59] - node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:17] - node _T_3121 = and(_T_3120, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:35] - node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:63] - node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 423:52] - node _T_3124 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 423:71] - node _T_3126 = or(_T_3119, _T_3125) @[lsu_bus_buffer.scala 422:110] - node _T_3127 = and(_T_3107, _T_3126) @[lsu_bus_buffer.scala 420:112] + node _T_3040 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_3041 = and(_T_3040, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] + node _T_3042 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_3043 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_3044 = or(_T_3042, _T_3043) @[lsu_bus_buffer.scala 422:32] + node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_3048 = and(_T_3046, _T_3047) @[lsu_bus_buffer.scala 423:41] + node _T_3049 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] + node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 423:71] + node _T_3051 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 423:90] + node _T_3053 = or(_T_3045, _T_3052) @[lsu_bus_buffer.scala 422:59] + node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_3055 = and(_T_3054, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_3056 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] + node _T_3057 = and(_T_3055, _T_3056) @[lsu_bus_buffer.scala 424:52] + node _T_3058 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] + node _T_3059 = and(_T_3057, _T_3058) @[lsu_bus_buffer.scala 424:71] + node _T_3060 = or(_T_3053, _T_3059) @[lsu_bus_buffer.scala 423:110] + node _T_3061 = and(_T_3041, _T_3060) @[lsu_bus_buffer.scala 421:112] + node _T_3062 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_3063 = and(_T_3062, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] + node _T_3064 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_3065 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_3066 = or(_T_3064, _T_3065) @[lsu_bus_buffer.scala 422:32] + node _T_3067 = eq(_T_3066, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_3068 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_3069 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_3070 = and(_T_3068, _T_3069) @[lsu_bus_buffer.scala 423:41] + node _T_3071 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] + node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 423:71] + node _T_3073 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] + node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 423:90] + node _T_3075 = or(_T_3067, _T_3074) @[lsu_bus_buffer.scala 422:59] + node _T_3076 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_3077 = and(_T_3076, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_3078 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 424:52] + node _T_3080 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 424:71] + node _T_3082 = or(_T_3075, _T_3081) @[lsu_bus_buffer.scala 423:110] + node _T_3083 = and(_T_3063, _T_3082) @[lsu_bus_buffer.scala 421:112] + node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_3085 = and(_T_3084, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] + node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_3087 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_3088 = or(_T_3086, _T_3087) @[lsu_bus_buffer.scala 422:32] + node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_3092 = and(_T_3090, _T_3091) @[lsu_bus_buffer.scala 423:41] + node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] + node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 423:71] + node _T_3095 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] + node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 423:90] + node _T_3097 = or(_T_3089, _T_3096) @[lsu_bus_buffer.scala 422:59] + node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_3099 = and(_T_3098, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 424:52] + node _T_3102 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 424:71] + node _T_3104 = or(_T_3097, _T_3103) @[lsu_bus_buffer.scala 423:110] + node _T_3105 = and(_T_3085, _T_3104) @[lsu_bus_buffer.scala 421:112] + node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_3107 = and(_T_3106, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] + node _T_3108 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] + node _T_3109 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] + node _T_3110 = or(_T_3108, _T_3109) @[lsu_bus_buffer.scala 422:32] + node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] + node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] + node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] + node _T_3114 = and(_T_3112, _T_3113) @[lsu_bus_buffer.scala 423:41] + node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] + node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 423:71] + node _T_3117 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] + node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 423:90] + node _T_3119 = or(_T_3111, _T_3118) @[lsu_bus_buffer.scala 422:59] + node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] + node _T_3121 = and(_T_3120, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] + node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 424:52] + node _T_3124 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 424:71] + node _T_3126 = or(_T_3119, _T_3125) @[lsu_bus_buffer.scala 423:110] + node _T_3127 = and(_T_3107, _T_3126) @[lsu_bus_buffer.scala 421:112] node _T_3128 = cat(_T_3127, _T_3105) @[Cat.scala 29:58] node _T_3129 = cat(_T_3128, _T_3083) @[Cat.scala 29:58] node _T_3130 = cat(_T_3129, _T_3061) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2857 @[lsu_bus_buffer.scala 420:18] - buf_rspage_set[1] <= _T_2948 @[lsu_bus_buffer.scala 420:18] - buf_rspage_set[2] <= _T_3039 @[lsu_bus_buffer.scala 420:18] - buf_rspage_set[3] <= _T_3130 @[lsu_bus_buffer.scala 420:18] - node _T_3131 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 424:84] - node _T_3132 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 424:103] - node _T_3133 = or(_T_3131, _T_3132) @[lsu_bus_buffer.scala 424:88] - node _T_3134 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 424:84] - node _T_3135 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 424:103] - node _T_3136 = or(_T_3134, _T_3135) @[lsu_bus_buffer.scala 424:88] - node _T_3137 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 424:84] - node _T_3138 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 424:103] - node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 424:88] - node _T_3140 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 424:84] - node _T_3141 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 424:103] - node _T_3142 = or(_T_3140, _T_3141) @[lsu_bus_buffer.scala 424:88] + buf_rspage_set[0] <= _T_2857 @[lsu_bus_buffer.scala 421:18] + buf_rspage_set[1] <= _T_2948 @[lsu_bus_buffer.scala 421:18] + buf_rspage_set[2] <= _T_3039 @[lsu_bus_buffer.scala 421:18] + buf_rspage_set[3] <= _T_3130 @[lsu_bus_buffer.scala 421:18] + node _T_3131 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 425:84] + node _T_3132 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 425:103] + node _T_3133 = or(_T_3131, _T_3132) @[lsu_bus_buffer.scala 425:88] + node _T_3134 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 425:84] + node _T_3135 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 425:103] + node _T_3136 = or(_T_3134, _T_3135) @[lsu_bus_buffer.scala 425:88] + node _T_3137 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 425:84] + node _T_3138 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 425:103] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 425:88] + node _T_3140 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 425:84] + node _T_3141 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 425:103] + node _T_3142 = or(_T_3140, _T_3141) @[lsu_bus_buffer.scala 425:88] node _T_3143 = cat(_T_3142, _T_3139) @[Cat.scala 29:58] node _T_3144 = cat(_T_3143, _T_3136) @[Cat.scala 29:58] node _T_3145 = cat(_T_3144, _T_3133) @[Cat.scala 29:58] - node _T_3146 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 424:84] - node _T_3147 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 424:103] - node _T_3148 = or(_T_3146, _T_3147) @[lsu_bus_buffer.scala 424:88] - node _T_3149 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 424:84] - node _T_3150 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 424:103] - node _T_3151 = or(_T_3149, _T_3150) @[lsu_bus_buffer.scala 424:88] - node _T_3152 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 424:84] - node _T_3153 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 424:103] - node _T_3154 = or(_T_3152, _T_3153) @[lsu_bus_buffer.scala 424:88] - node _T_3155 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 424:84] - node _T_3156 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 424:103] - node _T_3157 = or(_T_3155, _T_3156) @[lsu_bus_buffer.scala 424:88] + node _T_3146 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 425:84] + node _T_3147 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 425:103] + node _T_3148 = or(_T_3146, _T_3147) @[lsu_bus_buffer.scala 425:88] + node _T_3149 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 425:84] + node _T_3150 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 425:103] + node _T_3151 = or(_T_3149, _T_3150) @[lsu_bus_buffer.scala 425:88] + node _T_3152 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 425:84] + node _T_3153 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 425:103] + node _T_3154 = or(_T_3152, _T_3153) @[lsu_bus_buffer.scala 425:88] + node _T_3155 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 425:84] + node _T_3156 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 425:103] + node _T_3157 = or(_T_3155, _T_3156) @[lsu_bus_buffer.scala 425:88] node _T_3158 = cat(_T_3157, _T_3154) @[Cat.scala 29:58] node _T_3159 = cat(_T_3158, _T_3151) @[Cat.scala 29:58] node _T_3160 = cat(_T_3159, _T_3148) @[Cat.scala 29:58] - node _T_3161 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 424:84] - node _T_3162 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 424:103] - node _T_3163 = or(_T_3161, _T_3162) @[lsu_bus_buffer.scala 424:88] - node _T_3164 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 424:84] - node _T_3165 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 424:103] - node _T_3166 = or(_T_3164, _T_3165) @[lsu_bus_buffer.scala 424:88] - node _T_3167 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 424:84] - node _T_3168 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 424:103] - node _T_3169 = or(_T_3167, _T_3168) @[lsu_bus_buffer.scala 424:88] - node _T_3170 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 424:84] - node _T_3171 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 424:103] - node _T_3172 = or(_T_3170, _T_3171) @[lsu_bus_buffer.scala 424:88] + node _T_3161 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 425:84] + node _T_3162 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 425:103] + node _T_3163 = or(_T_3161, _T_3162) @[lsu_bus_buffer.scala 425:88] + node _T_3164 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 425:84] + node _T_3165 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 425:103] + node _T_3166 = or(_T_3164, _T_3165) @[lsu_bus_buffer.scala 425:88] + node _T_3167 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 425:84] + node _T_3168 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 425:103] + node _T_3169 = or(_T_3167, _T_3168) @[lsu_bus_buffer.scala 425:88] + node _T_3170 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 425:84] + node _T_3171 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 425:103] + node _T_3172 = or(_T_3170, _T_3171) @[lsu_bus_buffer.scala 425:88] node _T_3173 = cat(_T_3172, _T_3169) @[Cat.scala 29:58] node _T_3174 = cat(_T_3173, _T_3166) @[Cat.scala 29:58] node _T_3175 = cat(_T_3174, _T_3163) @[Cat.scala 29:58] - node _T_3176 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 424:84] - node _T_3177 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 424:103] - node _T_3178 = or(_T_3176, _T_3177) @[lsu_bus_buffer.scala 424:88] - node _T_3179 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 424:84] - node _T_3180 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 424:103] - node _T_3181 = or(_T_3179, _T_3180) @[lsu_bus_buffer.scala 424:88] - node _T_3182 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 424:84] - node _T_3183 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 424:103] - node _T_3184 = or(_T_3182, _T_3183) @[lsu_bus_buffer.scala 424:88] - node _T_3185 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 424:84] - node _T_3186 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 424:103] - node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 424:88] + node _T_3176 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 425:84] + node _T_3177 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 425:103] + node _T_3178 = or(_T_3176, _T_3177) @[lsu_bus_buffer.scala 425:88] + node _T_3179 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 425:84] + node _T_3180 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 425:103] + node _T_3181 = or(_T_3179, _T_3180) @[lsu_bus_buffer.scala 425:88] + node _T_3182 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 425:84] + node _T_3183 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 425:103] + node _T_3184 = or(_T_3182, _T_3183) @[lsu_bus_buffer.scala 425:88] + node _T_3185 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 425:84] + node _T_3186 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 425:103] + node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 425:88] node _T_3188 = cat(_T_3187, _T_3184) @[Cat.scala 29:58] node _T_3189 = cat(_T_3188, _T_3181) @[Cat.scala 29:58] node _T_3190 = cat(_T_3189, _T_3178) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3145 @[lsu_bus_buffer.scala 424:17] - buf_rspage_in[1] <= _T_3160 @[lsu_bus_buffer.scala 424:17] - buf_rspage_in[2] <= _T_3175 @[lsu_bus_buffer.scala 424:17] - buf_rspage_in[3] <= _T_3190 @[lsu_bus_buffer.scala 424:17] - node _T_3191 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 425:78] - node _T_3192 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3193 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3194 = or(_T_3192, _T_3193) @[lsu_bus_buffer.scala 425:110] - node _T_3195 = eq(_T_3194, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3196 = and(_T_3191, _T_3195) @[lsu_bus_buffer.scala 425:82] - node _T_3197 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3198 = and(_T_3196, _T_3197) @[lsu_bus_buffer.scala 425:145] - node _T_3199 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 425:78] - node _T_3200 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3201 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3202 = or(_T_3200, _T_3201) @[lsu_bus_buffer.scala 425:110] - node _T_3203 = eq(_T_3202, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3204 = and(_T_3199, _T_3203) @[lsu_bus_buffer.scala 425:82] - node _T_3205 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3206 = and(_T_3204, _T_3205) @[lsu_bus_buffer.scala 425:145] - node _T_3207 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 425:78] - node _T_3208 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3209 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 425:110] - node _T_3211 = eq(_T_3210, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3212 = and(_T_3207, _T_3211) @[lsu_bus_buffer.scala 425:82] - node _T_3213 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3214 = and(_T_3212, _T_3213) @[lsu_bus_buffer.scala 425:145] - node _T_3215 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 425:78] - node _T_3216 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3217 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3218 = or(_T_3216, _T_3217) @[lsu_bus_buffer.scala 425:110] - node _T_3219 = eq(_T_3218, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3220 = and(_T_3215, _T_3219) @[lsu_bus_buffer.scala 425:82] - node _T_3221 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3222 = and(_T_3220, _T_3221) @[lsu_bus_buffer.scala 425:145] + buf_rspage_in[0] <= _T_3145 @[lsu_bus_buffer.scala 425:17] + buf_rspage_in[1] <= _T_3160 @[lsu_bus_buffer.scala 425:17] + buf_rspage_in[2] <= _T_3175 @[lsu_bus_buffer.scala 425:17] + buf_rspage_in[3] <= _T_3190 @[lsu_bus_buffer.scala 425:17] + node _T_3191 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 426:78] + node _T_3192 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3193 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3194 = or(_T_3192, _T_3193) @[lsu_bus_buffer.scala 426:110] + node _T_3195 = eq(_T_3194, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3196 = and(_T_3191, _T_3195) @[lsu_bus_buffer.scala 426:82] + node _T_3197 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3198 = and(_T_3196, _T_3197) @[lsu_bus_buffer.scala 426:145] + node _T_3199 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 426:78] + node _T_3200 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3201 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3202 = or(_T_3200, _T_3201) @[lsu_bus_buffer.scala 426:110] + node _T_3203 = eq(_T_3202, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3204 = and(_T_3199, _T_3203) @[lsu_bus_buffer.scala 426:82] + node _T_3205 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3206 = and(_T_3204, _T_3205) @[lsu_bus_buffer.scala 426:145] + node _T_3207 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 426:78] + node _T_3208 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3209 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 426:110] + node _T_3211 = eq(_T_3210, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3212 = and(_T_3207, _T_3211) @[lsu_bus_buffer.scala 426:82] + node _T_3213 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3214 = and(_T_3212, _T_3213) @[lsu_bus_buffer.scala 426:145] + node _T_3215 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 426:78] + node _T_3216 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3217 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3218 = or(_T_3216, _T_3217) @[lsu_bus_buffer.scala 426:110] + node _T_3219 = eq(_T_3218, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3220 = and(_T_3215, _T_3219) @[lsu_bus_buffer.scala 426:82] + node _T_3221 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3222 = and(_T_3220, _T_3221) @[lsu_bus_buffer.scala 426:145] node _T_3223 = cat(_T_3222, _T_3214) @[Cat.scala 29:58] node _T_3224 = cat(_T_3223, _T_3206) @[Cat.scala 29:58] node _T_3225 = cat(_T_3224, _T_3198) @[Cat.scala 29:58] - node _T_3226 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 425:78] - node _T_3227 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3228 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 425:110] - node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 425:82] - node _T_3232 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3233 = and(_T_3231, _T_3232) @[lsu_bus_buffer.scala 425:145] - node _T_3234 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 425:78] - node _T_3235 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3236 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3237 = or(_T_3235, _T_3236) @[lsu_bus_buffer.scala 425:110] - node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3239 = and(_T_3234, _T_3238) @[lsu_bus_buffer.scala 425:82] - node _T_3240 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3241 = and(_T_3239, _T_3240) @[lsu_bus_buffer.scala 425:145] - node _T_3242 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 425:78] - node _T_3243 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3244 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3245 = or(_T_3243, _T_3244) @[lsu_bus_buffer.scala 425:110] - node _T_3246 = eq(_T_3245, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3247 = and(_T_3242, _T_3246) @[lsu_bus_buffer.scala 425:82] - node _T_3248 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3249 = and(_T_3247, _T_3248) @[lsu_bus_buffer.scala 425:145] - node _T_3250 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 425:78] - node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3253 = or(_T_3251, _T_3252) @[lsu_bus_buffer.scala 425:110] - node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3255 = and(_T_3250, _T_3254) @[lsu_bus_buffer.scala 425:82] - node _T_3256 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3257 = and(_T_3255, _T_3256) @[lsu_bus_buffer.scala 425:145] + node _T_3226 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 426:78] + node _T_3227 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3228 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 426:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 426:82] + node _T_3232 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3233 = and(_T_3231, _T_3232) @[lsu_bus_buffer.scala 426:145] + node _T_3234 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 426:78] + node _T_3235 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3236 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3237 = or(_T_3235, _T_3236) @[lsu_bus_buffer.scala 426:110] + node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3239 = and(_T_3234, _T_3238) @[lsu_bus_buffer.scala 426:82] + node _T_3240 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3241 = and(_T_3239, _T_3240) @[lsu_bus_buffer.scala 426:145] + node _T_3242 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 426:78] + node _T_3243 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3244 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3245 = or(_T_3243, _T_3244) @[lsu_bus_buffer.scala 426:110] + node _T_3246 = eq(_T_3245, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3247 = and(_T_3242, _T_3246) @[lsu_bus_buffer.scala 426:82] + node _T_3248 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3249 = and(_T_3247, _T_3248) @[lsu_bus_buffer.scala 426:145] + node _T_3250 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 426:78] + node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3253 = or(_T_3251, _T_3252) @[lsu_bus_buffer.scala 426:110] + node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3255 = and(_T_3250, _T_3254) @[lsu_bus_buffer.scala 426:82] + node _T_3256 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3257 = and(_T_3255, _T_3256) @[lsu_bus_buffer.scala 426:145] node _T_3258 = cat(_T_3257, _T_3249) @[Cat.scala 29:58] node _T_3259 = cat(_T_3258, _T_3241) @[Cat.scala 29:58] node _T_3260 = cat(_T_3259, _T_3233) @[Cat.scala 29:58] - node _T_3261 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 425:78] - node _T_3262 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3263 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3264 = or(_T_3262, _T_3263) @[lsu_bus_buffer.scala 425:110] - node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3266 = and(_T_3261, _T_3265) @[lsu_bus_buffer.scala 425:82] - node _T_3267 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3268 = and(_T_3266, _T_3267) @[lsu_bus_buffer.scala 425:145] - node _T_3269 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 425:78] - node _T_3270 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3271 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3272 = or(_T_3270, _T_3271) @[lsu_bus_buffer.scala 425:110] - node _T_3273 = eq(_T_3272, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3274 = and(_T_3269, _T_3273) @[lsu_bus_buffer.scala 425:82] - node _T_3275 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3276 = and(_T_3274, _T_3275) @[lsu_bus_buffer.scala 425:145] - node _T_3277 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 425:78] - node _T_3278 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3279 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3280 = or(_T_3278, _T_3279) @[lsu_bus_buffer.scala 425:110] - node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3282 = and(_T_3277, _T_3281) @[lsu_bus_buffer.scala 425:82] - node _T_3283 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3284 = and(_T_3282, _T_3283) @[lsu_bus_buffer.scala 425:145] - node _T_3285 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 425:78] - node _T_3286 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3287 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3288 = or(_T_3286, _T_3287) @[lsu_bus_buffer.scala 425:110] - node _T_3289 = eq(_T_3288, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3290 = and(_T_3285, _T_3289) @[lsu_bus_buffer.scala 425:82] - node _T_3291 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3292 = and(_T_3290, _T_3291) @[lsu_bus_buffer.scala 425:145] + node _T_3261 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 426:78] + node _T_3262 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3263 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3264 = or(_T_3262, _T_3263) @[lsu_bus_buffer.scala 426:110] + node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3266 = and(_T_3261, _T_3265) @[lsu_bus_buffer.scala 426:82] + node _T_3267 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3268 = and(_T_3266, _T_3267) @[lsu_bus_buffer.scala 426:145] + node _T_3269 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 426:78] + node _T_3270 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3271 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3272 = or(_T_3270, _T_3271) @[lsu_bus_buffer.scala 426:110] + node _T_3273 = eq(_T_3272, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3274 = and(_T_3269, _T_3273) @[lsu_bus_buffer.scala 426:82] + node _T_3275 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3276 = and(_T_3274, _T_3275) @[lsu_bus_buffer.scala 426:145] + node _T_3277 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 426:78] + node _T_3278 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3279 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3280 = or(_T_3278, _T_3279) @[lsu_bus_buffer.scala 426:110] + node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3282 = and(_T_3277, _T_3281) @[lsu_bus_buffer.scala 426:82] + node _T_3283 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3284 = and(_T_3282, _T_3283) @[lsu_bus_buffer.scala 426:145] + node _T_3285 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 426:78] + node _T_3286 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3287 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3288 = or(_T_3286, _T_3287) @[lsu_bus_buffer.scala 426:110] + node _T_3289 = eq(_T_3288, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3290 = and(_T_3285, _T_3289) @[lsu_bus_buffer.scala 426:82] + node _T_3291 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3292 = and(_T_3290, _T_3291) @[lsu_bus_buffer.scala 426:145] node _T_3293 = cat(_T_3292, _T_3284) @[Cat.scala 29:58] node _T_3294 = cat(_T_3293, _T_3276) @[Cat.scala 29:58] node _T_3295 = cat(_T_3294, _T_3268) @[Cat.scala 29:58] - node _T_3296 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 425:78] - node _T_3297 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3298 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3299 = or(_T_3297, _T_3298) @[lsu_bus_buffer.scala 425:110] - node _T_3300 = eq(_T_3299, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3301 = and(_T_3296, _T_3300) @[lsu_bus_buffer.scala 425:82] - node _T_3302 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3303 = and(_T_3301, _T_3302) @[lsu_bus_buffer.scala 425:145] - node _T_3304 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 425:78] - node _T_3305 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3306 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3307 = or(_T_3305, _T_3306) @[lsu_bus_buffer.scala 425:110] - node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3309 = and(_T_3304, _T_3308) @[lsu_bus_buffer.scala 425:82] - node _T_3310 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3311 = and(_T_3309, _T_3310) @[lsu_bus_buffer.scala 425:145] - node _T_3312 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 425:78] - node _T_3313 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3315 = or(_T_3313, _T_3314) @[lsu_bus_buffer.scala 425:110] - node _T_3316 = eq(_T_3315, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3317 = and(_T_3312, _T_3316) @[lsu_bus_buffer.scala 425:82] - node _T_3318 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3319 = and(_T_3317, _T_3318) @[lsu_bus_buffer.scala 425:145] - node _T_3320 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 425:78] - node _T_3321 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 425:99] - node _T_3322 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 425:125] - node _T_3323 = or(_T_3321, _T_3322) @[lsu_bus_buffer.scala 425:110] - node _T_3324 = eq(_T_3323, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:84] - node _T_3325 = and(_T_3320, _T_3324) @[lsu_bus_buffer.scala 425:82] - node _T_3326 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:147] - node _T_3327 = and(_T_3325, _T_3326) @[lsu_bus_buffer.scala 425:145] + node _T_3296 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 426:78] + node _T_3297 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3298 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3299 = or(_T_3297, _T_3298) @[lsu_bus_buffer.scala 426:110] + node _T_3300 = eq(_T_3299, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3301 = and(_T_3296, _T_3300) @[lsu_bus_buffer.scala 426:82] + node _T_3302 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3303 = and(_T_3301, _T_3302) @[lsu_bus_buffer.scala 426:145] + node _T_3304 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 426:78] + node _T_3305 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3306 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3307 = or(_T_3305, _T_3306) @[lsu_bus_buffer.scala 426:110] + node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3309 = and(_T_3304, _T_3308) @[lsu_bus_buffer.scala 426:82] + node _T_3310 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3311 = and(_T_3309, _T_3310) @[lsu_bus_buffer.scala 426:145] + node _T_3312 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 426:78] + node _T_3313 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3315 = or(_T_3313, _T_3314) @[lsu_bus_buffer.scala 426:110] + node _T_3316 = eq(_T_3315, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3317 = and(_T_3312, _T_3316) @[lsu_bus_buffer.scala 426:82] + node _T_3318 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3319 = and(_T_3317, _T_3318) @[lsu_bus_buffer.scala 426:145] + node _T_3320 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 426:78] + node _T_3321 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] + node _T_3322 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] + node _T_3323 = or(_T_3321, _T_3322) @[lsu_bus_buffer.scala 426:110] + node _T_3324 = eq(_T_3323, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] + node _T_3325 = and(_T_3320, _T_3324) @[lsu_bus_buffer.scala 426:82] + node _T_3326 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] + node _T_3327 = and(_T_3325, _T_3326) @[lsu_bus_buffer.scala 426:145] node _T_3328 = cat(_T_3327, _T_3319) @[Cat.scala 29:58] node _T_3329 = cat(_T_3328, _T_3311) @[Cat.scala 29:58] node _T_3330 = cat(_T_3329, _T_3303) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3225 @[lsu_bus_buffer.scala 425:14] - buf_rspage[1] <= _T_3260 @[lsu_bus_buffer.scala 425:14] - buf_rspage[2] <= _T_3295 @[lsu_bus_buffer.scala 425:14] - buf_rspage[3] <= _T_3330 @[lsu_bus_buffer.scala 425:14] - node _T_3331 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 430:75] - node _T_3332 = and(ibuf_drain_vld, _T_3331) @[lsu_bus_buffer.scala 430:63] - node _T_3333 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 430:75] - node _T_3334 = and(ibuf_drain_vld, _T_3333) @[lsu_bus_buffer.scala 430:63] - node _T_3335 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 430:75] - node _T_3336 = and(ibuf_drain_vld, _T_3335) @[lsu_bus_buffer.scala 430:63] - node _T_3337 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 430:75] - node _T_3338 = and(ibuf_drain_vld, _T_3337) @[lsu_bus_buffer.scala 430:63] + buf_rspage[0] <= _T_3225 @[lsu_bus_buffer.scala 426:14] + buf_rspage[1] <= _T_3260 @[lsu_bus_buffer.scala 426:14] + buf_rspage[2] <= _T_3295 @[lsu_bus_buffer.scala 426:14] + buf_rspage[3] <= _T_3330 @[lsu_bus_buffer.scala 426:14] + node _T_3331 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 431:75] + node _T_3332 = and(ibuf_drain_vld, _T_3331) @[lsu_bus_buffer.scala 431:63] + node _T_3333 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 431:75] + node _T_3334 = and(ibuf_drain_vld, _T_3333) @[lsu_bus_buffer.scala 431:63] + node _T_3335 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 431:75] + node _T_3336 = and(ibuf_drain_vld, _T_3335) @[lsu_bus_buffer.scala 431:63] + node _T_3337 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 431:75] + node _T_3338 = and(ibuf_drain_vld, _T_3337) @[lsu_bus_buffer.scala 431:63] node _T_3339 = cat(_T_3338, _T_3336) @[Cat.scala 29:58] node _T_3340 = cat(_T_3339, _T_3334) @[Cat.scala 29:58] node _T_3341 = cat(_T_3340, _T_3332) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3341 @[lsu_bus_buffer.scala 430:21] - node _T_3342 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:64] - node _T_3343 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 431:84] - node _T_3344 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:18] - node _T_3345 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 432:46] - node _T_3346 = and(_T_3344, _T_3345) @[lsu_bus_buffer.scala 432:35] - node _T_3347 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 432:71] - node _T_3348 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 432:94] - node _T_3349 = mux(_T_3346, _T_3347, _T_3348) @[lsu_bus_buffer.scala 432:8] - node _T_3350 = mux(_T_3342, _T_3343, _T_3349) @[lsu_bus_buffer.scala 431:46] - node _T_3351 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:64] - node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 431:84] - node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:18] - node _T_3354 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 432:46] - node _T_3355 = and(_T_3353, _T_3354) @[lsu_bus_buffer.scala 432:35] - node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 432:71] - node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 432:94] - node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[lsu_bus_buffer.scala 432:8] - node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[lsu_bus_buffer.scala 431:46] - node _T_3360 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:64] - node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 431:84] - node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:18] - node _T_3363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 432:46] - node _T_3364 = and(_T_3362, _T_3363) @[lsu_bus_buffer.scala 432:35] - node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 432:71] - node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 432:94] - node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[lsu_bus_buffer.scala 432:8] - node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[lsu_bus_buffer.scala 431:46] - node _T_3369 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:64] - node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 431:84] - node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:18] - node _T_3372 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 432:46] - node _T_3373 = and(_T_3371, _T_3372) @[lsu_bus_buffer.scala 432:35] - node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 432:71] - node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 432:94] - node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[lsu_bus_buffer.scala 432:8] - node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[lsu_bus_buffer.scala 431:46] - buf_byteen_in[0] <= _T_3350 @[lsu_bus_buffer.scala 431:17] - buf_byteen_in[1] <= _T_3359 @[lsu_bus_buffer.scala 431:17] - buf_byteen_in[2] <= _T_3368 @[lsu_bus_buffer.scala 431:17] - buf_byteen_in[3] <= _T_3377 @[lsu_bus_buffer.scala 431:17] - node _T_3378 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:62] - node _T_3379 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:91] - node _T_3380 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:119] - node _T_3381 = and(_T_3379, _T_3380) @[lsu_bus_buffer.scala 433:108] - node _T_3382 = mux(_T_3381, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 433:81] - node _T_3383 = mux(_T_3378, ibuf_addr, _T_3382) @[lsu_bus_buffer.scala 433:44] - node _T_3384 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:62] - node _T_3385 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:91] - node _T_3386 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:119] - node _T_3387 = and(_T_3385, _T_3386) @[lsu_bus_buffer.scala 433:108] - node _T_3388 = mux(_T_3387, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 433:81] - node _T_3389 = mux(_T_3384, ibuf_addr, _T_3388) @[lsu_bus_buffer.scala 433:44] - node _T_3390 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:62] - node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:91] - node _T_3392 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:119] - node _T_3393 = and(_T_3391, _T_3392) @[lsu_bus_buffer.scala 433:108] - node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 433:81] - node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[lsu_bus_buffer.scala 433:44] - node _T_3396 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:62] - node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:91] - node _T_3398 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:119] - node _T_3399 = and(_T_3397, _T_3398) @[lsu_bus_buffer.scala 433:108] - node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 433:81] - node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[lsu_bus_buffer.scala 433:44] - buf_addr_in[0] <= _T_3383 @[lsu_bus_buffer.scala 433:15] - buf_addr_in[1] <= _T_3389 @[lsu_bus_buffer.scala 433:15] - buf_addr_in[2] <= _T_3395 @[lsu_bus_buffer.scala 433:15] - buf_addr_in[3] <= _T_3401 @[lsu_bus_buffer.scala 433:15] - node _T_3402 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:63] - node _T_3403 = mux(_T_3402, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:45] - node _T_3404 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:63] - node _T_3405 = mux(_T_3404, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:45] - node _T_3406 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:63] - node _T_3407 = mux(_T_3406, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:45] - node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:63] - node _T_3409 = mux(_T_3408, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:45] + ibuf_drainvec_vld <= _T_3341 @[lsu_bus_buffer.scala 431:21] + node _T_3342 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:64] + node _T_3343 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 432:84] + node _T_3344 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:18] + node _T_3345 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:46] + node _T_3346 = and(_T_3344, _T_3345) @[lsu_bus_buffer.scala 433:35] + node _T_3347 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 433:71] + node _T_3348 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 433:94] + node _T_3349 = mux(_T_3346, _T_3347, _T_3348) @[lsu_bus_buffer.scala 433:8] + node _T_3350 = mux(_T_3342, _T_3343, _T_3349) @[lsu_bus_buffer.scala 432:46] + node _T_3351 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:64] + node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 432:84] + node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:18] + node _T_3354 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:46] + node _T_3355 = and(_T_3353, _T_3354) @[lsu_bus_buffer.scala 433:35] + node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 433:71] + node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 433:94] + node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[lsu_bus_buffer.scala 433:8] + node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[lsu_bus_buffer.scala 432:46] + node _T_3360 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:64] + node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 432:84] + node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:18] + node _T_3363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:46] + node _T_3364 = and(_T_3362, _T_3363) @[lsu_bus_buffer.scala 433:35] + node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 433:71] + node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 433:94] + node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[lsu_bus_buffer.scala 433:8] + node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[lsu_bus_buffer.scala 432:46] + node _T_3369 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:64] + node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 432:84] + node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:18] + node _T_3372 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:46] + node _T_3373 = and(_T_3371, _T_3372) @[lsu_bus_buffer.scala 433:35] + node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 433:71] + node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 433:94] + node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[lsu_bus_buffer.scala 433:8] + node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[lsu_bus_buffer.scala 432:46] + buf_byteen_in[0] <= _T_3350 @[lsu_bus_buffer.scala 432:17] + buf_byteen_in[1] <= _T_3359 @[lsu_bus_buffer.scala 432:17] + buf_byteen_in[2] <= _T_3368 @[lsu_bus_buffer.scala 432:17] + buf_byteen_in[3] <= _T_3377 @[lsu_bus_buffer.scala 432:17] + node _T_3378 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:62] + node _T_3379 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:91] + node _T_3380 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 434:119] + node _T_3381 = and(_T_3379, _T_3380) @[lsu_bus_buffer.scala 434:108] + node _T_3382 = mux(_T_3381, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 434:81] + node _T_3383 = mux(_T_3378, ibuf_addr, _T_3382) @[lsu_bus_buffer.scala 434:44] + node _T_3384 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:62] + node _T_3385 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:91] + node _T_3386 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 434:119] + node _T_3387 = and(_T_3385, _T_3386) @[lsu_bus_buffer.scala 434:108] + node _T_3388 = mux(_T_3387, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 434:81] + node _T_3389 = mux(_T_3384, ibuf_addr, _T_3388) @[lsu_bus_buffer.scala 434:44] + node _T_3390 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:62] + node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:91] + node _T_3392 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 434:119] + node _T_3393 = and(_T_3391, _T_3392) @[lsu_bus_buffer.scala 434:108] + node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 434:81] + node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[lsu_bus_buffer.scala 434:44] + node _T_3396 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:62] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:91] + node _T_3398 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 434:119] + node _T_3399 = and(_T_3397, _T_3398) @[lsu_bus_buffer.scala 434:108] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 434:81] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[lsu_bus_buffer.scala 434:44] + buf_addr_in[0] <= _T_3383 @[lsu_bus_buffer.scala 434:15] + buf_addr_in[1] <= _T_3389 @[lsu_bus_buffer.scala 434:15] + buf_addr_in[2] <= _T_3395 @[lsu_bus_buffer.scala 434:15] + buf_addr_in[3] <= _T_3401 @[lsu_bus_buffer.scala 434:15] + node _T_3402 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:63] + node _T_3403 = mux(_T_3402, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:45] + node _T_3404 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:63] + node _T_3405 = mux(_T_3404, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:45] + node _T_3406 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:63] + node _T_3407 = mux(_T_3406, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:45] + node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:63] + node _T_3409 = mux(_T_3408, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:45] node _T_3410 = cat(_T_3409, _T_3407) @[Cat.scala 29:58] node _T_3411 = cat(_T_3410, _T_3405) @[Cat.scala 29:58] node _T_3412 = cat(_T_3411, _T_3403) @[Cat.scala 29:58] - buf_dual_in <= _T_3412 @[lsu_bus_buffer.scala 434:15] - node _T_3413 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] - node _T_3414 = mux(_T_3413, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 435:47] - node _T_3415 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] - node _T_3416 = mux(_T_3415, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 435:47] - node _T_3417 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] - node _T_3418 = mux(_T_3417, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 435:47] - node _T_3419 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] - node _T_3420 = mux(_T_3419, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 435:47] + buf_dual_in <= _T_3412 @[lsu_bus_buffer.scala 435:15] + node _T_3413 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] + node _T_3414 = mux(_T_3413, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 436:47] + node _T_3415 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] + node _T_3416 = mux(_T_3415, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 436:47] + node _T_3417 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] + node _T_3418 = mux(_T_3417, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 436:47] + node _T_3419 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] + node _T_3420 = mux(_T_3419, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 436:47] node _T_3421 = cat(_T_3420, _T_3418) @[Cat.scala 29:58] node _T_3422 = cat(_T_3421, _T_3416) @[Cat.scala 29:58] node _T_3423 = cat(_T_3422, _T_3414) @[Cat.scala 29:58] - buf_samedw_in <= _T_3423 @[lsu_bus_buffer.scala 435:17] - node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:66] - node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 436:84] - node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 436:48] - node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:66] - node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 436:84] - node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 436:48] - node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:66] - node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 436:84] - node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 436:48] - node _T_3433 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:66] - node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 436:84] - node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[lsu_bus_buffer.scala 436:48] + buf_samedw_in <= _T_3423 @[lsu_bus_buffer.scala 436:17] + node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 437:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 437:48] + node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 437:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 437:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 437:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 437:48] + node _T_3433 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:66] + node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 437:84] + node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[lsu_bus_buffer.scala 437:48] node _T_3436 = cat(_T_3435, _T_3432) @[Cat.scala 29:58] node _T_3437 = cat(_T_3436, _T_3429) @[Cat.scala 29:58] node _T_3438 = cat(_T_3437, _T_3426) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3438 @[lsu_bus_buffer.scala 436:18] - node _T_3439 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:65] - node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 437:90] - node _T_3441 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 437:118] - node _T_3442 = and(_T_3440, _T_3441) @[lsu_bus_buffer.scala 437:107] - node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[lsu_bus_buffer.scala 437:47] - node _T_3444 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:65] - node _T_3445 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 437:90] - node _T_3446 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 437:118] - node _T_3447 = and(_T_3445, _T_3446) @[lsu_bus_buffer.scala 437:107] - node _T_3448 = mux(_T_3444, ibuf_dual, _T_3447) @[lsu_bus_buffer.scala 437:47] - node _T_3449 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:65] - node _T_3450 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 437:90] - node _T_3451 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 437:118] - node _T_3452 = and(_T_3450, _T_3451) @[lsu_bus_buffer.scala 437:107] - node _T_3453 = mux(_T_3449, ibuf_dual, _T_3452) @[lsu_bus_buffer.scala 437:47] - node _T_3454 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:65] - node _T_3455 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 437:90] - node _T_3456 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 437:118] - node _T_3457 = and(_T_3455, _T_3456) @[lsu_bus_buffer.scala 437:107] - node _T_3458 = mux(_T_3454, ibuf_dual, _T_3457) @[lsu_bus_buffer.scala 437:47] + buf_nomerge_in <= _T_3438 @[lsu_bus_buffer.scala 437:18] + node _T_3439 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:65] + node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:90] + node _T_3441 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 438:118] + node _T_3442 = and(_T_3440, _T_3441) @[lsu_bus_buffer.scala 438:107] + node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[lsu_bus_buffer.scala 438:47] + node _T_3444 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:65] + node _T_3445 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:90] + node _T_3446 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 438:118] + node _T_3447 = and(_T_3445, _T_3446) @[lsu_bus_buffer.scala 438:107] + node _T_3448 = mux(_T_3444, ibuf_dual, _T_3447) @[lsu_bus_buffer.scala 438:47] + node _T_3449 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:65] + node _T_3450 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:90] + node _T_3451 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 438:118] + node _T_3452 = and(_T_3450, _T_3451) @[lsu_bus_buffer.scala 438:107] + node _T_3453 = mux(_T_3449, ibuf_dual, _T_3452) @[lsu_bus_buffer.scala 438:47] + node _T_3454 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:65] + node _T_3455 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:90] + node _T_3456 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 438:118] + node _T_3457 = and(_T_3455, _T_3456) @[lsu_bus_buffer.scala 438:107] + node _T_3458 = mux(_T_3454, ibuf_dual, _T_3457) @[lsu_bus_buffer.scala 438:47] node _T_3459 = cat(_T_3458, _T_3453) @[Cat.scala 29:58] node _T_3460 = cat(_T_3459, _T_3448) @[Cat.scala 29:58] node _T_3461 = cat(_T_3460, _T_3443) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3461 @[lsu_bus_buffer.scala 437:17] - node _T_3462 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:65] - node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:97] - node _T_3464 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 438:125] - node _T_3465 = and(_T_3463, _T_3464) @[lsu_bus_buffer.scala 438:114] - node _T_3466 = mux(_T_3465, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 438:87] - node _T_3467 = mux(_T_3462, ibuf_dualtag, _T_3466) @[lsu_bus_buffer.scala 438:47] - node _T_3468 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:65] - node _T_3469 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:97] - node _T_3470 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 438:125] - node _T_3471 = and(_T_3469, _T_3470) @[lsu_bus_buffer.scala 438:114] - node _T_3472 = mux(_T_3471, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 438:87] - node _T_3473 = mux(_T_3468, ibuf_dualtag, _T_3472) @[lsu_bus_buffer.scala 438:47] - node _T_3474 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:65] - node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:97] - node _T_3476 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 438:125] - node _T_3477 = and(_T_3475, _T_3476) @[lsu_bus_buffer.scala 438:114] - node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 438:87] - node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[lsu_bus_buffer.scala 438:47] - node _T_3480 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:65] - node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:97] - node _T_3482 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 438:125] - node _T_3483 = and(_T_3481, _T_3482) @[lsu_bus_buffer.scala 438:114] - node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 438:87] - node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[lsu_bus_buffer.scala 438:47] - buf_dualtag_in[0] <= _T_3467 @[lsu_bus_buffer.scala 438:18] - buf_dualtag_in[1] <= _T_3473 @[lsu_bus_buffer.scala 438:18] - buf_dualtag_in[2] <= _T_3479 @[lsu_bus_buffer.scala 438:18] - buf_dualtag_in[3] <= _T_3485 @[lsu_bus_buffer.scala 438:18] - node _T_3486 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:69] - node _T_3487 = mux(_T_3486, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 439:51] - node _T_3488 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:69] - node _T_3489 = mux(_T_3488, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 439:51] - node _T_3490 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:69] - node _T_3491 = mux(_T_3490, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 439:51] - node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:69] - node _T_3493 = mux(_T_3492, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 439:51] + buf_dualhi_in <= _T_3461 @[lsu_bus_buffer.scala 438:17] + node _T_3462 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:65] + node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 439:97] + node _T_3464 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 439:125] + node _T_3465 = and(_T_3463, _T_3464) @[lsu_bus_buffer.scala 439:114] + node _T_3466 = mux(_T_3465, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 439:87] + node _T_3467 = mux(_T_3462, ibuf_dualtag, _T_3466) @[lsu_bus_buffer.scala 439:47] + node _T_3468 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:65] + node _T_3469 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 439:97] + node _T_3470 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 439:125] + node _T_3471 = and(_T_3469, _T_3470) @[lsu_bus_buffer.scala 439:114] + node _T_3472 = mux(_T_3471, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 439:87] + node _T_3473 = mux(_T_3468, ibuf_dualtag, _T_3472) @[lsu_bus_buffer.scala 439:47] + node _T_3474 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:65] + node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 439:97] + node _T_3476 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 439:125] + node _T_3477 = and(_T_3475, _T_3476) @[lsu_bus_buffer.scala 439:114] + node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 439:87] + node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[lsu_bus_buffer.scala 439:47] + node _T_3480 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:65] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 439:97] + node _T_3482 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 439:125] + node _T_3483 = and(_T_3481, _T_3482) @[lsu_bus_buffer.scala 439:114] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 439:87] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[lsu_bus_buffer.scala 439:47] + buf_dualtag_in[0] <= _T_3467 @[lsu_bus_buffer.scala 439:18] + buf_dualtag_in[1] <= _T_3473 @[lsu_bus_buffer.scala 439:18] + buf_dualtag_in[2] <= _T_3479 @[lsu_bus_buffer.scala 439:18] + buf_dualtag_in[3] <= _T_3485 @[lsu_bus_buffer.scala 439:18] + node _T_3486 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 440:69] + node _T_3487 = mux(_T_3486, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 440:51] + node _T_3488 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 440:69] + node _T_3489 = mux(_T_3488, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 440:51] + node _T_3490 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 440:69] + node _T_3491 = mux(_T_3490, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 440:51] + node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 440:69] + node _T_3493 = mux(_T_3492, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 440:51] node _T_3494 = cat(_T_3493, _T_3491) @[Cat.scala 29:58] node _T_3495 = cat(_T_3494, _T_3489) @[Cat.scala 29:58] node _T_3496 = cat(_T_3495, _T_3487) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3496 @[lsu_bus_buffer.scala 439:21] - node _T_3497 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 440:65] - node _T_3498 = mux(_T_3497, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 440:47] - node _T_3499 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 440:65] - node _T_3500 = mux(_T_3499, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 440:47] - node _T_3501 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 440:65] - node _T_3502 = mux(_T_3501, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 440:47] - node _T_3503 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 440:65] - node _T_3504 = mux(_T_3503, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 440:47] + buf_sideeffect_in <= _T_3496 @[lsu_bus_buffer.scala 440:21] + node _T_3497 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 441:65] + node _T_3498 = mux(_T_3497, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 441:47] + node _T_3499 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 441:65] + node _T_3500 = mux(_T_3499, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 441:47] + node _T_3501 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 441:65] + node _T_3502 = mux(_T_3501, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 441:47] + node _T_3503 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 441:65] + node _T_3504 = mux(_T_3503, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 441:47] node _T_3505 = cat(_T_3504, _T_3502) @[Cat.scala 29:58] node _T_3506 = cat(_T_3505, _T_3500) @[Cat.scala 29:58] node _T_3507 = cat(_T_3506, _T_3498) @[Cat.scala 29:58] - buf_unsign_in <= _T_3507 @[lsu_bus_buffer.scala 440:17] - node _T_3508 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 441:60] + buf_unsign_in <= _T_3507 @[lsu_bus_buffer.scala 441:17] + node _T_3508 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 442:60] node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 441:42] - node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 441:60] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 442:42] + node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 442:60] node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 441:42] - node _T_3514 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 441:60] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 442:42] + node _T_3514 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 442:60] node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 441:42] - node _T_3517 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 441:60] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 442:42] + node _T_3517 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 442:60] node _T_3518 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[lsu_bus_buffer.scala 441:42] - buf_sz_in[0] <= _T_3510 @[lsu_bus_buffer.scala 441:13] - buf_sz_in[1] <= _T_3513 @[lsu_bus_buffer.scala 441:13] - buf_sz_in[2] <= _T_3516 @[lsu_bus_buffer.scala 441:13] - buf_sz_in[3] <= _T_3519 @[lsu_bus_buffer.scala 441:13] - node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 442:64] - node _T_3521 = mux(_T_3520, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 442:46] - node _T_3522 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 442:64] - node _T_3523 = mux(_T_3522, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 442:46] - node _T_3524 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 442:64] - node _T_3525 = mux(_T_3524, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 442:46] - node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 442:64] - node _T_3527 = mux(_T_3526, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 442:46] + node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[lsu_bus_buffer.scala 442:42] + buf_sz_in[0] <= _T_3510 @[lsu_bus_buffer.scala 442:13] + buf_sz_in[1] <= _T_3513 @[lsu_bus_buffer.scala 442:13] + buf_sz_in[2] <= _T_3516 @[lsu_bus_buffer.scala 442:13] + buf_sz_in[3] <= _T_3519 @[lsu_bus_buffer.scala 442:13] + node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 443:64] + node _T_3521 = mux(_T_3520, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 443:46] + node _T_3522 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 443:64] + node _T_3523 = mux(_T_3522, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 443:46] + node _T_3524 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 443:64] + node _T_3525 = mux(_T_3524, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 443:46] + node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 443:64] + node _T_3527 = mux(_T_3526, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 443:46] node _T_3528 = cat(_T_3527, _T_3525) @[Cat.scala 29:58] node _T_3529 = cat(_T_3528, _T_3523) @[Cat.scala 29:58] node _T_3530 = cat(_T_3529, _T_3521) @[Cat.scala 29:58] - buf_write_in <= _T_3530 @[lsu_bus_buffer.scala 442:16] + buf_write_in <= _T_3530 @[lsu_bus_buffer.scala 443:16] node _T_3531 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3531 : @[Conditional.scala 40:58] - node _T_3532 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 447:56] - node _T_3533 = mux(_T_3532, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 447:31] - buf_nxtstate[0] <= _T_3533 @[lsu_bus_buffer.scala 447:25] - node _T_3534 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 448:45] - node _T_3535 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 448:77] - node _T_3536 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 448:97] - node _T_3537 = and(_T_3535, _T_3536) @[lsu_bus_buffer.scala 448:95] - node _T_3538 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 448:117] - node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 448:112] - node _T_3540 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 448:144] - node _T_3541 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 448:166] - node _T_3542 = and(_T_3540, _T_3541) @[lsu_bus_buffer.scala 448:161] - node _T_3543 = or(_T_3539, _T_3542) @[lsu_bus_buffer.scala 448:132] - node _T_3544 = and(_T_3534, _T_3543) @[lsu_bus_buffer.scala 448:63] - node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 448:206] - node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 448:201] - node _T_3547 = or(_T_3544, _T_3546) @[lsu_bus_buffer.scala 448:183] - buf_state_en[0] <= _T_3547 @[lsu_bus_buffer.scala 448:25] - buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 449:22] - buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 450:24] - node _T_3548 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 451:52] - node _T_3549 = and(ibuf_drain_vld, _T_3548) @[lsu_bus_buffer.scala 451:47] - node _T_3550 = bits(_T_3549, 0, 0) @[lsu_bus_buffer.scala 451:73] - node _T_3551 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 451:90] - node _T_3552 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 451:114] - node _T_3553 = mux(_T_3550, _T_3551, _T_3552) @[lsu_bus_buffer.scala 451:30] - buf_data_in[0] <= _T_3553 @[lsu_bus_buffer.scala 451:24] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_3532 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 448:56] + node _T_3533 = mux(_T_3532, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 448:31] + buf_nxtstate[0] <= _T_3533 @[lsu_bus_buffer.scala 448:25] + node _T_3534 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 449:45] + node _T_3535 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:77] + node _T_3536 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 449:97] + node _T_3537 = and(_T_3535, _T_3536) @[lsu_bus_buffer.scala 449:95] + node _T_3538 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 449:117] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 449:112] + node _T_3540 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:144] + node _T_3541 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 449:166] + node _T_3542 = and(_T_3540, _T_3541) @[lsu_bus_buffer.scala 449:161] + node _T_3543 = or(_T_3539, _T_3542) @[lsu_bus_buffer.scala 449:132] + node _T_3544 = and(_T_3534, _T_3543) @[lsu_bus_buffer.scala 449:63] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 449:206] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 449:201] + node _T_3547 = or(_T_3544, _T_3546) @[lsu_bus_buffer.scala 449:183] + buf_state_en[0] <= _T_3547 @[lsu_bus_buffer.scala 449:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 450:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 451:24] + node _T_3548 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 452:52] + node _T_3549 = and(ibuf_drain_vld, _T_3548) @[lsu_bus_buffer.scala 452:47] + node _T_3550 = bits(_T_3549, 0, 0) @[lsu_bus_buffer.scala 452:73] + node _T_3551 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 452:90] + node _T_3552 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 452:114] + node _T_3553 = mux(_T_3550, _T_3551, _T_3552) @[lsu_bus_buffer.scala 452:30] + buf_data_in[0] <= _T_3553 @[lsu_bus_buffer.scala 452:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3554 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3554 : @[Conditional.scala 39:67] - node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 455:25] - node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:46] - buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 456:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 457:34] + node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 456:60] + node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 456:31] + buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 456:25] + node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:46] + buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 457:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 458:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3558 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3558 : @[Conditional.scala 39:67] - node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 460:60] - node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 460:89] - node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 460:124] - node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 460:104] - node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 460:75] - node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 460:31] - buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 460:25] - node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 461:48] - node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 461:104] - node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 461:91] - node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 461:77] - node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 461:135] - node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 461:148] - buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 461:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 462:29] - node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:49] - node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 463:70] - buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 463:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 464:25] - node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 465:56] - node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:46] - node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 465:44] - node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 465:60] - node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:76] - node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 465:74] - buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 465:25] - node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 466:46] - buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 466:28] - node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 467:47] - node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 467:67] - node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 467:81] - buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 467:24] - node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:48] - node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 468:68] - node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 468:82] - buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 468:25] - node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 469:61] - node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 469:85] - node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 469:103] - node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 469:126] - node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 469:73] - node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 469:30] - buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 469:24] + node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] + node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] + node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] + node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 461:104] + node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] + node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 461:31] + buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 461:25] + node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 462:48] + node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 462:104] + node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 462:91] + node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 462:77] + node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 462:135] + node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] + buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 462:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 463:29] + node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] + node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] + buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 464:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] + node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:56] + node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] + node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 466:44] + node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 466:60] + node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] + node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 466:74] + buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 466:25] + node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] + buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 467:28] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 468:67] + node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] + buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 468:24] + node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] + node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 469:68] + node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] + buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 469:25] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] + node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 470:85] + node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] + node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] + node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 470:73] + node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 470:30] + buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3592 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3592 : @[Conditional.scala 39:67] - node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:69] - node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:75] - node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 472:73] - node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 472:57] - node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 472:104] - node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 473:30] - node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 473:28] - node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 473:57] - node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:47] - node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 473:45] - node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 473:90] - node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 473:61] - node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 474:27] - node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 474:31] - node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:70] - node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 474:68] - node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 474:97] - node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:87] - node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 474:85] + node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 473:69] + node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:75] + node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 473:73] + node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 473:57] + node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 473:104] + node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:30] + node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 474:28] + node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 474:57] + node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:47] + node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 474:45] + node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:90] + node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 474:61] + node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 475:27] + node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 475:31] + node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:70] + node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 475:68] + node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 475:97] + node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:87] + node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 475:85] node _T_3612 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3613 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3614 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -4582,269 +4582,269 @@ circuit lsu_bus_buffer : node _T_3626 = or(_T_3625, _T_3623) @[Mux.scala 27:72] wire _T_3627 : UInt<1> @[Mux.scala 27:72] _T_3627 <= _T_3626 @[Mux.scala 27:72] - node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 474:101] - node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:167] - node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 474:138] - node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 474:187] - node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 474:53] - node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 474:16] - node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 473:14] - node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 472:33] - buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 472:27] - node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 475:73] - node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 475:52] - node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 476:46] - node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 477:23] - node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 477:47] - node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 477:27] - node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 476:77] - node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 478:26] - node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 478:54] - node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 478:44] - node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 478:42] - node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 478:58] - node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 478:94] - node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 478:74] - node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 477:71] - node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 476:25] - node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 475:105] - buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 475:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 479:29] - node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:49] - node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 480:70] - buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 480:25] - node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 481:47] - node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:62] - buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 481:24] - node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:48] - node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 482:111] - node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 482:91] - node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 483:42] - node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 483:31] - node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 483:66] - node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 483:46] - node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 482:143] - node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 484:54] - node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 484:33] - node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 483:88] - node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 482:68] - buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 482:25] - node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 485:50] - node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 485:48] - node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 485:84] - node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 485:102] - node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:125] - node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 485:72] - node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:148] - node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 485:30] - buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 485:24] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 486:34] + node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 475:101] + node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:167] + node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 475:138] + node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 475:187] + node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 475:53] + node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 475:16] + node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 474:14] + node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 473:33] + buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 473:27] + node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 476:73] + node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 476:52] + node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:46] + node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 478:23] + node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 478:47] + node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 478:27] + node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 477:77] + node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 479:26] + node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 479:54] + node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 479:44] + node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 479:42] + node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 479:58] + node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 479:94] + node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 479:74] + node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 478:71] + node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 477:25] + node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 476:105] + buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 476:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 480:29] + node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:49] + node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 481:70] + buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 481:25] + node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] + node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] + buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 482:24] + node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:43] + node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 483:106] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 483:86] + node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 484:42] + node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 484:31] + node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 484:66] + node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 484:46] + node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 483:138] + node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 485:54] + node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 485:33] + node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 484:88] + node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 483:63] + buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 483:20] + node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] + node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 486:48] + node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 486:84] + node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 486:102] + node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:125] + node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 486:72] + node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:148] + node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 486:30] + buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 486:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 487:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3677 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3677 : @[Conditional.scala 39:67] - node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 489:60] - node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 489:86] - node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 489:101] - node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 489:101] - node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 489:90] - node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 489:118] - node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 489:75] - node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 489:31] - buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 489:25] - node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 490:66] - node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 491:21] - node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 491:21] - node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 491:58] - node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 491:38] - node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 490:95] - node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 490:45] - buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 490:29] - node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 492:49] - node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:70] - buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] + node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 490:86] + node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 490:101] + node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 490:101] + node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 490:90] + node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] + node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] + node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 490:31] + buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 490:25] + node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 491:66] + node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 492:21] + node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 492:21] + node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 492:58] + node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 492:38] + node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 491:95] + node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 491:45] + buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 491:29] + node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] + node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] + buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 493:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3695 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3695 : @[Conditional.scala 39:67] - node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] - node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] - buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 496:25] - node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 497:37] - node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 497:98] - node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 497:80] - node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 497:65] - node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] - buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 497:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 497:60] + node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 497:31] + buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 497:25] + node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 498:37] + node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 498:98] + node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 498:80] + node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 498:65] + node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 498:112] + buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 498:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3703 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3703 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 501:25] - buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 502:20] - buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 505:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 506:34] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 509:108] + node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3704 : @[Reg.scala 28:19] _T_3705 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 509:18] - reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 510:60] - _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 510:60] - buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 510:17] - reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:63] - _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 511:63] - buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 511:20] - node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:109] + buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 510:18] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 511:17] + reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 512:20] + node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_3709 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3708 : @[Reg.scala 28:19] _T_3709 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 512:20] - node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 513:74] - node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:107] + buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 513:20] + node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 514:74] + node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_3712 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3711 : @[Reg.scala 28:19] _T_3712 <= _T_3710 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 513:17] - node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 514:78] - node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:111] + buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 514:17] + node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 515:78] + node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_3715 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3714 : @[Reg.scala 28:19] _T_3715 <= _T_3713 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 514:19] - node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 515:80] - node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:113] + buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 515:19] + node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 516:80] + node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_3718 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3717 : @[Reg.scala 28:19] _T_3718 <= _T_3716 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 515:20] - node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 516:78] - node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:111] + buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 516:20] + node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 517:78] + node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_3721 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3720 : @[Reg.scala 28:19] _T_3721 <= _T_3719 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 516:19] + buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 517:19] node _T_3722 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3722 : @[Conditional.scala 40:58] - node _T_3723 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 447:56] - node _T_3724 = mux(_T_3723, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 447:31] - buf_nxtstate[1] <= _T_3724 @[lsu_bus_buffer.scala 447:25] - node _T_3725 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 448:45] - node _T_3726 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 448:77] - node _T_3727 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 448:97] - node _T_3728 = and(_T_3726, _T_3727) @[lsu_bus_buffer.scala 448:95] - node _T_3729 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 448:117] - node _T_3730 = and(_T_3728, _T_3729) @[lsu_bus_buffer.scala 448:112] - node _T_3731 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 448:144] - node _T_3732 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 448:166] - node _T_3733 = and(_T_3731, _T_3732) @[lsu_bus_buffer.scala 448:161] - node _T_3734 = or(_T_3730, _T_3733) @[lsu_bus_buffer.scala 448:132] - node _T_3735 = and(_T_3725, _T_3734) @[lsu_bus_buffer.scala 448:63] - node _T_3736 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 448:206] - node _T_3737 = and(ibuf_drain_vld, _T_3736) @[lsu_bus_buffer.scala 448:201] - node _T_3738 = or(_T_3735, _T_3737) @[lsu_bus_buffer.scala 448:183] - buf_state_en[1] <= _T_3738 @[lsu_bus_buffer.scala 448:25] - buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 449:22] - buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 450:24] - node _T_3739 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 451:52] - node _T_3740 = and(ibuf_drain_vld, _T_3739) @[lsu_bus_buffer.scala 451:47] - node _T_3741 = bits(_T_3740, 0, 0) @[lsu_bus_buffer.scala 451:73] - node _T_3742 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 451:90] - node _T_3743 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 451:114] - node _T_3744 = mux(_T_3741, _T_3742, _T_3743) @[lsu_bus_buffer.scala 451:30] - buf_data_in[1] <= _T_3744 @[lsu_bus_buffer.scala 451:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_3723 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 448:56] + node _T_3724 = mux(_T_3723, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 448:31] + buf_nxtstate[1] <= _T_3724 @[lsu_bus_buffer.scala 448:25] + node _T_3725 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 449:45] + node _T_3726 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:77] + node _T_3727 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 449:97] + node _T_3728 = and(_T_3726, _T_3727) @[lsu_bus_buffer.scala 449:95] + node _T_3729 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 449:117] + node _T_3730 = and(_T_3728, _T_3729) @[lsu_bus_buffer.scala 449:112] + node _T_3731 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:144] + node _T_3732 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 449:166] + node _T_3733 = and(_T_3731, _T_3732) @[lsu_bus_buffer.scala 449:161] + node _T_3734 = or(_T_3730, _T_3733) @[lsu_bus_buffer.scala 449:132] + node _T_3735 = and(_T_3725, _T_3734) @[lsu_bus_buffer.scala 449:63] + node _T_3736 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 449:206] + node _T_3737 = and(ibuf_drain_vld, _T_3736) @[lsu_bus_buffer.scala 449:201] + node _T_3738 = or(_T_3735, _T_3737) @[lsu_bus_buffer.scala 449:183] + buf_state_en[1] <= _T_3738 @[lsu_bus_buffer.scala 449:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 450:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 451:24] + node _T_3739 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 452:52] + node _T_3740 = and(ibuf_drain_vld, _T_3739) @[lsu_bus_buffer.scala 452:47] + node _T_3741 = bits(_T_3740, 0, 0) @[lsu_bus_buffer.scala 452:73] + node _T_3742 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 452:90] + node _T_3743 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 452:114] + node _T_3744 = mux(_T_3741, _T_3742, _T_3743) @[lsu_bus_buffer.scala 452:30] + buf_data_in[1] <= _T_3744 @[lsu_bus_buffer.scala 452:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3745 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3745 : @[Conditional.scala 39:67] - node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 455:25] - node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:46] - buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 456:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 457:34] + node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 456:60] + node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 456:31] + buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 456:25] + node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:46] + buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 457:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 458:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3749 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3749 : @[Conditional.scala 39:67] - node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 460:60] - node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 460:89] - node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 460:124] - node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 460:104] - node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 460:75] - node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 460:31] - buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 460:25] - node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 461:48] - node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 461:104] - node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 461:91] - node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 461:77] - node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 461:135] - node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 461:148] - buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 461:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 462:29] - node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:49] - node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 463:70] - buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 463:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 464:25] - node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 465:56] - node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:46] - node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 465:44] - node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 465:60] - node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:76] - node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 465:74] - buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 465:25] - node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 466:46] - buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 466:28] - node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 467:47] - node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 467:67] - node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 467:81] - buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 467:24] - node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:48] - node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 468:68] - node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 468:82] - buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 468:25] - node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 469:61] - node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 469:85] - node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 469:103] - node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 469:126] - node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 469:73] - node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 469:30] - buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 469:24] + node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] + node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] + node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] + node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 461:104] + node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] + node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 461:31] + buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 461:25] + node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 462:48] + node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 462:104] + node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 462:91] + node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 462:77] + node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 462:135] + node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] + buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 462:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 463:29] + node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] + node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] + buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 464:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] + node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:56] + node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] + node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 466:44] + node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 466:60] + node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] + node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 466:74] + buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 466:25] + node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] + buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 467:28] + node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] + node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 468:67] + node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] + buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 468:24] + node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] + node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 469:68] + node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] + buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 469:25] + node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] + node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 470:85] + node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] + node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] + node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 470:73] + node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 470:30] + buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3783 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3783 : @[Conditional.scala 39:67] - node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:69] - node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:75] - node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 472:73] - node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 472:57] - node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 472:104] - node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 473:30] - node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 473:28] - node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 473:57] - node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:47] - node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 473:45] - node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 473:90] - node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 473:61] - node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 474:27] - node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 474:31] - node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:70] - node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 474:68] - node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 474:97] - node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:87] - node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 474:85] + node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 473:69] + node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:75] + node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 473:73] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 473:57] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 473:104] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 474:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 474:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 474:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 474:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 475:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 475:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 475:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 475:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 475:85] node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -4862,269 +4862,269 @@ circuit lsu_bus_buffer : node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] wire _T_3818 : UInt<1> @[Mux.scala 27:72] _T_3818 <= _T_3817 @[Mux.scala 27:72] - node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 474:101] - node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:167] - node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 474:138] - node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 474:187] - node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 474:53] - node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 474:16] - node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 473:14] - node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 472:33] - buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 472:27] - node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 475:73] - node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 475:52] - node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 476:46] - node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 477:23] - node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 477:47] - node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 477:27] - node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 476:77] - node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 478:26] - node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 478:54] - node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 478:44] - node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 478:42] - node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 478:58] - node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 478:94] - node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 478:74] - node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 477:71] - node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 476:25] - node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 475:105] - buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 475:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 479:29] - node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:49] - node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 480:70] - buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 480:25] - node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 481:47] - node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:62] - buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 481:24] - node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:48] - node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 482:111] - node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 482:91] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 483:42] - node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 483:31] - node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 483:66] - node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 483:46] - node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 482:143] - node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 484:54] - node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 484:33] - node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 483:88] - node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 482:68] - buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 482:25] - node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 485:50] - node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 485:48] - node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 485:84] - node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 485:102] - node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:125] - node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 485:72] - node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:148] - node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 485:30] - buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 485:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 486:34] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 475:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 475:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 475:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 475:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 475:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 474:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 473:33] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 473:27] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 476:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 476:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 478:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 478:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 478:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 477:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 479:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 479:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 479:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 479:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 479:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 479:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 479:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 478:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 477:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 476:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 476:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 480:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 481:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 481:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 482:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:43] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 483:106] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 483:86] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 484:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 484:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 484:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 484:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 483:138] + node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 485:54] + node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 485:33] + node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 484:88] + node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 483:63] + buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 483:20] + node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] + node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 486:48] + node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 486:84] + node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 486:102] + node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:125] + node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 486:72] + node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:148] + node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 486:30] + buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 486:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 487:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3868 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3868 : @[Conditional.scala 39:67] - node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 489:60] - node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 489:86] - node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 489:101] - node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 489:101] - node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 489:90] - node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 489:118] - node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 489:75] - node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 489:31] - buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 489:25] - node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 490:66] - node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 491:21] - node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 491:21] - node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 491:58] - node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 491:38] - node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 490:95] - node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 490:45] - buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 490:29] - node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 492:49] - node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:70] - buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] + node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 490:86] + node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 490:101] + node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 490:101] + node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 490:90] + node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] + node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] + node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 490:31] + buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 490:25] + node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 491:66] + node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 492:21] + node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 492:21] + node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 492:58] + node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 492:38] + node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 491:95] + node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 491:45] + buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 491:29] + node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] + node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] + buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 493:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3886 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3886 : @[Conditional.scala 39:67] - node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] - node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] - buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 496:25] - node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 497:37] - node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 497:98] - node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 497:80] - node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 497:65] - node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] - buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 497:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 497:60] + node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 497:31] + buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 497:25] + node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 498:37] + node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 498:98] + node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 498:80] + node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 498:65] + node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 498:112] + buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 498:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3894 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3894 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 501:25] - buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 502:20] - buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 505:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 506:34] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 509:108] + node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_3896 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3895 : @[Reg.scala 28:19] _T_3896 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 509:18] - reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 510:60] - _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 510:60] - buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 510:17] - reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:63] - _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 511:63] - buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 511:20] - node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:109] + buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 510:18] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 511:17] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 512:20] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_3900 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3899 : @[Reg.scala 28:19] _T_3900 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 512:20] - node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 513:74] - node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:107] + buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 513:20] + node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 514:74] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3902 : @[Reg.scala 28:19] _T_3903 <= _T_3901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 513:17] - node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 514:78] - node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:111] + buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 514:17] + node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 515:78] + node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_3906 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3905 : @[Reg.scala 28:19] _T_3906 <= _T_3904 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 514:19] - node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 515:80] - node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:113] + buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 515:19] + node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 516:80] + node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_3909 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3908 : @[Reg.scala 28:19] _T_3909 <= _T_3907 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 515:20] - node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 516:78] - node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:111] + buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 516:20] + node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 517:78] + node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_3912 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3911 : @[Reg.scala 28:19] _T_3912 <= _T_3910 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 516:19] + buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 517:19] node _T_3913 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3913 : @[Conditional.scala 40:58] - node _T_3914 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 447:56] - node _T_3915 = mux(_T_3914, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 447:31] - buf_nxtstate[2] <= _T_3915 @[lsu_bus_buffer.scala 447:25] - node _T_3916 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 448:45] - node _T_3917 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 448:77] - node _T_3918 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 448:97] - node _T_3919 = and(_T_3917, _T_3918) @[lsu_bus_buffer.scala 448:95] - node _T_3920 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 448:117] - node _T_3921 = and(_T_3919, _T_3920) @[lsu_bus_buffer.scala 448:112] - node _T_3922 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 448:144] - node _T_3923 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 448:166] - node _T_3924 = and(_T_3922, _T_3923) @[lsu_bus_buffer.scala 448:161] - node _T_3925 = or(_T_3921, _T_3924) @[lsu_bus_buffer.scala 448:132] - node _T_3926 = and(_T_3916, _T_3925) @[lsu_bus_buffer.scala 448:63] - node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 448:206] - node _T_3928 = and(ibuf_drain_vld, _T_3927) @[lsu_bus_buffer.scala 448:201] - node _T_3929 = or(_T_3926, _T_3928) @[lsu_bus_buffer.scala 448:183] - buf_state_en[2] <= _T_3929 @[lsu_bus_buffer.scala 448:25] - buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 449:22] - buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 450:24] - node _T_3930 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 451:52] - node _T_3931 = and(ibuf_drain_vld, _T_3930) @[lsu_bus_buffer.scala 451:47] - node _T_3932 = bits(_T_3931, 0, 0) @[lsu_bus_buffer.scala 451:73] - node _T_3933 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 451:90] - node _T_3934 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 451:114] - node _T_3935 = mux(_T_3932, _T_3933, _T_3934) @[lsu_bus_buffer.scala 451:30] - buf_data_in[2] <= _T_3935 @[lsu_bus_buffer.scala 451:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_3914 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 448:56] + node _T_3915 = mux(_T_3914, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 448:31] + buf_nxtstate[2] <= _T_3915 @[lsu_bus_buffer.scala 448:25] + node _T_3916 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 449:45] + node _T_3917 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:77] + node _T_3918 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 449:97] + node _T_3919 = and(_T_3917, _T_3918) @[lsu_bus_buffer.scala 449:95] + node _T_3920 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 449:117] + node _T_3921 = and(_T_3919, _T_3920) @[lsu_bus_buffer.scala 449:112] + node _T_3922 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:144] + node _T_3923 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 449:166] + node _T_3924 = and(_T_3922, _T_3923) @[lsu_bus_buffer.scala 449:161] + node _T_3925 = or(_T_3921, _T_3924) @[lsu_bus_buffer.scala 449:132] + node _T_3926 = and(_T_3916, _T_3925) @[lsu_bus_buffer.scala 449:63] + node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 449:206] + node _T_3928 = and(ibuf_drain_vld, _T_3927) @[lsu_bus_buffer.scala 449:201] + node _T_3929 = or(_T_3926, _T_3928) @[lsu_bus_buffer.scala 449:183] + buf_state_en[2] <= _T_3929 @[lsu_bus_buffer.scala 449:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 450:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 451:24] + node _T_3930 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 452:52] + node _T_3931 = and(ibuf_drain_vld, _T_3930) @[lsu_bus_buffer.scala 452:47] + node _T_3932 = bits(_T_3931, 0, 0) @[lsu_bus_buffer.scala 452:73] + node _T_3933 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 452:90] + node _T_3934 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 452:114] + node _T_3935 = mux(_T_3932, _T_3933, _T_3934) @[lsu_bus_buffer.scala 452:30] + buf_data_in[2] <= _T_3935 @[lsu_bus_buffer.scala 452:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3936 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3936 : @[Conditional.scala 39:67] - node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 455:25] - node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:46] - buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 456:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 457:34] + node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 456:60] + node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 456:31] + buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 456:25] + node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:46] + buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 457:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 458:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3940 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3940 : @[Conditional.scala 39:67] - node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 460:60] - node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 460:89] - node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 460:124] - node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 460:104] - node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 460:75] - node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 460:31] - buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 460:25] - node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 461:48] - node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 461:104] - node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 461:91] - node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 461:77] - node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 461:135] - node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 461:148] - buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 461:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 462:29] - node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:49] - node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 463:70] - buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 463:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 464:25] - node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 465:56] - node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:46] - node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 465:44] - node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 465:60] - node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:76] - node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 465:74] - buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 465:25] - node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 466:46] - buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 466:28] - node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 467:47] - node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 467:67] - node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 467:81] - buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 467:24] - node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:48] - node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 468:68] - node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 468:82] - buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 468:25] - node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 469:61] - node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 469:85] - node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 469:103] - node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 469:126] - node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 469:73] - node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 469:30] - buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 469:24] + node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] + node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] + node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] + node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 461:104] + node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] + node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 461:31] + buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 461:25] + node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 462:48] + node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 462:104] + node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 462:91] + node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 462:77] + node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 462:135] + node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] + buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 462:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 463:29] + node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] + node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] + buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 464:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] + node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:56] + node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] + node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 466:44] + node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 466:60] + node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] + node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 466:74] + buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 466:25] + node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] + buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 467:28] + node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] + node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 468:67] + node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] + buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 468:24] + node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] + node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 469:68] + node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] + buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 469:25] + node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] + node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 470:85] + node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] + node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] + node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 470:73] + node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 470:30] + buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3974 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3974 : @[Conditional.scala 39:67] - node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:69] - node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:75] - node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 472:73] - node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 472:57] - node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 472:104] - node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 473:30] - node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 473:28] - node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 473:57] - node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:47] - node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 473:45] - node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 473:90] - node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 473:61] - node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 474:27] - node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 474:31] - node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:70] - node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 474:68] - node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 474:97] - node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:87] - node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 474:85] + node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 473:69] + node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:75] + node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 473:73] + node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 473:57] + node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 473:104] + node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:30] + node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 474:28] + node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 474:57] + node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:47] + node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 474:45] + node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:90] + node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 474:61] + node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 475:27] + node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 475:31] + node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:70] + node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 475:68] + node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 475:97] + node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:87] + node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 475:85] node _T_3994 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3995 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3996 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -5142,269 +5142,269 @@ circuit lsu_bus_buffer : node _T_4008 = or(_T_4007, _T_4005) @[Mux.scala 27:72] wire _T_4009 : UInt<1> @[Mux.scala 27:72] _T_4009 <= _T_4008 @[Mux.scala 27:72] - node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 474:101] - node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:167] - node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 474:138] - node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 474:187] - node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 474:53] - node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 474:16] - node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 473:14] - node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 472:33] - buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 472:27] - node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 475:73] - node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 475:52] - node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 476:46] - node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 477:23] - node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 477:47] - node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 477:27] - node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 476:77] - node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 478:26] - node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 478:54] - node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 478:44] - node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 478:42] - node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 478:58] - node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 478:94] - node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 478:74] - node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 477:71] - node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 476:25] - node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 475:105] - buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 475:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 479:29] - node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:49] - node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 480:70] - buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 480:25] - node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 481:47] - node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:62] - buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 481:24] - node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:48] - node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 482:111] - node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 482:91] - node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 483:42] - node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 483:31] - node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 483:66] - node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 483:46] - node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 482:143] - node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 484:54] - node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 484:33] - node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 483:88] - node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 482:68] - buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 482:25] - node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 485:50] - node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 485:48] - node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 485:84] - node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 485:102] - node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:125] - node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 485:72] - node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:148] - node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 485:30] - buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 485:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 486:34] + node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 475:101] + node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:167] + node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 475:138] + node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 475:187] + node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 475:53] + node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 475:16] + node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 474:14] + node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 473:33] + buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 473:27] + node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 476:73] + node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 476:52] + node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:46] + node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 478:23] + node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 478:47] + node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 478:27] + node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 477:77] + node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 479:26] + node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 479:54] + node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 479:44] + node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 479:42] + node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 479:58] + node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 479:94] + node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 479:74] + node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 478:71] + node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 477:25] + node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 476:105] + buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 476:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 480:29] + node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:49] + node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 481:70] + buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 481:25] + node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] + node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] + buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 482:24] + node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:43] + node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 483:106] + node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 483:86] + node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 484:42] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 484:31] + node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 484:66] + node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 484:46] + node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 483:138] + node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 485:54] + node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 485:33] + node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 484:88] + node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 483:63] + buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 483:20] + node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] + node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 486:48] + node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 486:84] + node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 486:102] + node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:125] + node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 486:72] + node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:148] + node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 486:30] + buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 486:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 487:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4059 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4059 : @[Conditional.scala 39:67] - node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 489:60] - node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 489:86] - node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 489:101] - node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 489:101] - node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 489:90] - node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 489:118] - node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 489:75] - node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 489:31] - buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 489:25] - node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 490:66] - node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 491:21] - node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 491:21] - node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 491:58] - node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 491:38] - node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 490:95] - node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 490:45] - buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 490:29] - node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 492:49] - node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:70] - buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] + node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 490:86] + node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 490:101] + node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 490:101] + node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 490:90] + node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] + node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] + node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 490:31] + buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 490:25] + node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 491:66] + node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 492:21] + node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 492:21] + node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 492:58] + node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 492:38] + node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 491:95] + node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 491:45] + buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 491:29] + node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] + node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] + buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 493:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] - node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] - buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 496:25] - node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 497:37] - node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 497:98] - node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 497:80] - node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 497:65] - node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] - buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 497:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 497:60] + node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 497:31] + buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 497:25] + node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 498:37] + node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 498:98] + node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 498:80] + node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 498:65] + node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 498:112] + buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 498:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4085 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4085 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 501:25] - buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 502:20] - buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 505:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 506:34] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 509:108] + node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4086 : @[Reg.scala 28:19] _T_4087 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 509:18] - reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 510:60] - _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 510:60] - buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 510:17] - reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:63] - _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 511:63] - buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 511:20] - node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:109] + buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 510:18] + reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 511:17] + reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 512:20] + node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4090 : @[Reg.scala 28:19] _T_4091 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 512:20] - node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 513:74] - node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:107] + buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 513:20] + node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 514:74] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_4094 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4093 : @[Reg.scala 28:19] _T_4094 <= _T_4092 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 513:17] - node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 514:78] - node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:111] + buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 514:17] + node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 515:78] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4096 : @[Reg.scala 28:19] _T_4097 <= _T_4095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 514:19] - node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 515:80] - node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:113] + buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 515:19] + node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 516:80] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4099 : @[Reg.scala 28:19] _T_4100 <= _T_4098 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 515:20] - node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 516:78] - node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:111] + buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 516:20] + node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 517:78] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4102 : @[Reg.scala 28:19] _T_4103 <= _T_4101 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 516:19] + buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 517:19] node _T_4104 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4104 : @[Conditional.scala 40:58] - node _T_4105 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 447:56] - node _T_4106 = mux(_T_4105, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 447:31] - buf_nxtstate[3] <= _T_4106 @[lsu_bus_buffer.scala 447:25] - node _T_4107 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 448:45] - node _T_4108 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 448:77] - node _T_4109 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 448:97] - node _T_4110 = and(_T_4108, _T_4109) @[lsu_bus_buffer.scala 448:95] - node _T_4111 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 448:117] - node _T_4112 = and(_T_4110, _T_4111) @[lsu_bus_buffer.scala 448:112] - node _T_4113 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 448:144] - node _T_4114 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 448:166] - node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 448:161] - node _T_4116 = or(_T_4112, _T_4115) @[lsu_bus_buffer.scala 448:132] - node _T_4117 = and(_T_4107, _T_4116) @[lsu_bus_buffer.scala 448:63] - node _T_4118 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 448:206] - node _T_4119 = and(ibuf_drain_vld, _T_4118) @[lsu_bus_buffer.scala 448:201] - node _T_4120 = or(_T_4117, _T_4119) @[lsu_bus_buffer.scala 448:183] - buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 448:25] - buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 449:22] - buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 450:24] - node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 451:52] - node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 451:47] - node _T_4123 = bits(_T_4122, 0, 0) @[lsu_bus_buffer.scala 451:73] - node _T_4124 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 451:90] - node _T_4125 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 451:114] - node _T_4126 = mux(_T_4123, _T_4124, _T_4125) @[lsu_bus_buffer.scala 451:30] - buf_data_in[3] <= _T_4126 @[lsu_bus_buffer.scala 451:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_4105 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 448:56] + node _T_4106 = mux(_T_4105, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 448:31] + buf_nxtstate[3] <= _T_4106 @[lsu_bus_buffer.scala 448:25] + node _T_4107 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 449:45] + node _T_4108 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:77] + node _T_4109 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 449:97] + node _T_4110 = and(_T_4108, _T_4109) @[lsu_bus_buffer.scala 449:95] + node _T_4111 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 449:117] + node _T_4112 = and(_T_4110, _T_4111) @[lsu_bus_buffer.scala 449:112] + node _T_4113 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:144] + node _T_4114 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 449:166] + node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 449:161] + node _T_4116 = or(_T_4112, _T_4115) @[lsu_bus_buffer.scala 449:132] + node _T_4117 = and(_T_4107, _T_4116) @[lsu_bus_buffer.scala 449:63] + node _T_4118 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 449:206] + node _T_4119 = and(ibuf_drain_vld, _T_4118) @[lsu_bus_buffer.scala 449:201] + node _T_4120 = or(_T_4117, _T_4119) @[lsu_bus_buffer.scala 449:183] + buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 449:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 450:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 451:24] + node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 452:52] + node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 452:47] + node _T_4123 = bits(_T_4122, 0, 0) @[lsu_bus_buffer.scala 452:73] + node _T_4124 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 452:90] + node _T_4125 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 452:114] + node _T_4126 = mux(_T_4123, _T_4124, _T_4125) @[lsu_bus_buffer.scala 452:30] + buf_data_in[3] <= _T_4126 @[lsu_bus_buffer.scala 452:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4127 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4127 : @[Conditional.scala 39:67] - node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 455:25] - node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:46] - buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 456:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 457:34] + node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 456:60] + node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 456:31] + buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 456:25] + node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:46] + buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 457:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 458:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4131 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4131 : @[Conditional.scala 39:67] - node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 460:60] - node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 460:89] - node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 460:124] - node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 460:104] - node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 460:75] - node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 460:31] - buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 460:25] - node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 461:48] - node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 461:104] - node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 461:91] - node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 461:77] - node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 461:135] - node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 461:148] - buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 461:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 462:29] - node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:49] - node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 463:70] - buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 463:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 464:25] - node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 465:56] - node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:46] - node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 465:44] - node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 465:60] - node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:76] - node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 465:74] - buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 465:25] - node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 466:46] - buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 466:28] - node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 467:47] - node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 467:67] - node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 467:81] - buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 467:24] - node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:48] - node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 468:68] - node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 468:82] - buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 468:25] - node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 469:61] - node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 469:85] - node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 469:103] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 469:126] - node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 469:73] - node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 469:30] - buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 469:24] + node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] + node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] + node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] + node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 461:104] + node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] + node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 461:31] + buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 461:25] + node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 462:48] + node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 462:104] + node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 462:91] + node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 462:77] + node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 462:135] + node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] + buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 462:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 463:29] + node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] + node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] + buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 464:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] + node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:56] + node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] + node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 466:44] + node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 466:60] + node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] + node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 466:74] + buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 466:25] + node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] + buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 467:28] + node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] + node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 468:67] + node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] + buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 468:24] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 469:68] + node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] + buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 469:25] + node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] + node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 470:85] + node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] + node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 470:73] + node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 470:30] + buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 470:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4165 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4165 : @[Conditional.scala 39:67] - node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:69] - node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:75] - node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 472:73] - node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 472:57] - node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 472:104] - node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 473:30] - node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 473:28] - node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 473:57] - node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:47] - node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 473:45] - node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 473:90] - node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 473:61] - node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 474:27] - node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 474:31] - node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:70] - node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 474:68] - node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 474:97] - node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:87] - node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 474:85] + node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 473:69] + node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:75] + node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 473:73] + node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 473:57] + node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 473:104] + node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:30] + node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 474:28] + node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 474:57] + node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:47] + node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 474:45] + node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:90] + node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 474:61] + node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 475:27] + node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 475:31] + node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:70] + node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 475:68] + node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 475:97] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:87] + node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 475:85] node _T_4185 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_4186 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_4187 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -5422,175 +5422,175 @@ circuit lsu_bus_buffer : node _T_4199 = or(_T_4198, _T_4196) @[Mux.scala 27:72] wire _T_4200 : UInt<1> @[Mux.scala 27:72] _T_4200 <= _T_4199 @[Mux.scala 27:72] - node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 474:101] - node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:167] - node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 474:138] - node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 474:187] - node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 474:53] - node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 474:16] - node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 473:14] - node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 472:33] - buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 472:27] - node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 475:73] - node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 475:52] - node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 476:46] - node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 477:23] - node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 477:47] - node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 477:27] - node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 476:77] - node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 478:26] - node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 478:54] - node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 478:44] - node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 478:42] - node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 478:58] - node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 478:94] - node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 478:74] - node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 477:71] - node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 476:25] - node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 475:105] - buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 475:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 479:29] - node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:49] - node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 480:70] - buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 480:25] - node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 481:47] - node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:62] - buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 481:24] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:48] - node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 482:111] - node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 482:91] - node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 483:42] - node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 483:31] - node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 483:66] - node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 483:46] - node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 482:143] - node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 484:54] - node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 484:33] - node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 483:88] - node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 482:68] - buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 482:25] - node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 485:50] - node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 485:48] - node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 485:84] - node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 485:102] - node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:125] - node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 485:72] - node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:148] - node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 485:30] - buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 485:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 486:34] + node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 475:101] + node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:167] + node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 475:138] + node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 475:187] + node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 475:53] + node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 475:16] + node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 474:14] + node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 473:33] + buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 473:27] + node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 476:73] + node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 476:52] + node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:46] + node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 478:23] + node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 478:47] + node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 478:27] + node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 477:77] + node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 479:26] + node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 479:54] + node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 479:44] + node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 479:42] + node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 479:58] + node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 479:94] + node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 479:74] + node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 478:71] + node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 477:25] + node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 476:105] + buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 476:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 480:29] + node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:49] + node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 481:70] + buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 481:25] + node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] + node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] + buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 482:24] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:43] + node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 483:106] + node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 483:86] + node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 484:42] + node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 484:31] + node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 484:66] + node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 484:46] + node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 483:138] + node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 485:54] + node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 485:33] + node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 484:88] + node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 483:63] + buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 483:20] + node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] + node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 486:48] + node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 486:84] + node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 486:102] + node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:125] + node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 486:72] + node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:148] + node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 486:30] + buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 486:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 487:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4250 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4250 : @[Conditional.scala 39:67] - node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 489:60] - node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 489:86] - node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 489:101] - node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 489:101] - node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 489:90] - node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 489:118] - node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 489:75] - node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 489:31] - buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 489:25] - node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 490:66] - node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 491:21] - node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 491:21] - node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 491:58] - node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 491:38] - node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 490:95] - node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 490:45] - buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 490:29] - node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 492:49] - node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:70] - buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] + node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 490:86] + node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 490:101] + node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 490:101] + node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 490:90] + node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] + node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] + node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 490:31] + buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 490:25] + node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 491:66] + node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 492:21] + node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 492:21] + node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 492:58] + node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 492:38] + node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 491:95] + node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 491:45] + buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 491:29] + node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] + node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] + buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 493:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4268 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4268 : @[Conditional.scala 39:67] - node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] - node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] - buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 496:25] - node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 497:37] - node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 497:98] - node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 497:80] - node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 497:65] - node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] - buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 497:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 497:60] + node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 497:31] + buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 497:25] + node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 498:37] + node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 498:98] + node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 498:80] + node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 498:65] + node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 498:112] + buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 498:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4276 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4276 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 501:25] - buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 502:20] - buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 505:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 506:34] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 509:108] + node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 509:18] - reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 510:60] - _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 510:60] - buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 510:17] - reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:63] - _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 511:63] - buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 511:20] - node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:109] + buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 510:18] + reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 511:17] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 512:20] + node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_4282 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4281 : @[Reg.scala 28:19] _T_4282 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 512:20] - node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 513:74] - node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:107] + buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 513:20] + node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 514:74] + node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_4285 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4284 : @[Reg.scala 28:19] _T_4285 <= _T_4283 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 513:17] - node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 514:78] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:111] + buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 514:17] + node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 515:78] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_4288 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4287 : @[Reg.scala 28:19] _T_4288 <= _T_4286 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 514:19] - node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 515:80] - node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:113] + buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 515:19] + node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 516:80] + node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4290 : @[Reg.scala 28:19] _T_4291 <= _T_4289 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 515:20] - node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 516:78] - node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:111] + buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 516:20] + node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 517:78] + node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_4294 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= _T_4292 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 516:19] - node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 519:131] + buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 517:19] + node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 519:131] + node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4298 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 519:131] + node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4300 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4299 : @[Reg.scala 28:19] _T_4300 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 519:131] + node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5598,51 +5598,51 @@ circuit lsu_bus_buffer : node _T_4303 = cat(_T_4302, _T_4300) @[Cat.scala 29:58] node _T_4304 = cat(_T_4303, _T_4298) @[Cat.scala 29:58] node _T_4305 = cat(_T_4304, _T_4296) @[Cat.scala 29:58] - buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 519:13] - node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 520:132] + buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 520:13] + node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4307 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4306 : @[Reg.scala 28:19] _T_4307 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 520:132] + node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4309 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4308 : @[Reg.scala 28:19] _T_4309 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 520:132] + node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4311 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 520:132] + node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4313 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4312 : @[Reg.scala 28:19] _T_4313 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 520:16] - buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 520:16] - buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 520:16] - buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 520:16] - node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 521:105] - node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:138] + buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 521:16] + buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 521:16] + buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 521:16] + buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 521:16] + node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 522:105] + node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4316 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= _T_4314 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 521:105] - node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:138] + node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 522:105] + node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4319 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4318 : @[Reg.scala 28:19] _T_4319 <= _T_4317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 521:105] - node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:138] + node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 522:105] + node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= _T_4320 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 521:105] - node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:138] + node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 522:105] + node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4325 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4324 : @[Reg.scala 28:19] _T_4325 <= _T_4323 @[Reg.scala 28:23] @@ -5650,27 +5650,27 @@ circuit lsu_bus_buffer : node _T_4326 = cat(_T_4325, _T_4322) @[Cat.scala 29:58] node _T_4327 = cat(_T_4326, _T_4319) @[Cat.scala 29:58] node _T_4328 = cat(_T_4327, _T_4316) @[Cat.scala 29:58] - buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 521:18] - node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 522:97] - node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:130] + buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 522:18] + node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 523:97] + node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4331 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4330 : @[Reg.scala 28:19] _T_4331 <= _T_4329 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 522:97] - node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:130] + node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 523:97] + node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4334 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4333 : @[Reg.scala 28:19] _T_4334 <= _T_4332 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 522:97] - node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:130] + node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 523:97] + node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4337 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4336 : @[Reg.scala 28:19] _T_4337 <= _T_4335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 522:97] - node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:130] + node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 523:97] + node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4340 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4339 : @[Reg.scala 28:19] _T_4340 <= _T_4338 @[Reg.scala 28:23] @@ -5678,27 +5678,27 @@ circuit lsu_bus_buffer : node _T_4341 = cat(_T_4340, _T_4337) @[Cat.scala 29:58] node _T_4342 = cat(_T_4341, _T_4334) @[Cat.scala 29:58] node _T_4343 = cat(_T_4342, _T_4331) @[Cat.scala 29:58] - buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 522:14] - node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 523:95] - node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:128] + buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 523:14] + node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 524:95] + node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4346 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4345 : @[Reg.scala 28:19] _T_4346 <= _T_4344 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 523:95] - node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:128] + node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 524:95] + node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4349 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4348 : @[Reg.scala 28:19] _T_4349 <= _T_4347 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 523:95] - node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:128] + node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 524:95] + node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4352 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4351 : @[Reg.scala 28:19] _T_4352 <= _T_4350 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 523:95] - node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:128] + node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 524:95] + node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4355 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4354 : @[Reg.scala 28:19] _T_4355 <= _T_4353 @[Reg.scala 28:23] @@ -5706,32 +5706,32 @@ circuit lsu_bus_buffer : node _T_4356 = cat(_T_4355, _T_4352) @[Cat.scala 29:58] node _T_4357 = cat(_T_4356, _T_4349) @[Cat.scala 29:58] node _T_4358 = cat(_T_4357, _T_4346) @[Cat.scala 29:58] - buf_write <= _T_4358 @[lsu_bus_buffer.scala 523:13] - node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:117] + buf_write <= _T_4358 @[lsu_bus_buffer.scala 524:13] + node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4360 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:117] + node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4362 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4361 : @[Reg.scala 28:19] _T_4362 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:117] + node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4364 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4363 : @[Reg.scala 28:19] _T_4364 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:117] + node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4366 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 524:10] - buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 524:10] - buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 524:10] - buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 524:10] - node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 525:80] + buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 525:10] + buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 525:10] + buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 525:10] + buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 525:10] + node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 391:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5740,7 +5740,7 @@ circuit lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] reg _T_4368 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] _T_4368 <= buf_addr_in[0] @[lib.scala 397:16] - node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 525:80] + node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 391:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5749,7 +5749,7 @@ circuit lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] reg _T_4370 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] _T_4370 <= buf_addr_in[1] @[lib.scala 397:16] - node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 525:80] + node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 391:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5758,7 +5758,7 @@ circuit lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] reg _T_4372 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] _T_4372 <= buf_addr_in[2] @[lib.scala 397:16] - node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 525:80] + node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 391:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5767,34 +5767,34 @@ circuit lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] reg _T_4374 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] _T_4374 <= buf_addr_in[3] @[lib.scala 397:16] - buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 525:12] - buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 525:12] - buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 525:12] - buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 525:12] - node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 526:125] + buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 526:12] + buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 526:12] + buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 526:12] + buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 526:12] + node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4376 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4375 : @[Reg.scala 28:19] _T_4376 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 526:125] + node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4378 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 526:125] + node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4380 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4379 : @[Reg.scala 28:19] _T_4380 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 526:125] + node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4382 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4381 : @[Reg.scala 28:19] _T_4382 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 526:14] - buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 526:14] - buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 526:14] - buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 526:14] + buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 527:14] + buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 527:14] + buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 527:14] + buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 527:14] inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 391:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5827,171 +5827,171 @@ circuit lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] reg _T_4386 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] _T_4386 <= buf_data_in[3] @[lib.scala 397:16] - buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 527:12] - buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 527:12] - buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 527:12] - buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 527:12] - node _T_4387 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 528:119] - node _T_4388 = mux(buf_error_en[0], UInt<1>("h01"), _T_4387) @[lsu_bus_buffer.scala 528:84] - node _T_4389 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 528:126] - node _T_4390 = and(_T_4388, _T_4389) @[lsu_bus_buffer.scala 528:124] - reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 528:80] - _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 528:80] - node _T_4392 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 528:119] - node _T_4393 = mux(buf_error_en[1], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 528:84] - node _T_4394 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 528:126] - node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 528:124] - reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 528:80] - _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 528:80] - node _T_4397 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 528:119] - node _T_4398 = mux(buf_error_en[2], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 528:84] - node _T_4399 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 528:126] - node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 528:124] - reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 528:80] - _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 528:80] - node _T_4402 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 528:119] - node _T_4403 = mux(buf_error_en[3], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 528:84] - node _T_4404 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 528:126] - node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 528:124] - reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 528:80] - _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 528:80] + buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 528:12] + buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 528:12] + buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 528:12] + buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 528:12] + node _T_4387 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 529:119] + node _T_4388 = mux(buf_error_en[0], UInt<1>("h01"), _T_4387) @[lsu_bus_buffer.scala 529:84] + node _T_4389 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:126] + node _T_4390 = and(_T_4388, _T_4389) @[lsu_bus_buffer.scala 529:124] + reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 529:80] + node _T_4392 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 529:119] + node _T_4393 = mux(buf_error_en[1], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 529:84] + node _T_4394 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:126] + node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 529:124] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 529:80] + node _T_4397 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 529:119] + node _T_4398 = mux(buf_error_en[2], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 529:84] + node _T_4399 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:126] + node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 529:124] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 529:80] + node _T_4402 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 529:119] + node _T_4403 = mux(buf_error_en[3], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 529:84] + node _T_4404 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:126] + node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 529:124] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 529:80] node _T_4407 = cat(_T_4406, _T_4401) @[Cat.scala 29:58] node _T_4408 = cat(_T_4407, _T_4396) @[Cat.scala 29:58] node _T_4409 = cat(_T_4408, _T_4391) @[Cat.scala 29:58] - buf_error <= _T_4409 @[lsu_bus_buffer.scala 528:13] + buf_error <= _T_4409 @[lsu_bus_buffer.scala 529:13] node _T_4410 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 530:28] + node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 531:28] node _T_4412 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 530:94] - node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 530:88] - node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 530:154] - node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 530:217] - node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 530:217] - node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 530:217] - node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 530:169] - node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 530:169] - node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 531:60] - node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 531:64] - node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 531:89] - node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 531:60] - node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 531:64] - node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 531:89] - node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 531:60] - node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 531:64] - node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 531:89] - node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 531:60] - node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 531:64] - node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 531:89] - node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 531:142] - node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 531:142] - node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 531:142] - buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 531:24] - node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 532:73] - node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 532:73] - node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 532:73] - node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 532:73] - node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 532:126] - node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 532:126] - node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 532:126] - buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 532:22] - node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 533:100] - node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 533:74] - node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 533:100] - node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 533:74] - node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 533:100] - node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 533:74] - node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 533:100] - node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 533:74] - node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 533:154] - node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 533:154] - node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 533:154] - buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 533:23] - node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 534:93] - node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 534:93] - node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 534:93] - any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 534:23] - node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 535:53] - io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 535:30] - node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 536:52] - node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 536:92] - node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 536:121] - node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 536:36] - io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 536:30] - node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 537:52] - node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 537:52] - node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 537:52] - node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 537:52] - node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 537:65] - node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 537:65] - node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 537:65] - node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:34] - node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:72] - node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 537:70] - node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:86] - node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 537:84] - io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 537:31] - node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 539:64] - node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 539:85] - node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:112] - node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 539:110] - node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:129] - node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 539:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 539:45] - io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 540:43] + node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 531:94] + node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 531:88] + node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 531:154] + node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 531:190] + node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 531:190] + node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 531:190] + node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 531:190] + node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 531:217] + node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 531:217] + node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 531:217] + node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 531:169] + node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 531:169] + node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 532:60] + node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:79] + node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 532:64] + node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:91] + node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 532:89] + node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 532:60] + node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 532:64] + node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 532:89] + node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 532:60] + node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 532:64] + node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 532:89] + node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 532:60] + node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 532:64] + node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 532:89] + node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 532:142] + node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 532:142] + node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 532:142] + buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 532:24] + node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:63] + node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:75] + node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 533:73] + node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:63] + node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:75] + node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 533:73] + node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:63] + node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:75] + node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 533:73] + node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:63] + node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:75] + node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 533:73] + node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 533:126] + node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 533:126] + node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 533:126] + buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 533:22] + node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 534:63] + node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 534:90] + node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 534:102] + node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 534:100] + node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 534:74] + node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 534:63] + node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 534:90] + node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 534:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 534:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 534:74] + node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 534:63] + node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 534:90] + node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 534:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 534:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 534:74] + node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 534:63] + node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 534:90] + node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 534:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 534:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 534:74] + node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 534:154] + node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 534:154] + node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 534:154] + buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 534:23] + node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 535:61] + node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 535:61] + node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 535:61] + node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 535:61] + node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 535:93] + node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 535:93] + node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 535:93] + any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 535:23] + node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 536:53] + io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 536:30] + node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 537:52] + node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 537:92] + node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 537:121] + node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 537:36] + io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 537:30] + node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 538:52] + node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 538:52] + node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 538:52] + node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 538:52] + node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 538:65] + node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 538:65] + node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 538:65] + node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:34] + node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:72] + node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 538:70] + node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:86] + node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 538:84] + io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 538:31] + node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 540:64] + node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 540:85] + node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:112] + node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 540:110] + node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:129] + node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 540:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 540:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 541:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:74] - node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 542:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 542:43] - io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 543:47] - node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:106] - node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:106] - node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:106] - node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:106] - node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:74] + node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 543:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 543:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 544:47] + node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:80] + node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:106] + node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:95] + node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:80] + node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:106] + node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:95] + node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:80] + node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:106] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:95] + node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:80] + node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:106] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:95] node _T_4529 = mux(_T_4517, _T_4519, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4530 = mux(_T_4520, _T_4522, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4531 = mux(_T_4523, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6001,26 +6001,26 @@ circuit lsu_bus_buffer : node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] - node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 545:117] - node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:133] - node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 545:121] - node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 545:117] - node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:133] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 545:121] - node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 545:117] - node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:133] - node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 545:121] - node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 545:117] - node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:133] - node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 545:121] + node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:93] + node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 546:117] + node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:133] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:123] + node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 546:121] + node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:93] + node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 546:117] + node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:133] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:123] + node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 546:121] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:93] + node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 546:117] + node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:133] + node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:123] + node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 546:121] + node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:93] + node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 546:117] + node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:133] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:123] + node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 546:121] node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6030,39 +6030,39 @@ circuit lsu_bus_buffer : node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] wire _T_4563 : UInt<1> @[Mux.scala 27:72] _T_4563 <= _T_4562 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 545:48] - node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:115] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 546:103] - node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 546:135] - node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 546:119] - node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:115] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 546:103] - node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 546:135] - node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 546:119] - node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:115] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 546:103] - node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 546:135] - node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 546:119] - node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:115] - node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 546:103] - node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 546:135] - node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 546:119] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 546:48] + node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:92] + node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 547:115] + node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:105] + node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 547:103] + node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:122] + node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:137] + node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 547:135] + node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 547:119] + node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:92] + node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 547:115] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:105] + node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 547:103] + node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:122] + node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:137] + node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 547:135] + node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 547:119] + node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:92] + node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 547:115] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:105] + node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 547:103] + node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:122] + node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:137] + node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 547:135] + node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 547:119] + node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:92] + node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 547:115] + node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:105] + node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 547:103] + node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:122] + node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:137] + node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 547:135] + node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 547:119] node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -6072,39 +6072,39 @@ circuit lsu_bus_buffer : node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] wire _T_4603 : UInt<2> @[Mux.scala 27:72] _T_4603 <= _T_4602 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 546:46] - node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 547:101] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 547:89] - node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 547:121] - node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 547:105] - node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 547:101] - node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 547:89] - node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 547:121] - node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 547:105] - node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 547:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 547:89] - node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 547:121] - node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 547:105] - node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 547:101] - node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 547:89] - node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 547:121] - node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 547:105] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 547:46] + node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 548:101] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 548:89] + node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:108] + node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:123] + node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 548:121] + node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 548:105] + node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 548:101] + node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 548:89] + node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:108] + node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:123] + node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 548:121] + node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 548:105] + node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 548:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 548:89] + node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:108] + node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:123] + node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 548:121] + node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 548:105] + node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 548:101] + node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 548:89] + node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:108] + node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:123] + node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 548:121] + node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 548:105] node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6114,30 +6114,30 @@ circuit lsu_bus_buffer : node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] - node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 548:101] - node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 548:89] - node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 548:120] - node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 548:105] - node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 548:101] - node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 548:89] - node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 548:120] - node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 548:105] - node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 548:101] - node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 548:89] - node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 548:120] - node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 548:105] - node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 548:101] - node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 548:89] - node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 548:120] - node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 548:105] + node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:78] + node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 549:101] + node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:91] + node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 549:89] + node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 549:120] + node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 549:105] + node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:78] + node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 549:101] + node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:91] + node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 549:89] + node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 549:120] + node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 549:105] + node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:78] + node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 549:101] + node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:91] + node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 549:89] + node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 549:120] + node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 549:105] + node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:78] + node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 549:101] + node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:91] + node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 549:89] + node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 549:120] + node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 549:105] node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6160,7 +6160,7 @@ circuit lsu_bus_buffer : node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] wire _T_4685 : UInt<32> @[Mux.scala 27:72] _T_4685 <= _T_4684 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 549:96] + node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 550:96] node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_4687 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -6192,36 +6192,36 @@ circuit lsu_bus_buffer : wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] node _T_4712 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 553:121] - node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 553:92] - node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 555:82] - node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 555:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 555:48] - node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:94] - node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 556:76] - node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:144] + node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 554:121] + node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 554:92] + node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:82] + node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 556:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 556:48] + node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:94] + node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 557:76] + node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 557:144] node _T_4719 = cat(UInt<24>("h00"), _T_4718) @[Cat.scala 29:58] - node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] - node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 557:26] - node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:95] + node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 558:45] + node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 558:26] + node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 558:95] node _T_4723 = cat(UInt<16>("h00"), _T_4722) @[Cat.scala 29:58] - node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:6] - node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:45] - node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 558:27] - node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 558:93] + node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 559:6] + node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 559:45] + node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 559:27] + node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 559:93] node _T_4728 = bits(_T_4727, 0, 0) @[Bitwise.scala 72:15] node _T_4729 = mux(_T_4728, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 558:123] + node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 559:123] node _T_4731 = cat(_T_4729, _T_4730) @[Cat.scala 29:58] - node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 559:6] - node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 559:45] - node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 559:27] - node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 559:93] + node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 560:6] + node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 560:45] + node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 560:27] + node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 560:93] node _T_4736 = bits(_T_4735, 0, 0) @[Bitwise.scala 72:15] node _T_4737 = mux(_T_4736, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 559:124] + node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 560:124] node _T_4739 = cat(_T_4737, _T_4738) @[Cat.scala 29:58] - node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 560:21] + node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:21] node _T_4741 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4742 = mux(_T_4721, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4743 = mux(_T_4726, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6233,74 +6233,74 @@ circuit lsu_bus_buffer : node _T_4749 = or(_T_4748, _T_4745) @[Mux.scala 27:72] wire _T_4750 : UInt<64> @[Mux.scala 27:72] _T_4750 <= _T_4749 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 556:42] - node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 561:89] - node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 561:73] - node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 561:89] - node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 561:73] - node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 561:89] - node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 561:73] - node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 561:89] - node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 561:73] - node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 561:153] - node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 561:153] - node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 561:153] - node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 561:171] - node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:189] - node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 561:157] - bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 561:23] - node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 563:37] - node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 563:19] - node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:73] - node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:107] - node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 563:95] - node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 563:81] - node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 563:59] - node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 563:37] - node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 563:19] - node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:73] - node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:107] - node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 563:95] - node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 563:81] - node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 563:59] - node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 563:37] - node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 563:19] - node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:73] - node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:107] - node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 563:95] - node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 563:81] - node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 563:59] - node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 563:37] - node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 563:19] - node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:73] - node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:107] - node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 563:95] - node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 563:81] - node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 563:59] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 557:42] + node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:62] + node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 562:89] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 562:73] + node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 562:93] + node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:62] + node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 562:89] + node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 562:73] + node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 562:93] + node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:62] + node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 562:89] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 562:73] + node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 562:93] + node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:62] + node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 562:89] + node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 562:73] + node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 562:93] + node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 562:153] + node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 562:153] + node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 562:153] + node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 562:171] + node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 562:189] + node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 562:157] + bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 562:23] + node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 563:71] + node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 564:31] + node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 564:51] + node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 564:37] + node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 564:19] + node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:73] + node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:107] + node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 564:95] + node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 564:81] + node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:61] + node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 564:59] + node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 563:71] + node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 564:31] + node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 564:51] + node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 564:37] + node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 564:19] + node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 564:73] + node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 564:107] + node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 564:95] + node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 564:81] + node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:61] + node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 564:59] + node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 563:71] + node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 564:31] + node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 564:51] + node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 564:37] + node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 564:19] + node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 564:73] + node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 564:107] + node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 564:95] + node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 564:81] + node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:61] + node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 564:59] + node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 563:71] + node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 564:31] + node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 564:51] + node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 564:37] + node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 564:19] + node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 564:73] + node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 564:107] + node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 564:95] + node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 564:81] + node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:61] + node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 564:59] node _T_4817 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4818 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4819 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6310,117 +6310,117 @@ circuit lsu_bus_buffer : node _T_4823 = or(_T_4822, _T_4820) @[Mux.scala 27:72] wire _T_4824 : UInt<1> @[Mux.scala 27:72] _T_4824 <= _T_4823 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 562:26] - node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 565:54] - node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 565:75] - node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:153] - node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 565:39] - node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 565:23] - bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 565:17] - node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 566:40] - bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 566:17] - node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 567:40] - bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 567:18] - node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 568:35] - node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 568:70] - node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 568:52] - node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 568:112] - node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 568:89] - bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 568:16] - node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 569:38] - bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 569:16] - node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 570:39] - bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 570:17] - bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 571:20] - bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 572:21] - node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 573:66] - node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 573:40] - bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 573:23] - node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 574:64] - node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 574:38] - bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 574:22] - bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 575:17] - node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 578:37] - node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:52] - node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 578:50] - node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:69] - node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 578:67] - io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 578:23] - io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 579:25] - node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 580:75] + bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 563:26] + node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 566:54] + node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 566:75] + node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 566:153] + node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 566:39] + node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 566:23] + bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 566:17] + node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 567:40] + bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 567:17] + node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 568:40] + bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 568:18] + node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 569:35] + node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 569:70] + node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 569:52] + node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 569:112] + node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 569:89] + bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 569:16] + node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 570:38] + bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 570:16] + node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 571:39] + bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 571:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 572:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 573:21] + node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 574:66] + node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 574:40] + bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 574:23] + node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 575:64] + node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 575:38] + bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 575:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 576:17] + node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 579:37] + node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 579:52] + node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 579:50] + node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 579:69] + node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 579:67] + io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 579:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 580:25] + node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 581:75] node _T_4849 = cat(_T_4848, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 580:33] - io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 580:27] + node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 581:33] + io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 581:27] node _T_4851 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 581:33] - io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 581:27] - io.lsu_axi.aw.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 582:27] - node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 583:34] - io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 583:28] - node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 584:41] - io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 584:29] - io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 585:26] - io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 586:28] - io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 587:26] - io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 588:27] - node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 590:36] - node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:51] - node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 590:49] - node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:69] - node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 590:67] - io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 590:22] + node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 582:33] + io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 582:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 583:27] + node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 584:34] + io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 584:28] + node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 585:41] + io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 585:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 586:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 587:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 588:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 589:27] + node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 591:36] + node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 591:51] + node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 591:49] + node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 591:69] + node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 591:67] + io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 591:22] node _T_4860 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4861 = mux(_T_4860, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 591:41] - io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 591:26] - io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 592:26] - io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 593:26] - node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:39] - node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 595:37] - node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:53] - node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 595:51] - node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:68] - node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 595:66] - io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 595:23] - io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 596:25] - node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 597:75] + node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 592:41] + io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 592:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 593:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 594:26] + node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 596:39] + node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 596:37] + node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 596:53] + node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 596:51] + node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 596:68] + node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 596:66] + io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 596:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 597:25] + node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 598:75] node _T_4870 = cat(_T_4869, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 597:33] - io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 597:27] + node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 598:33] + io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 598:27] node _T_4872 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 598:33] - io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 598:27] - io.lsu_axi.ar.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 599:27] - node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 600:34] - io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 600:28] - node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 601:41] - io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 601:29] - io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 602:26] - io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 603:28] - io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:26] - io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 605:27] - io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 606:22] - io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 607:22] - node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 608:137] - node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 608:126] - node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 608:152] - node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 608:141] - node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 608:137] - node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 608:126] - node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 608:152] - node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 608:141] - node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 608:137] - node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 608:126] - node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 608:152] - node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 608:141] - node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 608:137] - node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 608:126] - node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 608:152] - node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 608:141] + node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 599:33] + io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 599:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 600:27] + node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 601:34] + io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 601:28] + node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 602:41] + io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 602:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 604:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 605:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 606:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 607:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 608:22] + node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:93] + node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 609:137] + node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 609:126] + node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 609:152] + node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 609:141] + node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:93] + node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 609:137] + node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 609:126] + node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 609:152] + node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 609:141] + node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:93] + node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 609:137] + node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 609:126] + node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 609:152] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 609:141] + node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:93] + node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 609:137] + node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 609:126] + node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 609:152] + node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 609:141] node _T_4896 = mux(_T_4876, _T_4880, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4897 = mux(_T_4881, _T_4885, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4898 = mux(_T_4886, _T_4890, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6430,27 +6430,27 @@ circuit lsu_bus_buffer : node _T_4902 = or(_T_4901, _T_4899) @[Mux.scala 27:72] wire _T_4903 : UInt<1> @[Mux.scala 27:72] _T_4903 <= _T_4902 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 608:48] - node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 609:104] - node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 609:93] - node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 609:119] - node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 609:108] - node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 609:104] - node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 609:93] - node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 609:119] - node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 609:108] - node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 609:104] - node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 609:93] - node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 609:119] - node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 609:108] - node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 609:104] - node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 609:93] - node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 609:119] - node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 609:108] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 609:48] + node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 610:82] + node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 610:104] + node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 610:93] + node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 610:119] + node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 610:108] + node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 610:82] + node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 610:104] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 610:93] + node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 610:119] + node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 610:108] + node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 610:82] + node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 610:104] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 610:93] + node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 610:119] + node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 610:108] + node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 610:82] + node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 610:104] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 610:93] + node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 610:119] + node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 610:108] node _T_4924 = mux(_T_4908, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4925 = mux(_T_4913, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4926 = mux(_T_4918, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -6460,45 +6460,45 @@ circuit lsu_bus_buffer : node _T_4930 = or(_T_4929, _T_4927) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4930 @[Mux.scala 27:72] - node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 611:97] - node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 611:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 611:47] - node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 612:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 612:47] - node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 618:59] - node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 618:104] - node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 618:82] - node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 618:149] - node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 618:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 618:35] - node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 619:60] - node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 619:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 619:41] - node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 620:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 620:36] - node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:61] - node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 622:59] - node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:107] - node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 622:105] - node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 622:83] - node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:153] - node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 622:151] - node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 622:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 622:35] - reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:49] - _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 624:49] - WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 624:12] - reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:49] - _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 625:49] - WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 625:12] - node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:75] - node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 626:73] - node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:89] - node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 626:87] - reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 626:56] - _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 626:56] - io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 626:19] - reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 627:66] - _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 627:66] - lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 627:29] + node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:97] + node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 612:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 612:47] + node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 613:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 613:47] + node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 619:59] + node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 619:104] + node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 619:82] + node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 619:149] + node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 619:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 619:35] + node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 620:60] + node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 620:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 620:41] + node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 621:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 621:36] + node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 623:61] + node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 623:59] + node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 623:107] + node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 623:105] + node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 623:83] + node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 623:153] + node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 623:151] + node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 623:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 623:35] + reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:49] + _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 625:49] + WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 625:12] + reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 626:49] + _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 626:49] + WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 626:12] + node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 627:75] + node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 627:73] + node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 627:89] + node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 627:87] + reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 627:56] + _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 627:56] + io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 627:19] + reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 628:66] + _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 628:66] + lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 628:29] diff --git a/lsu_bus_buffer.v b/lsu_bus_buffer.v index ca3a6d24..fb6c2406 100644 --- a/lsu_bus_buffer.v +++ b/lsu_bus_buffer.v @@ -355,24 +355,24 @@ module lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 144:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 510:60] - wire _T_2590 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 416:93] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 511:60] + wire _T_2590 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 417:93] wire _T_4104 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4127 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4131 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1781; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 356:13] - wire _T_4138 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 461:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 357:13] + wire _T_4138 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 462:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 461:104] - wire _T_4139 = _GEN_368 == 3'h3; // @[lsu_bus_buffer.scala 461:104] - wire _T_4140 = obuf_merge & _T_4139; // @[lsu_bus_buffer.scala 461:91] - wire _T_4141 = _T_4138 | _T_4140; // @[lsu_bus_buffer.scala 461:77] + wire [2:0] _GEN_368 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 462:104] + wire _T_4139 = _GEN_368 == 3'h3; // @[lsu_bus_buffer.scala 462:104] + wire _T_4140 = obuf_merge & _T_4139; // @[lsu_bus_buffer.scala 462:91] + wire _T_4141 = _T_4138 | _T_4140; // @[lsu_bus_buffer.scala 462:77] reg obuf_valid; // @[lsu_bus_buffer.scala 350:54] - wire _T_4142 = _T_4141 & obuf_valid; // @[lsu_bus_buffer.scala 461:135] + wire _T_4142 = _T_4141 & obuf_valid; // @[lsu_bus_buffer.scala 462:135] reg obuf_wr_enQ; // @[Reg.scala 27:20] - wire _T_4143 = _T_4142 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 461:148] + wire _T_4143 = _T_4142 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 462:148] wire _T_4165 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4250 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4268 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] @@ -380,21 +380,21 @@ module lsu_bus_buffer( wire _GEN_290 = _T_4131 & _T_4143; // @[Conditional.scala 39:67] wire _GEN_303 = _T_4127 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_303; // @[Conditional.scala 40:58] - wire _T_2591 = _T_2590 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 416:103] - wire _T_2592 = ~_T_2591; // @[lsu_bus_buffer.scala 416:78] - wire _T_2593 = buf_ageQ_3[3] & _T_2592; // @[lsu_bus_buffer.scala 416:76] - wire _T_2594 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 416:140] - wire _T_2595 = _T_2593 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2583 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 416:93] + wire _T_2591 = _T_2590 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 417:103] + wire _T_2592 = ~_T_2591; // @[lsu_bus_buffer.scala 417:78] + wire _T_2593 = buf_ageQ_3[3] & _T_2592; // @[lsu_bus_buffer.scala 417:76] + wire _T_2594 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 417:140] + wire _T_2595 = _T_2593 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2583 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 417:93] wire _T_3913 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3936 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3940 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3947 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 461:48] - wire _T_3948 = _GEN_368 == 3'h2; // @[lsu_bus_buffer.scala 461:104] - wire _T_3949 = obuf_merge & _T_3948; // @[lsu_bus_buffer.scala 461:91] - wire _T_3950 = _T_3947 | _T_3949; // @[lsu_bus_buffer.scala 461:77] - wire _T_3951 = _T_3950 & obuf_valid; // @[lsu_bus_buffer.scala 461:135] - wire _T_3952 = _T_3951 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 461:148] + wire _T_3947 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 462:48] + wire _T_3948 = _GEN_368 == 3'h2; // @[lsu_bus_buffer.scala 462:104] + wire _T_3949 = obuf_merge & _T_3948; // @[lsu_bus_buffer.scala 462:91] + wire _T_3950 = _T_3947 | _T_3949; // @[lsu_bus_buffer.scala 462:77] + wire _T_3951 = _T_3950 & obuf_valid; // @[lsu_bus_buffer.scala 462:135] + wire _T_3952 = _T_3951 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 462:148] wire _T_3974 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4059 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4077 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] @@ -402,20 +402,20 @@ module lsu_bus_buffer( wire _GEN_213 = _T_3940 & _T_3952; // @[Conditional.scala 39:67] wire _GEN_226 = _T_3936 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_2584 = _T_2583 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 416:103] - wire _T_2585 = ~_T_2584; // @[lsu_bus_buffer.scala 416:78] - wire _T_2586 = buf_ageQ_3[2] & _T_2585; // @[lsu_bus_buffer.scala 416:76] - wire _T_2588 = _T_2586 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2576 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 416:93] + wire _T_2584 = _T_2583 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 417:103] + wire _T_2585 = ~_T_2584; // @[lsu_bus_buffer.scala 417:78] + wire _T_2586 = buf_ageQ_3[2] & _T_2585; // @[lsu_bus_buffer.scala 417:76] + wire _T_2588 = _T_2586 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2576 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 417:93] wire _T_3722 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3745 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3749 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3756 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 461:48] - wire _T_3757 = _GEN_368 == 3'h1; // @[lsu_bus_buffer.scala 461:104] - wire _T_3758 = obuf_merge & _T_3757; // @[lsu_bus_buffer.scala 461:91] - wire _T_3759 = _T_3756 | _T_3758; // @[lsu_bus_buffer.scala 461:77] - wire _T_3760 = _T_3759 & obuf_valid; // @[lsu_bus_buffer.scala 461:135] - wire _T_3761 = _T_3760 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 461:148] + wire _T_3756 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 462:48] + wire _T_3757 = _GEN_368 == 3'h1; // @[lsu_bus_buffer.scala 462:104] + wire _T_3758 = obuf_merge & _T_3757; // @[lsu_bus_buffer.scala 462:91] + wire _T_3759 = _T_3756 | _T_3758; // @[lsu_bus_buffer.scala 462:77] + wire _T_3760 = _T_3759 & obuf_valid; // @[lsu_bus_buffer.scala 462:135] + wire _T_3761 = _T_3760 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 462:148] wire _T_3783 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3868 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3886 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] @@ -423,20 +423,20 @@ module lsu_bus_buffer( wire _GEN_136 = _T_3749 & _T_3761; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3745 ? 1'h0 : _GEN_136; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_2577 = _T_2576 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 416:103] - wire _T_2578 = ~_T_2577; // @[lsu_bus_buffer.scala 416:78] - wire _T_2579 = buf_ageQ_3[1] & _T_2578; // @[lsu_bus_buffer.scala 416:76] - wire _T_2581 = _T_2579 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2569 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 416:93] + wire _T_2577 = _T_2576 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 417:103] + wire _T_2578 = ~_T_2577; // @[lsu_bus_buffer.scala 417:78] + wire _T_2579 = buf_ageQ_3[1] & _T_2578; // @[lsu_bus_buffer.scala 417:76] + wire _T_2581 = _T_2579 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2569 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 417:93] wire _T_3531 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3554 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3558 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3565 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 461:48] - wire _T_3566 = _GEN_368 == 3'h0; // @[lsu_bus_buffer.scala 461:104] - wire _T_3567 = obuf_merge & _T_3566; // @[lsu_bus_buffer.scala 461:91] - wire _T_3568 = _T_3565 | _T_3567; // @[lsu_bus_buffer.scala 461:77] - wire _T_3569 = _T_3568 & obuf_valid; // @[lsu_bus_buffer.scala 461:135] - wire _T_3570 = _T_3569 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 461:148] + wire _T_3565 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 462:48] + wire _T_3566 = _GEN_368 == 3'h0; // @[lsu_bus_buffer.scala 462:104] + wire _T_3567 = obuf_merge & _T_3566; // @[lsu_bus_buffer.scala 462:91] + wire _T_3568 = _T_3565 | _T_3567; // @[lsu_bus_buffer.scala 462:77] + wire _T_3569 = _T_3568 & obuf_valid; // @[lsu_bus_buffer.scala 462:135] + wire _T_3570 = _T_3569 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 462:148] wire _T_3592 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3677 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3695 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] @@ -444,17 +444,17 @@ module lsu_bus_buffer( wire _GEN_59 = _T_3558 & _T_3570; // @[Conditional.scala 39:67] wire _GEN_72 = _T_3554 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_72; // @[Conditional.scala 40:58] - wire _T_2570 = _T_2569 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 416:103] - wire _T_2571 = ~_T_2570; // @[lsu_bus_buffer.scala 416:78] - wire _T_2572 = buf_ageQ_3[0] & _T_2571; // @[lsu_bus_buffer.scala 416:76] - wire _T_2574 = _T_2572 & _T_2594; // @[lsu_bus_buffer.scala 416:138] + wire _T_2570 = _T_2569 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 417:103] + wire _T_2571 = ~_T_2570; // @[lsu_bus_buffer.scala 417:78] + wire _T_2572 = buf_ageQ_3[0] & _T_2571; // @[lsu_bus_buffer.scala 417:76] + wire _T_2574 = _T_2572 & _T_2594; // @[lsu_bus_buffer.scala 417:138] wire [3:0] buf_age_3 = {_T_2595,_T_2588,_T_2581,_T_2574}; // @[Cat.scala 29:58] - wire _T_2694 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2696 = _T_2694 & _T_19; // @[lsu_bus_buffer.scala 417:104] - wire _T_2688 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2690 = _T_2688 & _T_12; // @[lsu_bus_buffer.scala 417:104] - wire _T_2682 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2684 = _T_2682 & _T_5; // @[lsu_bus_buffer.scala 417:104] + wire _T_2694 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2696 = _T_2694 & _T_19; // @[lsu_bus_buffer.scala 418:104] + wire _T_2688 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2690 = _T_2688 & _T_12; // @[lsu_bus_buffer.scala 418:104] + wire _T_2682 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2684 = _T_2682 & _T_5; // @[lsu_bus_buffer.scala 418:104] wire [3:0] buf_age_younger_3 = {1'h0,_T_2696,_T_2690,_T_2684}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 149:144] @@ -473,66 +473,66 @@ module lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 160:69] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 149:150] wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 510:60] - wire _T_2562 = buf_ageQ_2[3] & _T_2592; // @[lsu_bus_buffer.scala 416:76] - wire _T_2564 = _T_2562 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2555 = buf_ageQ_2[2] & _T_2585; // @[lsu_bus_buffer.scala 416:76] - wire _T_2557 = _T_2555 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2548 = buf_ageQ_2[1] & _T_2578; // @[lsu_bus_buffer.scala 416:76] - wire _T_2550 = _T_2548 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2541 = buf_ageQ_2[0] & _T_2571; // @[lsu_bus_buffer.scala 416:76] - wire _T_2543 = _T_2541 & _T_2594; // @[lsu_bus_buffer.scala 416:138] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 511:60] + wire _T_2562 = buf_ageQ_2[3] & _T_2592; // @[lsu_bus_buffer.scala 417:76] + wire _T_2564 = _T_2562 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2555 = buf_ageQ_2[2] & _T_2585; // @[lsu_bus_buffer.scala 417:76] + wire _T_2557 = _T_2555 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2548 = buf_ageQ_2[1] & _T_2578; // @[lsu_bus_buffer.scala 417:76] + wire _T_2550 = _T_2548 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2541 = buf_ageQ_2[0] & _T_2571; // @[lsu_bus_buffer.scala 417:76] + wire _T_2543 = _T_2541 & _T_2594; // @[lsu_bus_buffer.scala 417:138] wire [3:0] buf_age_2 = {_T_2564,_T_2557,_T_2550,_T_2543}; // @[Cat.scala 29:58] - wire _T_2673 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2675 = _T_2673 & _T_26; // @[lsu_bus_buffer.scala 417:104] - wire _T_2661 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2663 = _T_2661 & _T_12; // @[lsu_bus_buffer.scala 417:104] - wire _T_2655 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2657 = _T_2655 & _T_5; // @[lsu_bus_buffer.scala 417:104] + wire _T_2673 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2675 = _T_2673 & _T_26; // @[lsu_bus_buffer.scala 418:104] + wire _T_2661 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2663 = _T_2661 & _T_12; // @[lsu_bus_buffer.scala 418:104] + wire _T_2655 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2657 = _T_2655 & _T_5; // @[lsu_bus_buffer.scala 418:104] wire [3:0] buf_age_younger_2 = {_T_2675,1'h0,_T_2663,_T_2657}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 149:144] wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 149:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 149:97] wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 510:60] - wire _T_2531 = buf_ageQ_1[3] & _T_2592; // @[lsu_bus_buffer.scala 416:76] - wire _T_2533 = _T_2531 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2524 = buf_ageQ_1[2] & _T_2585; // @[lsu_bus_buffer.scala 416:76] - wire _T_2526 = _T_2524 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2517 = buf_ageQ_1[1] & _T_2578; // @[lsu_bus_buffer.scala 416:76] - wire _T_2519 = _T_2517 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2510 = buf_ageQ_1[0] & _T_2571; // @[lsu_bus_buffer.scala 416:76] - wire _T_2512 = _T_2510 & _T_2594; // @[lsu_bus_buffer.scala 416:138] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 511:60] + wire _T_2531 = buf_ageQ_1[3] & _T_2592; // @[lsu_bus_buffer.scala 417:76] + wire _T_2533 = _T_2531 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2524 = buf_ageQ_1[2] & _T_2585; // @[lsu_bus_buffer.scala 417:76] + wire _T_2526 = _T_2524 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2517 = buf_ageQ_1[1] & _T_2578; // @[lsu_bus_buffer.scala 417:76] + wire _T_2519 = _T_2517 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2510 = buf_ageQ_1[0] & _T_2571; // @[lsu_bus_buffer.scala 417:76] + wire _T_2512 = _T_2510 & _T_2594; // @[lsu_bus_buffer.scala 417:138] wire [3:0] buf_age_1 = {_T_2533,_T_2526,_T_2519,_T_2512}; // @[Cat.scala 29:58] - wire _T_2646 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2648 = _T_2646 & _T_26; // @[lsu_bus_buffer.scala 417:104] - wire _T_2640 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2642 = _T_2640 & _T_19; // @[lsu_bus_buffer.scala 417:104] - wire _T_2628 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2630 = _T_2628 & _T_5; // @[lsu_bus_buffer.scala 417:104] + wire _T_2646 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2648 = _T_2646 & _T_26; // @[lsu_bus_buffer.scala 418:104] + wire _T_2640 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2642 = _T_2640 & _T_19; // @[lsu_bus_buffer.scala 418:104] + wire _T_2628 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2630 = _T_2628 & _T_5; // @[lsu_bus_buffer.scala 418:104] wire [3:0] buf_age_younger_1 = {_T_2648,_T_2642,1'h0,_T_2630}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 149:144] wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 149:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 149:97] wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 510:60] - wire _T_2500 = buf_ageQ_0[3] & _T_2592; // @[lsu_bus_buffer.scala 416:76] - wire _T_2502 = _T_2500 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2493 = buf_ageQ_0[2] & _T_2585; // @[lsu_bus_buffer.scala 416:76] - wire _T_2495 = _T_2493 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2486 = buf_ageQ_0[1] & _T_2578; // @[lsu_bus_buffer.scala 416:76] - wire _T_2488 = _T_2486 & _T_2594; // @[lsu_bus_buffer.scala 416:138] - wire _T_2479 = buf_ageQ_0[0] & _T_2571; // @[lsu_bus_buffer.scala 416:76] - wire _T_2481 = _T_2479 & _T_2594; // @[lsu_bus_buffer.scala 416:138] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 511:60] + wire _T_2500 = buf_ageQ_0[3] & _T_2592; // @[lsu_bus_buffer.scala 417:76] + wire _T_2502 = _T_2500 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2493 = buf_ageQ_0[2] & _T_2585; // @[lsu_bus_buffer.scala 417:76] + wire _T_2495 = _T_2493 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2486 = buf_ageQ_0[1] & _T_2578; // @[lsu_bus_buffer.scala 417:76] + wire _T_2488 = _T_2486 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2479 = buf_ageQ_0[0] & _T_2571; // @[lsu_bus_buffer.scala 417:76] + wire _T_2481 = _T_2479 & _T_2594; // @[lsu_bus_buffer.scala 417:138] wire [3:0] buf_age_0 = {_T_2502,_T_2495,_T_2488,_T_2481}; // @[Cat.scala 29:58] - wire _T_2619 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2621 = _T_2619 & _T_26; // @[lsu_bus_buffer.scala 417:104] - wire _T_2613 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2615 = _T_2613 & _T_19; // @[lsu_bus_buffer.scala 417:104] - wire _T_2607 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 417:89] - wire _T_2609 = _T_2607 & _T_12; // @[lsu_bus_buffer.scala 417:104] + wire _T_2619 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2621 = _T_2619 & _T_26; // @[lsu_bus_buffer.scala 418:104] + wire _T_2613 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2615 = _T_2613 & _T_19; // @[lsu_bus_buffer.scala 418:104] + wire _T_2607 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 418:89] + wire _T_2609 = _T_2607 & _T_12; // @[lsu_bus_buffer.scala 418:104] wire [3:0] buf_age_younger_0 = {_T_2621,_T_2615,_T_2609,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 149:144] @@ -980,8 +980,8 @@ module lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 219:32] wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 213:34] wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 213:49] - reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 625:49] - reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 624:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 626:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 625:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 229:77] @@ -1024,69 +1024,69 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 531:64] - wire _T_4442 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 531:91] - wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 531:89] - wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 531:64] - wire _T_4437 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 531:91] - wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 531:89] - wire [1:0] _T_4444 = _T_4443 + _T_4438; // @[lsu_bus_buffer.scala 531:142] - wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 531:64] - wire _T_4432 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 531:91] - wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 531:89] - wire [1:0] _GEN_372 = {{1'd0}, _T_4433}; // @[lsu_bus_buffer.scala 531:142] - wire [2:0] _T_4445 = _T_4444 + _GEN_372; // @[lsu_bus_buffer.scala 531:142] - wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 531:64] - wire _T_4427 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 531:91] - wire _T_4428 = _T_4426 & _T_4427; // @[lsu_bus_buffer.scala 531:89] - wire [2:0] _GEN_373 = {{2'd0}, _T_4428}; // @[lsu_bus_buffer.scala 531:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_373; // @[lsu_bus_buffer.scala 531:142] + wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 532:64] + wire _T_4442 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 532:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 532:89] + wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 532:64] + wire _T_4437 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 532:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 532:89] + wire [1:0] _T_4444 = _T_4443 + _T_4438; // @[lsu_bus_buffer.scala 532:142] + wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 532:64] + wire _T_4432 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 532:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 532:89] + wire [1:0] _GEN_372 = {{1'd0}, _T_4433}; // @[lsu_bus_buffer.scala 532:142] + wire [2:0] _T_4445 = _T_4444 + _GEN_372; // @[lsu_bus_buffer.scala 532:142] + wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 532:64] + wire _T_4427 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 532:91] + wire _T_4428 = _T_4426 & _T_4427; // @[lsu_bus_buffer.scala 532:89] + wire [2:0] _GEN_373 = {{2'd0}, _T_4428}; // @[lsu_bus_buffer.scala 532:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_373; // @[lsu_bus_buffer.scala 532:142] wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] - wire _T_4458 = _T_2590 & _T_4442; // @[lsu_bus_buffer.scala 532:73] - wire _T_4455 = _T_2583 & _T_4437; // @[lsu_bus_buffer.scala 532:73] - wire [1:0] _T_4459 = _T_4458 + _T_4455; // @[lsu_bus_buffer.scala 532:126] - wire _T_4452 = _T_2576 & _T_4432; // @[lsu_bus_buffer.scala 532:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4452}; // @[lsu_bus_buffer.scala 532:126] - wire [2:0] _T_4460 = _T_4459 + _GEN_374; // @[lsu_bus_buffer.scala 532:126] - wire _T_4449 = _T_2569 & _T_4427; // @[lsu_bus_buffer.scala 532:73] - wire [2:0] _GEN_375 = {{2'd0}, _T_4449}; // @[lsu_bus_buffer.scala 532:126] - wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_375; // @[lsu_bus_buffer.scala 532:126] + wire _T_4458 = _T_2590 & _T_4442; // @[lsu_bus_buffer.scala 533:73] + wire _T_4455 = _T_2583 & _T_4437; // @[lsu_bus_buffer.scala 533:73] + wire [1:0] _T_4459 = _T_4458 + _T_4455; // @[lsu_bus_buffer.scala 533:126] + wire _T_4452 = _T_2576 & _T_4432; // @[lsu_bus_buffer.scala 533:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4452}; // @[lsu_bus_buffer.scala 533:126] + wire [2:0] _T_4460 = _T_4459 + _GEN_374; // @[lsu_bus_buffer.scala 533:126] + wire _T_4449 = _T_2569 & _T_4427; // @[lsu_bus_buffer.scala 533:73] + wire [2:0] _GEN_375 = {{2'd0}, _T_4449}; // @[lsu_bus_buffer.scala 533:126] + wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_375; // @[lsu_bus_buffer.scala 533:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] reg _T_1791; // @[Reg.scala 27:20] - wire [2:0] obuf_wr_timer = {{2'd0}, _T_1791}; // @[lsu_bus_buffer.scala 365:17] + wire [2:0] obuf_wr_timer = {{2'd0}, _T_1791}; // @[lsu_bus_buffer.scala 366:17] wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 266:97] wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 266:80] wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 266:114] - wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 382:58] - wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 382:45] - wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 382:63] - wire _T_1923 = _T_1921 & _T_4442; // @[lsu_bus_buffer.scala 382:88] - wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 382:58] - wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 382:45] - wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 382:63] - wire _T_1917 = _T_1915 & _T_4437; // @[lsu_bus_buffer.scala 382:88] - wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 382:58] - wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 382:45] - wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 382:63] - wire _T_1911 = _T_1909 & _T_4432; // @[lsu_bus_buffer.scala 382:88] - wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 382:58] - wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 382:45] - wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 382:63] - wire _T_1905 = _T_1903 & _T_4427; // @[lsu_bus_buffer.scala 382:88] + wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 383:58] + wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 383:45] + wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 383:63] + wire _T_1923 = _T_1921 & _T_4442; // @[lsu_bus_buffer.scala 383:88] + wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 383:58] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 383:45] + wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 383:63] + wire _T_1917 = _T_1915 & _T_4437; // @[lsu_bus_buffer.scala 383:88] + wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 383:58] + wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 383:45] + wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 383:63] + wire _T_1911 = _T_1909 & _T_4432; // @[lsu_bus_buffer.scala 383:88] + wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 383:58] + wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 383:45] + wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 383:63] + wire _T_1905 = _T_1903 & _T_4427; // @[lsu_bus_buffer.scala 383:88] wire [3:0] CmdPtr0Dec = {_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire [7:0] _T_1993 = {4'h0,_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] - wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 390:42] - wire _T_1998 = _T_1996 | _T_1993[6]; // @[lsu_bus_buffer.scala 390:48] - wire _T_2000 = _T_1998 | _T_1993[7]; // @[lsu_bus_buffer.scala 390:54] - wire _T_2003 = _T_1993[2] | _T_1993[3]; // @[lsu_bus_buffer.scala 390:67] - wire _T_2005 = _T_2003 | _T_1993[6]; // @[lsu_bus_buffer.scala 390:73] - wire _T_2007 = _T_2005 | _T_1993[7]; // @[lsu_bus_buffer.scala 390:79] - wire _T_2010 = _T_1993[1] | _T_1993[3]; // @[lsu_bus_buffer.scala 390:92] - wire _T_2012 = _T_2010 | _T_1993[5]; // @[lsu_bus_buffer.scala 390:98] - wire _T_2014 = _T_2012 | _T_1993[7]; // @[lsu_bus_buffer.scala 390:104] + wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 391:42] + wire _T_1998 = _T_1996 | _T_1993[6]; // @[lsu_bus_buffer.scala 391:48] + wire _T_2000 = _T_1998 | _T_1993[7]; // @[lsu_bus_buffer.scala 391:54] + wire _T_2003 = _T_1993[2] | _T_1993[3]; // @[lsu_bus_buffer.scala 391:67] + wire _T_2005 = _T_2003 | _T_1993[6]; // @[lsu_bus_buffer.scala 391:73] + wire _T_2007 = _T_2005 | _T_1993[7]; // @[lsu_bus_buffer.scala 391:79] + wire _T_2010 = _T_1993[1] | _T_1993[3]; // @[lsu_bus_buffer.scala 391:92] + wire _T_2012 = _T_2010 | _T_1993[5]; // @[lsu_bus_buffer.scala 391:98] + wire _T_2014 = _T_2012 | _T_1993[7]; // @[lsu_bus_buffer.scala 391:104] wire [2:0] _T_2016 = {_T_2000,_T_2007,_T_2014}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2016[1:0]; // @[lsu_bus_buffer.scala 395:11] + wire [1:0] CmdPtr0 = _T_2016[1:0]; // @[lsu_bus_buffer.scala 396:11] wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 267:114] wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 267:114] wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 267:114] @@ -1131,44 +1131,44 @@ module lsu_bus_buffer( wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] - wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4481 = _T_4477 | _T_4458; // @[lsu_bus_buffer.scala 533:74] - wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4476 = _T_4472 | _T_4455; // @[lsu_bus_buffer.scala 533:74] - wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 533:154] - wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4471 = _T_4467 | _T_4452; // @[lsu_bus_buffer.scala 533:74] - wire [1:0] _GEN_376 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 533:154] - wire [2:0] _T_4483 = _T_4482 + _GEN_376; // @[lsu_bus_buffer.scala 533:154] - wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4466 = _T_4462 | _T_4449; // @[lsu_bus_buffer.scala 533:74] - wire [2:0] _GEN_377 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 533:154] - wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_377; // @[lsu_bus_buffer.scala 533:154] + wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 534:63] + wire _T_4481 = _T_4477 | _T_4458; // @[lsu_bus_buffer.scala 534:74] + wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 534:63] + wire _T_4476 = _T_4472 | _T_4455; // @[lsu_bus_buffer.scala 534:74] + wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 534:154] + wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 534:63] + wire _T_4471 = _T_4467 | _T_4452; // @[lsu_bus_buffer.scala 534:74] + wire [1:0] _GEN_376 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 534:154] + wire [2:0] _T_4483 = _T_4482 + _GEN_376; // @[lsu_bus_buffer.scala 534:154] + wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 534:63] + wire _T_4466 = _T_4462 | _T_4449; // @[lsu_bus_buffer.scala 534:74] + wire [2:0] _GEN_377 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 534:154] + wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_377; // @[lsu_bus_buffer.scala 534:154] wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 288:32] - wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 561:73] - wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 561:73] - wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 561:153] - wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 561:73] - wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 561:153] - wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 561:73] - wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4769 = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 561:153] + wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 562:62] + wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 562:73] + wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 562:93] + wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 562:62] + wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 562:73] + wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 562:93] + wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 562:153] + wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 562:62] + wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 562:73] + wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 562:93] + wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 562:153] + wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 562:62] + wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 562:73] + wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 562:93] + wire _T_4769 = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 562:153] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_4770 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 561:171] - wire _T_4771 = _T_4770 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:189] - wire bus_sideeffect_pend = _T_4769 | _T_4771; // @[lsu_bus_buffer.scala 561:157] + wire _T_4770 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 562:171] + wire _T_4771 = _T_4770 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 562:189] + wire bus_sideeffect_pend = _T_4769 | _T_4771; // @[lsu_bus_buffer.scala 562:157] wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 288:74] wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 288:52] wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 288:50] @@ -1180,7 +1180,7 @@ module lsu_bus_buffer( wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 289:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 387:31] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 388:31] wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 289:47] wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] @@ -1230,37 +1230,37 @@ module lsu_bus_buffer( wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 291:150] wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 291:148] wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 291:8] - wire [3:0] _T_1959 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 383:62] - wire [3:0] _T_1960 = buf_age_3 & _T_1959; // @[lsu_bus_buffer.scala 383:59] - wire _T_1961 = |_T_1960; // @[lsu_bus_buffer.scala 383:76] - wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 383:45] - wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 383:83] - wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 383:81] - wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 383:98] - wire _T_1969 = _T_1967 & _T_4442; // @[lsu_bus_buffer.scala 383:123] - wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 383:59] - wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 383:76] - wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 383:45] - wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 383:83] - wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 383:81] - wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 383:98] - wire _T_1958 = _T_1956 & _T_4437; // @[lsu_bus_buffer.scala 383:123] - wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 383:59] - wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 383:76] - wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 383:45] - wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 383:83] - wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 383:81] - wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 383:98] - wire _T_1947 = _T_1945 & _T_4432; // @[lsu_bus_buffer.scala 383:123] - wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 383:59] - wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 383:76] - wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 383:45] - wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 383:83] - wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 383:81] - wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 383:98] - wire _T_1936 = _T_1934 & _T_4427; // @[lsu_bus_buffer.scala 383:123] + wire [3:0] _T_1959 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 384:62] + wire [3:0] _T_1960 = buf_age_3 & _T_1959; // @[lsu_bus_buffer.scala 384:59] + wire _T_1961 = |_T_1960; // @[lsu_bus_buffer.scala 384:76] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 384:45] + wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 384:83] + wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 384:81] + wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 384:98] + wire _T_1969 = _T_1967 & _T_4442; // @[lsu_bus_buffer.scala 384:123] + wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 384:59] + wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 384:76] + wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 384:45] + wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 384:83] + wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 384:81] + wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 384:98] + wire _T_1958 = _T_1956 & _T_4437; // @[lsu_bus_buffer.scala 384:123] + wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 384:59] + wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 384:76] + wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 384:45] + wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 384:83] + wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 384:81] + wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 384:98] + wire _T_1947 = _T_1945 & _T_4432; // @[lsu_bus_buffer.scala 384:123] + wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 384:59] + wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 384:76] + wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 384:45] + wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 384:83] + wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 384:81] + wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 384:98] + wire _T_1936 = _T_1934 & _T_4427; // @[lsu_bus_buffer.scala 384:123] wire [3:0] CmdPtr1Dec = {_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 388:31] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 389:31] wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 291:181] wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] @@ -1277,11 +1277,11 @@ module lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[Reg.scala 27:20] reg obuf_data_done; // @[Reg.scala 27:20] - wire _T_4825 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 565:54] - wire _T_4826 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 565:75] - wire _T_4827 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:153] - wire _T_4828 = _T_4825 ? _T_4826 : _T_4827; // @[lsu_bus_buffer.scala 565:39] - wire bus_cmd_ready = obuf_write ? _T_4828 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 565:23] + wire _T_4825 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 566:54] + wire _T_4826 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 566:75] + wire _T_4827 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 566:153] + wire _T_4828 = _T_4825 ? _T_4826 : _T_4827; // @[lsu_bus_buffer.scala 566:39] + wire bus_cmd_ready = obuf_write ? _T_4828 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 566:23] wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 292:48] wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 292:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1290,52 +1290,52 @@ module lsu_bus_buffer( wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 292:77] wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 292:75] reg [31:0] obuf_addr; // @[lib.scala 397:16] - wire _T_4776 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 563:19] - wire _T_4779 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 563:107] - wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 563:95] - wire _T_4781 = _T_3565 | _T_4780; // @[lsu_bus_buffer.scala 563:81] - wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 563:61] - wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 563:59] + wire _T_4776 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 564:37] + wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 564:19] + wire _T_4779 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 564:107] + wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 564:95] + wire _T_4781 = _T_3565 | _T_4780; // @[lsu_bus_buffer.scala 564:81] + wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 564:61] + wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 564:59] wire _T_4817 = _T_4751 & _T_4783; // @[Mux.scala 27:72] - wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 563:19] - wire _T_4790 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 563:107] - wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 563:95] - wire _T_4792 = _T_3756 | _T_4791; // @[lsu_bus_buffer.scala 563:81] - wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 563:61] - wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 563:59] + wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 564:37] + wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 564:19] + wire _T_4790 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 564:107] + wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 564:95] + wire _T_4792 = _T_3756 | _T_4791; // @[lsu_bus_buffer.scala 564:81] + wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 564:61] + wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 564:59] wire _T_4818 = _T_4755 & _T_4794; // @[Mux.scala 27:72] wire _T_4821 = _T_4817 | _T_4818; // @[Mux.scala 27:72] - wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 563:19] - wire _T_4801 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 563:107] - wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 563:95] - wire _T_4803 = _T_3947 | _T_4802; // @[lsu_bus_buffer.scala 563:81] - wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 563:61] - wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 563:59] + wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 564:37] + wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 564:19] + wire _T_4801 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 564:107] + wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 564:95] + wire _T_4803 = _T_3947 | _T_4802; // @[lsu_bus_buffer.scala 564:81] + wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 564:61] + wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 564:59] wire _T_4819 = _T_4759 & _T_4805; // @[Mux.scala 27:72] wire _T_4822 = _T_4821 | _T_4819; // @[Mux.scala 27:72] - wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 563:19] - wire _T_4812 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 563:107] - wire _T_4813 = obuf_merge & _T_4812; // @[lsu_bus_buffer.scala 563:95] - wire _T_4814 = _T_4138 | _T_4813; // @[lsu_bus_buffer.scala 563:81] - wire _T_4815 = ~_T_4814; // @[lsu_bus_buffer.scala 563:61] - wire _T_4816 = _T_4810 & _T_4815; // @[lsu_bus_buffer.scala 563:59] + wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 564:37] + wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 564:19] + wire _T_4812 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 564:107] + wire _T_4813 = obuf_merge & _T_4812; // @[lsu_bus_buffer.scala 564:95] + wire _T_4814 = _T_4138 | _T_4813; // @[lsu_bus_buffer.scala 564:81] + wire _T_4815 = ~_T_4814; // @[lsu_bus_buffer.scala 564:61] + wire _T_4816 = _T_4810 & _T_4815; // @[lsu_bus_buffer.scala 564:59] wire _T_4820 = _T_4763 & _T_4816; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4822 | _T_4820; // @[Mux.scala 27:72] wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 292:94] wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 292:92] wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 292:118] wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 295:47] - wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 566:40] - wire _T_4832 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 568:35] - wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 567:40] - wire _T_4833 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 568:70] - wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 568:52] - wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 568:112] - wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 568:89] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 567:40] + wire _T_4832 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 569:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 568:40] + wire _T_4833 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 569:70] + wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 569:52] + wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 569:112] + wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 569:89] wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 295:33] wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 295:65] wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 295:63] @@ -1363,17 +1363,17 @@ module lsu_bus_buffer( wire [1:0] _T_1300 = _T_1299 | _T_1297; // @[Mux.scala 27:72] wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1300; // @[lsu_bus_buffer.scala 301:23] wire [7:0] _T_2018 = {4'h0,_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] - wire _T_2021 = _T_2018[4] | _T_2018[5]; // @[lsu_bus_buffer.scala 390:42] - wire _T_2023 = _T_2021 | _T_2018[6]; // @[lsu_bus_buffer.scala 390:48] - wire _T_2025 = _T_2023 | _T_2018[7]; // @[lsu_bus_buffer.scala 390:54] - wire _T_2028 = _T_2018[2] | _T_2018[3]; // @[lsu_bus_buffer.scala 390:67] - wire _T_2030 = _T_2028 | _T_2018[6]; // @[lsu_bus_buffer.scala 390:73] - wire _T_2032 = _T_2030 | _T_2018[7]; // @[lsu_bus_buffer.scala 390:79] - wire _T_2035 = _T_2018[1] | _T_2018[3]; // @[lsu_bus_buffer.scala 390:92] - wire _T_2037 = _T_2035 | _T_2018[5]; // @[lsu_bus_buffer.scala 390:98] - wire _T_2039 = _T_2037 | _T_2018[7]; // @[lsu_bus_buffer.scala 390:104] + wire _T_2021 = _T_2018[4] | _T_2018[5]; // @[lsu_bus_buffer.scala 391:42] + wire _T_2023 = _T_2021 | _T_2018[6]; // @[lsu_bus_buffer.scala 391:48] + wire _T_2025 = _T_2023 | _T_2018[7]; // @[lsu_bus_buffer.scala 391:54] + wire _T_2028 = _T_2018[2] | _T_2018[3]; // @[lsu_bus_buffer.scala 391:67] + wire _T_2030 = _T_2028 | _T_2018[6]; // @[lsu_bus_buffer.scala 391:73] + wire _T_2032 = _T_2030 | _T_2018[7]; // @[lsu_bus_buffer.scala 391:79] + wire _T_2035 = _T_2018[1] | _T_2018[3]; // @[lsu_bus_buffer.scala 391:92] + wire _T_2037 = _T_2035 | _T_2018[5]; // @[lsu_bus_buffer.scala 391:98] + wire _T_2039 = _T_2037 | _T_2018[7]; // @[lsu_bus_buffer.scala 391:104] wire [2:0] _T_2041 = {_T_2025,_T_2032,_T_2039}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 397:11] + wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 398:11] wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 309:39] wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 309:26] wire obuf_cmd_done_in = _T_1303 & _T_4832; // @[lsu_bus_buffer.scala 309:51] @@ -1400,7 +1400,7 @@ module lsu_bus_buffer( wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 329:20] wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 329:18] reg obuf_rdrsp_pend; // @[Reg.scala 27:20] - wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 569:38] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 570:38] reg [2:0] obuf_rdrsp_tag; // @[Reg.scala 27:20] wire _T_1349 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 329:90] wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 329:70] @@ -1547,693 +1547,693 @@ module lsu_bus_buffer( wire [55:0] _T_1618 = {_T_1612,_T_1607,_T_1602,_T_1597,_T_1592,_T_1587,_T_1582}; // @[Cat.scala 29:58] wire _T_1771 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 350:58] wire _T_1772 = ~obuf_rst; // @[lsu_bus_buffer.scala 350:93] - wire _T_1780 = io_lsu_bus_obuf_c1_clken & obuf_wr_en; // @[lib.scala 384:57] + wire _T_1780 = io_lsu_bus_obuf_c1_clken & obuf_wr_en; // @[lib.scala 384:54] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[lib.scala 397:16] - wire _T_1792 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 368:65] - wire _T_1793 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 369:30] - wire _T_1794 = ibuf_valid & _T_1793; // @[lsu_bus_buffer.scala 369:19] - wire _T_1795 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 370:18] - wire _T_1796 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 370:57] - wire _T_1797 = io_ldst_dual_r & _T_1796; // @[lsu_bus_buffer.scala 370:45] - wire _T_1798 = _T_1795 | _T_1797; // @[lsu_bus_buffer.scala 370:27] - wire _T_1799 = io_lsu_busreq_r & _T_1798; // @[lsu_bus_buffer.scala 369:58] - wire _T_1800 = _T_1794 | _T_1799; // @[lsu_bus_buffer.scala 369:39] - wire _T_1801 = ~_T_1800; // @[lsu_bus_buffer.scala 369:5] - wire _T_1802 = _T_1792 & _T_1801; // @[lsu_bus_buffer.scala 368:76] - wire _T_1803 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 368:65] - wire _T_1804 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 369:30] - wire _T_1805 = ibuf_valid & _T_1804; // @[lsu_bus_buffer.scala 369:19] - wire _T_1806 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 370:18] - wire _T_1807 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 370:57] - wire _T_1808 = io_ldst_dual_r & _T_1807; // @[lsu_bus_buffer.scala 370:45] - wire _T_1809 = _T_1806 | _T_1808; // @[lsu_bus_buffer.scala 370:27] - wire _T_1810 = io_lsu_busreq_r & _T_1809; // @[lsu_bus_buffer.scala 369:58] - wire _T_1811 = _T_1805 | _T_1810; // @[lsu_bus_buffer.scala 369:39] - wire _T_1812 = ~_T_1811; // @[lsu_bus_buffer.scala 369:5] - wire _T_1813 = _T_1803 & _T_1812; // @[lsu_bus_buffer.scala 368:76] - wire _T_1814 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 368:65] - wire _T_1815 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 369:30] - wire _T_1816 = ibuf_valid & _T_1815; // @[lsu_bus_buffer.scala 369:19] - wire _T_1817 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 370:18] - wire _T_1818 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 370:57] - wire _T_1819 = io_ldst_dual_r & _T_1818; // @[lsu_bus_buffer.scala 370:45] - wire _T_1820 = _T_1817 | _T_1819; // @[lsu_bus_buffer.scala 370:27] - wire _T_1821 = io_lsu_busreq_r & _T_1820; // @[lsu_bus_buffer.scala 369:58] - wire _T_1822 = _T_1816 | _T_1821; // @[lsu_bus_buffer.scala 369:39] - wire _T_1823 = ~_T_1822; // @[lsu_bus_buffer.scala 369:5] - wire _T_1824 = _T_1814 & _T_1823; // @[lsu_bus_buffer.scala 368:76] - wire _T_1825 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 368:65] - wire _T_1826 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 369:30] - wire _T_1828 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 370:18] - wire _T_1829 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 370:57] + wire _T_1792 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 369:65] + wire _T_1793 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 370:30] + wire _T_1794 = ibuf_valid & _T_1793; // @[lsu_bus_buffer.scala 370:19] + wire _T_1795 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 371:18] + wire _T_1796 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 371:57] + wire _T_1797 = io_ldst_dual_r & _T_1796; // @[lsu_bus_buffer.scala 371:45] + wire _T_1798 = _T_1795 | _T_1797; // @[lsu_bus_buffer.scala 371:27] + wire _T_1799 = io_lsu_busreq_r & _T_1798; // @[lsu_bus_buffer.scala 370:58] + wire _T_1800 = _T_1794 | _T_1799; // @[lsu_bus_buffer.scala 370:39] + wire _T_1801 = ~_T_1800; // @[lsu_bus_buffer.scala 370:5] + wire _T_1802 = _T_1792 & _T_1801; // @[lsu_bus_buffer.scala 369:76] + wire _T_1803 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 369:65] + wire _T_1804 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 370:30] + wire _T_1805 = ibuf_valid & _T_1804; // @[lsu_bus_buffer.scala 370:19] + wire _T_1806 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 371:18] + wire _T_1807 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 371:57] + wire _T_1808 = io_ldst_dual_r & _T_1807; // @[lsu_bus_buffer.scala 371:45] + wire _T_1809 = _T_1806 | _T_1808; // @[lsu_bus_buffer.scala 371:27] + wire _T_1810 = io_lsu_busreq_r & _T_1809; // @[lsu_bus_buffer.scala 370:58] + wire _T_1811 = _T_1805 | _T_1810; // @[lsu_bus_buffer.scala 370:39] + wire _T_1812 = ~_T_1811; // @[lsu_bus_buffer.scala 370:5] + wire _T_1813 = _T_1803 & _T_1812; // @[lsu_bus_buffer.scala 369:76] + wire _T_1814 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 369:65] + wire _T_1815 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 370:30] + wire _T_1816 = ibuf_valid & _T_1815; // @[lsu_bus_buffer.scala 370:19] + wire _T_1817 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 371:18] + wire _T_1818 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 371:57] + wire _T_1819 = io_ldst_dual_r & _T_1818; // @[lsu_bus_buffer.scala 371:45] + wire _T_1820 = _T_1817 | _T_1819; // @[lsu_bus_buffer.scala 371:27] + wire _T_1821 = io_lsu_busreq_r & _T_1820; // @[lsu_bus_buffer.scala 370:58] + wire _T_1822 = _T_1816 | _T_1821; // @[lsu_bus_buffer.scala 370:39] + wire _T_1823 = ~_T_1822; // @[lsu_bus_buffer.scala 370:5] + wire _T_1824 = _T_1814 & _T_1823; // @[lsu_bus_buffer.scala 369:76] + wire _T_1825 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 369:65] + wire _T_1826 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 370:30] + wire _T_1828 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 371:18] + wire _T_1829 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 371:57] wire [1:0] _T_1837 = _T_1824 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] wire [1:0] _T_1838 = _T_1813 ? 2'h1 : _T_1837; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1802 ? 2'h0 : _T_1838; // @[Mux.scala 98:16] - wire _T_1843 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 375:33] - wire _T_1844 = io_lsu_busreq_m & _T_1843; // @[lsu_bus_buffer.scala 375:22] - wire _T_1845 = _T_1794 | _T_1844; // @[lsu_bus_buffer.scala 374:112] - wire _T_1851 = _T_1845 | _T_1799; // @[lsu_bus_buffer.scala 375:42] - wire _T_1852 = ~_T_1851; // @[lsu_bus_buffer.scala 374:78] - wire _T_1853 = _T_1792 & _T_1852; // @[lsu_bus_buffer.scala 374:76] - wire _T_1857 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 375:33] - wire _T_1858 = io_lsu_busreq_m & _T_1857; // @[lsu_bus_buffer.scala 375:22] - wire _T_1859 = _T_1805 | _T_1858; // @[lsu_bus_buffer.scala 374:112] - wire _T_1865 = _T_1859 | _T_1810; // @[lsu_bus_buffer.scala 375:42] - wire _T_1866 = ~_T_1865; // @[lsu_bus_buffer.scala 374:78] - wire _T_1867 = _T_1803 & _T_1866; // @[lsu_bus_buffer.scala 374:76] - wire _T_1871 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 375:33] - wire _T_1872 = io_lsu_busreq_m & _T_1871; // @[lsu_bus_buffer.scala 375:22] - wire _T_1873 = _T_1816 | _T_1872; // @[lsu_bus_buffer.scala 374:112] - wire _T_1879 = _T_1873 | _T_1821; // @[lsu_bus_buffer.scala 375:42] - wire _T_1880 = ~_T_1879; // @[lsu_bus_buffer.scala 374:78] - wire _T_1881 = _T_1814 & _T_1880; // @[lsu_bus_buffer.scala 374:76] - reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 511:63] - wire _T_2717 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 418:102] - wire _T_2718 = buf_rspageQ_0[3] & _T_2717; // @[lsu_bus_buffer.scala 418:87] - wire _T_2714 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 418:102] - wire _T_2715 = buf_rspageQ_0[2] & _T_2714; // @[lsu_bus_buffer.scala 418:87] - wire _T_2711 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 418:102] - wire _T_2712 = buf_rspageQ_0[1] & _T_2711; // @[lsu_bus_buffer.scala 418:87] - wire _T_2708 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 418:102] - wire _T_2709 = buf_rspageQ_0[0] & _T_2708; // @[lsu_bus_buffer.scala 418:87] + wire _T_1843 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 376:33] + wire _T_1844 = io_lsu_busreq_m & _T_1843; // @[lsu_bus_buffer.scala 376:22] + wire _T_1845 = _T_1794 | _T_1844; // @[lsu_bus_buffer.scala 375:112] + wire _T_1851 = _T_1845 | _T_1799; // @[lsu_bus_buffer.scala 376:42] + wire _T_1852 = ~_T_1851; // @[lsu_bus_buffer.scala 375:78] + wire _T_1853 = _T_1792 & _T_1852; // @[lsu_bus_buffer.scala 375:76] + wire _T_1857 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 376:33] + wire _T_1858 = io_lsu_busreq_m & _T_1857; // @[lsu_bus_buffer.scala 376:22] + wire _T_1859 = _T_1805 | _T_1858; // @[lsu_bus_buffer.scala 375:112] + wire _T_1865 = _T_1859 | _T_1810; // @[lsu_bus_buffer.scala 376:42] + wire _T_1866 = ~_T_1865; // @[lsu_bus_buffer.scala 375:78] + wire _T_1867 = _T_1803 & _T_1866; // @[lsu_bus_buffer.scala 375:76] + wire _T_1871 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 376:33] + wire _T_1872 = io_lsu_busreq_m & _T_1871; // @[lsu_bus_buffer.scala 376:22] + wire _T_1873 = _T_1816 | _T_1872; // @[lsu_bus_buffer.scala 375:112] + wire _T_1879 = _T_1873 | _T_1821; // @[lsu_bus_buffer.scala 376:42] + wire _T_1880 = ~_T_1879; // @[lsu_bus_buffer.scala 375:78] + wire _T_1881 = _T_1814 & _T_1880; // @[lsu_bus_buffer.scala 375:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 512:63] + wire _T_2717 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 419:102] + wire _T_2718 = buf_rspageQ_0[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] + wire _T_2714 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 419:102] + wire _T_2715 = buf_rspageQ_0[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] + wire _T_2711 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 419:102] + wire _T_2712 = buf_rspageQ_0[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] + wire _T_2708 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 419:102] + wire _T_2709 = buf_rspageQ_0[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] wire [3:0] buf_rsp_pickage_0 = {_T_2718,_T_2715,_T_2712,_T_2709}; // @[Cat.scala 29:58] - wire _T_1972 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 386:65] - wire _T_1973 = ~_T_1972; // @[lsu_bus_buffer.scala 386:44] - wire _T_1975 = _T_1973 & _T_2708; // @[lsu_bus_buffer.scala 386:70] - reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 511:63] - wire _T_2733 = buf_rspageQ_1[3] & _T_2717; // @[lsu_bus_buffer.scala 418:87] - wire _T_2730 = buf_rspageQ_1[2] & _T_2714; // @[lsu_bus_buffer.scala 418:87] - wire _T_2727 = buf_rspageQ_1[1] & _T_2711; // @[lsu_bus_buffer.scala 418:87] - wire _T_2724 = buf_rspageQ_1[0] & _T_2708; // @[lsu_bus_buffer.scala 418:87] + wire _T_1972 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 387:65] + wire _T_1973 = ~_T_1972; // @[lsu_bus_buffer.scala 387:44] + wire _T_1975 = _T_1973 & _T_2708; // @[lsu_bus_buffer.scala 387:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 512:63] + wire _T_2733 = buf_rspageQ_1[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] + wire _T_2730 = buf_rspageQ_1[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] + wire _T_2727 = buf_rspageQ_1[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] + wire _T_2724 = buf_rspageQ_1[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] wire [3:0] buf_rsp_pickage_1 = {_T_2733,_T_2730,_T_2727,_T_2724}; // @[Cat.scala 29:58] - wire _T_1976 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 386:65] - wire _T_1977 = ~_T_1976; // @[lsu_bus_buffer.scala 386:44] - wire _T_1979 = _T_1977 & _T_2711; // @[lsu_bus_buffer.scala 386:70] - reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 511:63] - wire _T_2748 = buf_rspageQ_2[3] & _T_2717; // @[lsu_bus_buffer.scala 418:87] - wire _T_2745 = buf_rspageQ_2[2] & _T_2714; // @[lsu_bus_buffer.scala 418:87] - wire _T_2742 = buf_rspageQ_2[1] & _T_2711; // @[lsu_bus_buffer.scala 418:87] - wire _T_2739 = buf_rspageQ_2[0] & _T_2708; // @[lsu_bus_buffer.scala 418:87] + wire _T_1976 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 387:65] + wire _T_1977 = ~_T_1976; // @[lsu_bus_buffer.scala 387:44] + wire _T_1979 = _T_1977 & _T_2711; // @[lsu_bus_buffer.scala 387:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 512:63] + wire _T_2748 = buf_rspageQ_2[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] + wire _T_2745 = buf_rspageQ_2[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] + wire _T_2742 = buf_rspageQ_2[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] + wire _T_2739 = buf_rspageQ_2[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] wire [3:0] buf_rsp_pickage_2 = {_T_2748,_T_2745,_T_2742,_T_2739}; // @[Cat.scala 29:58] - wire _T_1980 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 386:65] - wire _T_1981 = ~_T_1980; // @[lsu_bus_buffer.scala 386:44] - wire _T_1983 = _T_1981 & _T_2714; // @[lsu_bus_buffer.scala 386:70] - reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 511:63] - wire _T_2763 = buf_rspageQ_3[3] & _T_2717; // @[lsu_bus_buffer.scala 418:87] - wire _T_2760 = buf_rspageQ_3[2] & _T_2714; // @[lsu_bus_buffer.scala 418:87] - wire _T_2757 = buf_rspageQ_3[1] & _T_2711; // @[lsu_bus_buffer.scala 418:87] - wire _T_2754 = buf_rspageQ_3[0] & _T_2708; // @[lsu_bus_buffer.scala 418:87] + wire _T_1980 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 387:65] + wire _T_1981 = ~_T_1980; // @[lsu_bus_buffer.scala 387:44] + wire _T_1983 = _T_1981 & _T_2714; // @[lsu_bus_buffer.scala 387:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 512:63] + wire _T_2763 = buf_rspageQ_3[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] + wire _T_2760 = buf_rspageQ_3[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] + wire _T_2757 = buf_rspageQ_3[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] + wire _T_2754 = buf_rspageQ_3[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] wire [3:0] buf_rsp_pickage_3 = {_T_2763,_T_2760,_T_2757,_T_2754}; // @[Cat.scala 29:58] - wire _T_1984 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 386:65] - wire _T_1985 = ~_T_1984; // @[lsu_bus_buffer.scala 386:44] - wire _T_1987 = _T_1985 & _T_2717; // @[lsu_bus_buffer.scala 386:70] + wire _T_1984 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 387:65] + wire _T_1985 = ~_T_1984; // @[lsu_bus_buffer.scala 387:44] + wire _T_1987 = _T_1985 & _T_2717; // @[lsu_bus_buffer.scala 387:70] wire [7:0] _T_2043 = {4'h0,_T_1987,_T_1983,_T_1979,_T_1975}; // @[Cat.scala 29:58] - wire _T_2046 = _T_2043[4] | _T_2043[5]; // @[lsu_bus_buffer.scala 390:42] - wire _T_2048 = _T_2046 | _T_2043[6]; // @[lsu_bus_buffer.scala 390:48] - wire _T_2050 = _T_2048 | _T_2043[7]; // @[lsu_bus_buffer.scala 390:54] - wire _T_2053 = _T_2043[2] | _T_2043[3]; // @[lsu_bus_buffer.scala 390:67] - wire _T_2055 = _T_2053 | _T_2043[6]; // @[lsu_bus_buffer.scala 390:73] - wire _T_2057 = _T_2055 | _T_2043[7]; // @[lsu_bus_buffer.scala 390:79] - wire _T_2060 = _T_2043[1] | _T_2043[3]; // @[lsu_bus_buffer.scala 390:92] - wire _T_2062 = _T_2060 | _T_2043[5]; // @[lsu_bus_buffer.scala 390:98] - wire _T_2064 = _T_2062 | _T_2043[7]; // @[lsu_bus_buffer.scala 390:104] + wire _T_2046 = _T_2043[4] | _T_2043[5]; // @[lsu_bus_buffer.scala 391:42] + wire _T_2048 = _T_2046 | _T_2043[6]; // @[lsu_bus_buffer.scala 391:48] + wire _T_2050 = _T_2048 | _T_2043[7]; // @[lsu_bus_buffer.scala 391:54] + wire _T_2053 = _T_2043[2] | _T_2043[3]; // @[lsu_bus_buffer.scala 391:67] + wire _T_2055 = _T_2053 | _T_2043[6]; // @[lsu_bus_buffer.scala 391:73] + wire _T_2057 = _T_2055 | _T_2043[7]; // @[lsu_bus_buffer.scala 391:79] + wire _T_2060 = _T_2043[1] | _T_2043[3]; // @[lsu_bus_buffer.scala 391:92] + wire _T_2062 = _T_2060 | _T_2043[5]; // @[lsu_bus_buffer.scala 391:98] + wire _T_2064 = _T_2062 | _T_2043[7]; // @[lsu_bus_buffer.scala 391:104] wire [2:0] _T_2066 = {_T_2050,_T_2057,_T_2064}; // @[Cat.scala 29:58] - wire _T_3535 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 448:77] - wire _T_3536 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 448:97] - wire _T_3537 = _T_3535 & _T_3536; // @[lsu_bus_buffer.scala 448:95] - wire _T_3538 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 448:117] - wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 448:112] - wire _T_3540 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 448:144] - wire _T_3541 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 448:166] - wire _T_3542 = _T_3540 & _T_3541; // @[lsu_bus_buffer.scala 448:161] - wire _T_3543 = _T_3539 | _T_3542; // @[lsu_bus_buffer.scala 448:132] - wire _T_3544 = _T_853 & _T_3543; // @[lsu_bus_buffer.scala 448:63] - wire _T_3545 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 448:206] - wire _T_3546 = ibuf_drain_vld & _T_3545; // @[lsu_bus_buffer.scala 448:201] - wire _T_3547 = _T_3544 | _T_3546; // @[lsu_bus_buffer.scala 448:183] - wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 570:39] - wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 475:73] - wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 475:52] - wire _T_3638 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 476:46] + wire _T_3535 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 449:77] + wire _T_3536 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 449:97] + wire _T_3537 = _T_3535 & _T_3536; // @[lsu_bus_buffer.scala 449:95] + wire _T_3538 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 449:117] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 449:112] + wire _T_3540 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 449:144] + wire _T_3541 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 449:166] + wire _T_3542 = _T_3540 & _T_3541; // @[lsu_bus_buffer.scala 449:161] + wire _T_3543 = _T_3539 | _T_3542; // @[lsu_bus_buffer.scala 449:132] + wire _T_3544 = _T_853 & _T_3543; // @[lsu_bus_buffer.scala 449:63] + wire _T_3545 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 449:206] + wire _T_3546 = ibuf_drain_vld & _T_3545; // @[lsu_bus_buffer.scala 449:201] + wire _T_3547 = _T_3544 | _T_3546; // @[lsu_bus_buffer.scala 449:183] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 571:39] + wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 476:73] + wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 476:52] + wire _T_3638 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 477:46] reg _T_4302; // @[Reg.scala 27:20] reg _T_4300; // @[Reg.scala 27:20] reg _T_4298; // @[Reg.scala 27:20] reg _T_4296; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4302,_T_4300,_T_4298,_T_4296}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_378 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 477:47] - wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_378; // @[lsu_bus_buffer.scala 477:47] - wire _T_3641 = buf_ldfwd[0] & _T_3640; // @[lsu_bus_buffer.scala 477:27] - wire _T_3642 = _T_3638 | _T_3641; // @[lsu_bus_buffer.scala 476:77] - wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 478:26] - wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 478:44] - wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 478:42] - wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 478:58] + wire [2:0] _GEN_378 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 478:47] + wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_378; // @[lsu_bus_buffer.scala 478:47] + wire _T_3641 = buf_ldfwd[0] & _T_3640; // @[lsu_bus_buffer.scala 478:27] + wire _T_3642 = _T_3638 | _T_3641; // @[lsu_bus_buffer.scala 477:77] + wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 479:26] + wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 479:44] + wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 479:42] + wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 479:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_379 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 478:94] - wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 478:94] - wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 478:74] - wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 477:71] - wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 476:25] - wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 475:105] + wire [2:0] _GEN_379 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 479:94] + wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 479:94] + wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 479:74] + wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 478:71] + wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 477:25] + wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 476:105] wire _GEN_49 = _T_3592 & _T_3652; // @[Conditional.scala 39:67] wire _GEN_68 = _T_3558 ? 1'h0 : _GEN_49; // @[Conditional.scala 39:67] wire _GEN_80 = _T_3554 ? 1'h0 : _GEN_68; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_80; // @[Conditional.scala 40:58] - wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 491:21] + wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 492:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 491:58] - wire [1:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_29; // @[lsu_bus_buffer.scala 491:58] - wire [1:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_30; // @[lsu_bus_buffer.scala 491:58] - wire [2:0] _GEN_381 = {{1'd0}, _GEN_31}; // @[lsu_bus_buffer.scala 491:58] - wire _T_3689 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 491:58] - wire _T_3690 = _T_3687[0] & _T_3689; // @[lsu_bus_buffer.scala 491:38] - wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 490:95] - wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 490:45] + wire [1:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_29; // @[lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_30; // @[lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_381 = {{1'd0}, _GEN_31}; // @[lsu_bus_buffer.scala 492:58] + wire _T_3689 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 492:58] + wire _T_3690 = _T_3687[0] & _T_3689; // @[lsu_bus_buffer.scala 492:38] + wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 491:95] + wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 491:45] wire _GEN_43 = _T_3677 & _T_3692; // @[Conditional.scala 39:67] wire _GEN_50 = _T_3592 ? buf_resp_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_60 = _T_3558 ? buf_cmd_state_bus_en_0 : _GEN_50; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3554 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 463:49] - wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 463:70] - wire [1:0] RspPtr = _T_2066[1:0]; // @[lsu_bus_buffer.scala 398:10] - wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 497:37] - wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 497:98] - wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 497:80] - wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 497:65] - wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] + wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] + wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] + wire [1:0] RspPtr = _T_2066[1:0]; // @[lsu_bus_buffer.scala 399:10] + wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 498:37] + wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 498:98] + wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 498:80] + wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 498:65] + wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 498:112] wire _GEN_38 = _T_3695 ? _T_3702 : _T_3703; // @[Conditional.scala 39:67] wire _GEN_44 = _T_3677 ? _T_3572 : _GEN_38; // @[Conditional.scala 39:67] wire _GEN_51 = _T_3592 ? _T_3572 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3558 ? _T_3572 : _GEN_51; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3554 ? obuf_rdrsp_pend_en : _GEN_61; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3531 ? _T_3547 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_2068 = _T_1792 & buf_state_en_0; // @[lsu_bus_buffer.scala 410:94] - wire _T_2074 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 412:23] - wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 412:41] - wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 412:71] - wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 412:92] - wire _T_2081 = _T_4466 | _T_2080; // @[lsu_bus_buffer.scala 411:86] - wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 413:17] - wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 413:35] - wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 413:52] - wire _T_2087 = _T_2085 & _T_1795; // @[lsu_bus_buffer.scala 413:73] - wire _T_2088 = _T_2081 | _T_2087; // @[lsu_bus_buffer.scala 412:114] - wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 410:113] - wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 412:92] - wire _T_2106 = _T_4471 | _T_2105; // @[lsu_bus_buffer.scala 411:86] - wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 413:73] - wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 412:114] - wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 410:113] - wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 412:92] - wire _T_2131 = _T_4476 | _T_2130; // @[lsu_bus_buffer.scala 411:86] - wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 413:73] - wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 412:114] - wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 410:113] - wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 412:92] - wire _T_2156 = _T_4481 | _T_2155; // @[lsu_bus_buffer.scala 411:86] - wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 413:73] - wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 412:114] - wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 410:113] - wire _T_2166 = _T_2164 | buf_age_0[3]; // @[lsu_bus_buffer.scala 413:97] + wire _T_2068 = _T_1792 & buf_state_en_0; // @[lsu_bus_buffer.scala 411:94] + wire _T_2074 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 413:23] + wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 413:41] + wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 413:71] + wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 413:92] + wire _T_2081 = _T_4466 | _T_2080; // @[lsu_bus_buffer.scala 412:86] + wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 414:17] + wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 414:35] + wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 414:52] + wire _T_2087 = _T_2085 & _T_1795; // @[lsu_bus_buffer.scala 414:73] + wire _T_2088 = _T_2081 | _T_2087; // @[lsu_bus_buffer.scala 413:114] + wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 411:113] + wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 413:92] + wire _T_2106 = _T_4471 | _T_2105; // @[lsu_bus_buffer.scala 412:86] + wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 414:73] + wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 413:114] + wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 411:113] + wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 413:92] + wire _T_2131 = _T_4476 | _T_2130; // @[lsu_bus_buffer.scala 412:86] + wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 414:73] + wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 413:114] + wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 411:113] + wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 413:92] + wire _T_2156 = _T_4481 | _T_2155; // @[lsu_bus_buffer.scala 412:86] + wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 414:73] + wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 413:114] + wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 411:113] + wire _T_2166 = _T_2164 | buf_age_0[3]; // @[lsu_bus_buffer.scala 414:97] wire [2:0] _T_2168 = {_T_2166,_T_2141,_T_2116}; // @[Cat.scala 29:58] - wire _T_3729 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 448:117] - wire _T_3730 = _T_3537 & _T_3729; // @[lsu_bus_buffer.scala 448:112] - wire _T_3732 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 448:166] - wire _T_3733 = _T_3540 & _T_3732; // @[lsu_bus_buffer.scala 448:161] - wire _T_3734 = _T_3730 | _T_3733; // @[lsu_bus_buffer.scala 448:132] - wire _T_3735 = _T_853 & _T_3734; // @[lsu_bus_buffer.scala 448:63] - wire _T_3736 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 448:206] - wire _T_3737 = ibuf_drain_vld & _T_3736; // @[lsu_bus_buffer.scala 448:201] - wire _T_3738 = _T_3735 | _T_3737; // @[lsu_bus_buffer.scala 448:183] - wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 475:73] - wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 475:52] - wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 476:46] - wire [2:0] _GEN_382 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 477:47] - wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_382; // @[lsu_bus_buffer.scala 477:47] - wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 477:27] - wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 476:77] - wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 478:26] - wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 478:44] - wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 478:42] - wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 478:58] + wire _T_3729 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 449:117] + wire _T_3730 = _T_3537 & _T_3729; // @[lsu_bus_buffer.scala 449:112] + wire _T_3732 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 449:166] + wire _T_3733 = _T_3540 & _T_3732; // @[lsu_bus_buffer.scala 449:161] + wire _T_3734 = _T_3730 | _T_3733; // @[lsu_bus_buffer.scala 449:132] + wire _T_3735 = _T_853 & _T_3734; // @[lsu_bus_buffer.scala 449:63] + wire _T_3736 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 449:206] + wire _T_3737 = ibuf_drain_vld & _T_3736; // @[lsu_bus_buffer.scala 449:201] + wire _T_3738 = _T_3735 | _T_3737; // @[lsu_bus_buffer.scala 449:183] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 476:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 476:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 477:46] + wire [2:0] _GEN_382 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 478:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_382; // @[lsu_bus_buffer.scala 478:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 478:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 477:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 479:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 479:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 479:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 479:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_383 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 478:94] - wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 478:94] - wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 478:74] - wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 477:71] - wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 476:25] - wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 475:105] + wire [2:0] _GEN_383 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 479:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 479:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 479:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 478:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 477:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 476:105] wire _GEN_126 = _T_3783 & _T_3843; // @[Conditional.scala 39:67] wire _GEN_145 = _T_3749 ? 1'h0 : _GEN_126; // @[Conditional.scala 39:67] wire _GEN_157 = _T_3745 ? 1'h0 : _GEN_145; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_157; // @[Conditional.scala 40:58] - wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 491:21] - wire [1:0] _GEN_106 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 491:58] - wire [1:0] _GEN_107 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_106; // @[lsu_bus_buffer.scala 491:58] - wire [1:0] _GEN_108 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_107; // @[lsu_bus_buffer.scala 491:58] - wire [2:0] _GEN_385 = {{1'd0}, _GEN_108}; // @[lsu_bus_buffer.scala 491:58] - wire _T_3880 = io_lsu_axi_r_bits_id == _GEN_385; // @[lsu_bus_buffer.scala 491:58] - wire _T_3881 = _T_3878[0] & _T_3880; // @[lsu_bus_buffer.scala 491:38] - wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 490:95] - wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 490:45] + wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 492:21] + wire [1:0] _GEN_106 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_107 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_106; // @[lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_108 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_107; // @[lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_385 = {{1'd0}, _GEN_108}; // @[lsu_bus_buffer.scala 492:58] + wire _T_3880 = io_lsu_axi_r_bits_id == _GEN_385; // @[lsu_bus_buffer.scala 492:58] + wire _T_3881 = _T_3878[0] & _T_3880; // @[lsu_bus_buffer.scala 492:38] + wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 491:95] + wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 491:45] wire _GEN_120 = _T_3868 & _T_3883; // @[Conditional.scala 39:67] wire _GEN_127 = _T_3783 ? buf_resp_state_bus_en_1 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3749 ? buf_cmd_state_bus_en_1 : _GEN_127; // @[Conditional.scala 39:67] wire _GEN_150 = _T_3745 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 463:49] - wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 463:70] - wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 497:37] - wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 497:98] - wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 497:80] - wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 497:65] - wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] + wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] + wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] + wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 498:37] + wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 498:98] + wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 498:80] + wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 498:65] + wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 498:112] wire _GEN_115 = _T_3886 ? _T_3893 : _T_3894; // @[Conditional.scala 39:67] wire _GEN_121 = _T_3868 ? _T_3763 : _GEN_115; // @[Conditional.scala 39:67] wire _GEN_128 = _T_3783 ? _T_3763 : _GEN_121; // @[Conditional.scala 39:67] wire _GEN_138 = _T_3749 ? _T_3763 : _GEN_128; // @[Conditional.scala 39:67] wire _GEN_148 = _T_3745 ? obuf_rdrsp_pend_en : _GEN_138; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3722 ? _T_3738 : _GEN_148; // @[Conditional.scala 40:58] - wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 410:94] - wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 412:71] - wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 412:92] - wire _T_2183 = _T_4466 | _T_2182; // @[lsu_bus_buffer.scala 411:86] - wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 413:52] - wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 413:73] - wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 412:114] - wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 410:113] - wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 412:92] - wire _T_2208 = _T_4471 | _T_2207; // @[lsu_bus_buffer.scala 411:86] - wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 413:73] - wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 412:114] - wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 410:113] - wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 412:92] - wire _T_2233 = _T_4476 | _T_2232; // @[lsu_bus_buffer.scala 411:86] - wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 413:73] - wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 412:114] - wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 410:113] - wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 412:92] - wire _T_2258 = _T_4481 | _T_2257; // @[lsu_bus_buffer.scala 411:86] - wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 413:73] - wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 412:114] - wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 410:113] - wire _T_2268 = _T_2266 | buf_age_1[3]; // @[lsu_bus_buffer.scala 413:97] + wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 411:94] + wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 413:71] + wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 413:92] + wire _T_2183 = _T_4466 | _T_2182; // @[lsu_bus_buffer.scala 412:86] + wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 414:52] + wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 414:73] + wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 413:114] + wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 411:113] + wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 413:92] + wire _T_2208 = _T_4471 | _T_2207; // @[lsu_bus_buffer.scala 412:86] + wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 414:73] + wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 413:114] + wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 411:113] + wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 413:92] + wire _T_2233 = _T_4476 | _T_2232; // @[lsu_bus_buffer.scala 412:86] + wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 414:73] + wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 413:114] + wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 411:113] + wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 413:92] + wire _T_2258 = _T_4481 | _T_2257; // @[lsu_bus_buffer.scala 412:86] + wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 414:73] + wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 413:114] + wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 411:113] + wire _T_2268 = _T_2266 | buf_age_1[3]; // @[lsu_bus_buffer.scala 414:97] wire [2:0] _T_2270 = {_T_2268,_T_2243,_T_2218}; // @[Cat.scala 29:58] - wire _T_3920 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 448:117] - wire _T_3921 = _T_3537 & _T_3920; // @[lsu_bus_buffer.scala 448:112] - wire _T_3923 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 448:166] - wire _T_3924 = _T_3540 & _T_3923; // @[lsu_bus_buffer.scala 448:161] - wire _T_3925 = _T_3921 | _T_3924; // @[lsu_bus_buffer.scala 448:132] - wire _T_3926 = _T_853 & _T_3925; // @[lsu_bus_buffer.scala 448:63] - wire _T_3927 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 448:206] - wire _T_3928 = ibuf_drain_vld & _T_3927; // @[lsu_bus_buffer.scala 448:201] - wire _T_3929 = _T_3926 | _T_3928; // @[lsu_bus_buffer.scala 448:183] - wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 475:73] - wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 475:52] - wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 476:46] - wire [2:0] _GEN_386 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 477:47] - wire _T_4022 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 477:47] - wire _T_4023 = buf_ldfwd[2] & _T_4022; // @[lsu_bus_buffer.scala 477:27] - wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 476:77] - wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 478:26] - wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 478:44] - wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 478:42] - wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 478:58] + wire _T_3920 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 449:117] + wire _T_3921 = _T_3537 & _T_3920; // @[lsu_bus_buffer.scala 449:112] + wire _T_3923 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 449:166] + wire _T_3924 = _T_3540 & _T_3923; // @[lsu_bus_buffer.scala 449:161] + wire _T_3925 = _T_3921 | _T_3924; // @[lsu_bus_buffer.scala 449:132] + wire _T_3926 = _T_853 & _T_3925; // @[lsu_bus_buffer.scala 449:63] + wire _T_3927 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 449:206] + wire _T_3928 = ibuf_drain_vld & _T_3927; // @[lsu_bus_buffer.scala 449:201] + wire _T_3929 = _T_3926 | _T_3928; // @[lsu_bus_buffer.scala 449:183] + wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 476:73] + wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 476:52] + wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 477:46] + wire [2:0] _GEN_386 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 478:47] + wire _T_4022 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 478:47] + wire _T_4023 = buf_ldfwd[2] & _T_4022; // @[lsu_bus_buffer.scala 478:27] + wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 477:77] + wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 479:26] + wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 479:44] + wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 479:42] + wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 479:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 478:94] - wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 478:94] - wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 478:74] - wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 477:71] - wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 476:25] - wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 475:105] + wire [2:0] _GEN_387 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 479:94] + wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 479:94] + wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 479:74] + wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 478:71] + wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 477:25] + wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 476:105] wire _GEN_203 = _T_3974 & _T_4034; // @[Conditional.scala 39:67] wire _GEN_222 = _T_3940 ? 1'h0 : _GEN_203; // @[Conditional.scala 39:67] wire _GEN_234 = _T_3936 ? 1'h0 : _GEN_222; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_234; // @[Conditional.scala 40:58] - wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 491:21] - wire [1:0] _GEN_183 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 491:58] - wire [1:0] _GEN_184 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_183; // @[lsu_bus_buffer.scala 491:58] - wire [1:0] _GEN_185 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_184; // @[lsu_bus_buffer.scala 491:58] - wire [2:0] _GEN_389 = {{1'd0}, _GEN_185}; // @[lsu_bus_buffer.scala 491:58] - wire _T_4071 = io_lsu_axi_r_bits_id == _GEN_389; // @[lsu_bus_buffer.scala 491:58] - wire _T_4072 = _T_4069[0] & _T_4071; // @[lsu_bus_buffer.scala 491:38] - wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 490:95] - wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 490:45] + wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 492:21] + wire [1:0] _GEN_183 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_184 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_183; // @[lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_185 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_184; // @[lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_389 = {{1'd0}, _GEN_185}; // @[lsu_bus_buffer.scala 492:58] + wire _T_4071 = io_lsu_axi_r_bits_id == _GEN_389; // @[lsu_bus_buffer.scala 492:58] + wire _T_4072 = _T_4069[0] & _T_4071; // @[lsu_bus_buffer.scala 492:38] + wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 491:95] + wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 491:45] wire _GEN_197 = _T_4059 & _T_4074; // @[Conditional.scala 39:67] wire _GEN_204 = _T_3974 ? buf_resp_state_bus_en_2 : _GEN_197; // @[Conditional.scala 39:67] wire _GEN_214 = _T_3940 ? buf_cmd_state_bus_en_2 : _GEN_204; // @[Conditional.scala 39:67] wire _GEN_227 = _T_3936 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_227; // @[Conditional.scala 40:58] - wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 463:49] - wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 463:70] - wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 497:37] - wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 497:98] - wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 497:80] - wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 497:65] - wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] + wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] + wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] + wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 498:37] + wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 498:98] + wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 498:80] + wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 498:65] + wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 498:112] wire _GEN_192 = _T_4077 ? _T_4084 : _T_4085; // @[Conditional.scala 39:67] wire _GEN_198 = _T_4059 ? _T_3954 : _GEN_192; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3974 ? _T_3954 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_215 = _T_3940 ? _T_3954 : _GEN_205; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3936 ? obuf_rdrsp_pend_en : _GEN_215; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3913 ? _T_3929 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 410:94] - wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 412:71] - wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 412:92] - wire _T_2285 = _T_4466 | _T_2284; // @[lsu_bus_buffer.scala 411:86] - wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 413:52] - wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 413:73] - wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 412:114] - wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 410:113] - wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 412:92] - wire _T_2310 = _T_4471 | _T_2309; // @[lsu_bus_buffer.scala 411:86] - wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 413:73] - wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 412:114] - wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 410:113] - wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 412:92] - wire _T_2335 = _T_4476 | _T_2334; // @[lsu_bus_buffer.scala 411:86] - wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 413:73] - wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 412:114] - wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 410:113] - wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 412:92] - wire _T_2360 = _T_4481 | _T_2359; // @[lsu_bus_buffer.scala 411:86] - wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 413:73] - wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 412:114] - wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 410:113] - wire _T_2370 = _T_2368 | buf_age_2[3]; // @[lsu_bus_buffer.scala 413:97] + wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 411:94] + wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 413:71] + wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 413:92] + wire _T_2285 = _T_4466 | _T_2284; // @[lsu_bus_buffer.scala 412:86] + wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 414:52] + wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 414:73] + wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 413:114] + wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 411:113] + wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 413:92] + wire _T_2310 = _T_4471 | _T_2309; // @[lsu_bus_buffer.scala 412:86] + wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 414:73] + wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 413:114] + wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 411:113] + wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 413:92] + wire _T_2335 = _T_4476 | _T_2334; // @[lsu_bus_buffer.scala 412:86] + wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 414:73] + wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 413:114] + wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 411:113] + wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 413:92] + wire _T_2360 = _T_4481 | _T_2359; // @[lsu_bus_buffer.scala 412:86] + wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 414:73] + wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 413:114] + wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 411:113] + wire _T_2370 = _T_2368 | buf_age_2[3]; // @[lsu_bus_buffer.scala 414:97] wire [2:0] _T_2372 = {_T_2370,_T_2345,_T_2320}; // @[Cat.scala 29:58] - wire _T_4111 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 448:117] - wire _T_4112 = _T_3537 & _T_4111; // @[lsu_bus_buffer.scala 448:112] - wire _T_4114 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 448:166] - wire _T_4115 = _T_3540 & _T_4114; // @[lsu_bus_buffer.scala 448:161] - wire _T_4116 = _T_4112 | _T_4115; // @[lsu_bus_buffer.scala 448:132] - wire _T_4117 = _T_853 & _T_4116; // @[lsu_bus_buffer.scala 448:63] - wire _T_4118 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 448:206] - wire _T_4119 = ibuf_drain_vld & _T_4118; // @[lsu_bus_buffer.scala 448:201] - wire _T_4120 = _T_4117 | _T_4119; // @[lsu_bus_buffer.scala 448:183] - wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 475:73] - wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 475:52] - wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 476:46] - wire [2:0] _GEN_390 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 477:47] - wire _T_4213 = io_lsu_axi_r_bits_id == _GEN_390; // @[lsu_bus_buffer.scala 477:47] - wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[lsu_bus_buffer.scala 477:27] - wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 476:77] - wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 478:26] - wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 478:44] - wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 478:42] - wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 478:58] + wire _T_4111 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 449:117] + wire _T_4112 = _T_3537 & _T_4111; // @[lsu_bus_buffer.scala 449:112] + wire _T_4114 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 449:166] + wire _T_4115 = _T_3540 & _T_4114; // @[lsu_bus_buffer.scala 449:161] + wire _T_4116 = _T_4112 | _T_4115; // @[lsu_bus_buffer.scala 449:132] + wire _T_4117 = _T_853 & _T_4116; // @[lsu_bus_buffer.scala 449:63] + wire _T_4118 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 449:206] + wire _T_4119 = ibuf_drain_vld & _T_4118; // @[lsu_bus_buffer.scala 449:201] + wire _T_4120 = _T_4117 | _T_4119; // @[lsu_bus_buffer.scala 449:183] + wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 476:73] + wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 476:52] + wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 477:46] + wire [2:0] _GEN_390 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 478:47] + wire _T_4213 = io_lsu_axi_r_bits_id == _GEN_390; // @[lsu_bus_buffer.scala 478:47] + wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[lsu_bus_buffer.scala 478:27] + wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 477:77] + wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 479:26] + wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 479:44] + wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 479:42] + wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 479:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_391 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 478:94] - wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_391; // @[lsu_bus_buffer.scala 478:94] - wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 478:74] - wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 477:71] - wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 476:25] - wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 475:105] + wire [2:0] _GEN_391 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 479:94] + wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_391; // @[lsu_bus_buffer.scala 479:94] + wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 479:74] + wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 478:71] + wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 477:25] + wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 476:105] wire _GEN_280 = _T_4165 & _T_4225; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4131 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire _GEN_311 = _T_4127 ? 1'h0 : _GEN_299; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_311; // @[Conditional.scala 40:58] - wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 491:21] - wire [1:0] _GEN_260 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 491:58] - wire [1:0] _GEN_261 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_260; // @[lsu_bus_buffer.scala 491:58] - wire [1:0] _GEN_262 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_261; // @[lsu_bus_buffer.scala 491:58] - wire [2:0] _GEN_393 = {{1'd0}, _GEN_262}; // @[lsu_bus_buffer.scala 491:58] - wire _T_4262 = io_lsu_axi_r_bits_id == _GEN_393; // @[lsu_bus_buffer.scala 491:58] - wire _T_4263 = _T_4260[0] & _T_4262; // @[lsu_bus_buffer.scala 491:38] - wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 490:95] - wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 490:45] + wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 492:21] + wire [1:0] _GEN_260 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_261 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_260; // @[lsu_bus_buffer.scala 492:58] + wire [1:0] _GEN_262 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_261; // @[lsu_bus_buffer.scala 492:58] + wire [2:0] _GEN_393 = {{1'd0}, _GEN_262}; // @[lsu_bus_buffer.scala 492:58] + wire _T_4262 = io_lsu_axi_r_bits_id == _GEN_393; // @[lsu_bus_buffer.scala 492:58] + wire _T_4263 = _T_4260[0] & _T_4262; // @[lsu_bus_buffer.scala 492:38] + wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 491:95] + wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 491:45] wire _GEN_274 = _T_4250 & _T_4265; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4165 ? buf_resp_state_bus_en_3 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_291 = _T_4131 ? buf_cmd_state_bus_en_3 : _GEN_281; // @[Conditional.scala 39:67] wire _GEN_304 = _T_4127 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_304; // @[Conditional.scala 40:58] - wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 463:49] - wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 463:70] - wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 497:37] - wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 497:98] - wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 497:80] - wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 497:65] - wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] + wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] + wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] + wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 498:37] + wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 498:98] + wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 498:80] + wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 498:65] + wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 498:112] wire _GEN_269 = _T_4268 ? _T_4275 : _T_4276; // @[Conditional.scala 39:67] wire _GEN_275 = _T_4250 ? _T_4145 : _GEN_269; // @[Conditional.scala 39:67] wire _GEN_282 = _T_4165 ? _T_4145 : _GEN_275; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4131 ? _T_4145 : _GEN_282; // @[Conditional.scala 39:67] wire _GEN_302 = _T_4127 ? obuf_rdrsp_pend_en : _GEN_292; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4104 ? _T_4120 : _GEN_302; // @[Conditional.scala 40:58] - wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 410:94] - wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 412:71] - wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 412:92] - wire _T_2387 = _T_4466 | _T_2386; // @[lsu_bus_buffer.scala 411:86] - wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 413:52] - wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 413:73] - wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 412:114] - wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 410:113] - wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 412:92] - wire _T_2412 = _T_4471 | _T_2411; // @[lsu_bus_buffer.scala 411:86] - wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 413:73] - wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 412:114] - wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 410:113] - wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 412:92] - wire _T_2437 = _T_4476 | _T_2436; // @[lsu_bus_buffer.scala 411:86] - wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 413:73] - wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 412:114] - wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 410:113] - wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 413:97] - wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 412:92] - wire _T_2462 = _T_4481 | _T_2461; // @[lsu_bus_buffer.scala 411:86] - wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 413:73] - wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 412:114] - wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 410:113] - wire _T_2472 = _T_2470 | buf_age_3[3]; // @[lsu_bus_buffer.scala 413:97] + wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 411:94] + wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 413:71] + wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 413:92] + wire _T_2387 = _T_4466 | _T_2386; // @[lsu_bus_buffer.scala 412:86] + wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 414:52] + wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 414:73] + wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 413:114] + wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 411:113] + wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 413:92] + wire _T_2412 = _T_4471 | _T_2411; // @[lsu_bus_buffer.scala 412:86] + wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 414:73] + wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 413:114] + wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 411:113] + wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 413:92] + wire _T_2437 = _T_4476 | _T_2436; // @[lsu_bus_buffer.scala 412:86] + wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 414:73] + wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 413:114] + wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 411:113] + wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 413:92] + wire _T_2462 = _T_4481 | _T_2461; // @[lsu_bus_buffer.scala 412:86] + wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 414:73] + wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 413:114] + wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 411:113] + wire _T_2472 = _T_2470 | buf_age_3[3]; // @[lsu_bus_buffer.scala 414:97] wire [2:0] _T_2474 = {_T_2472,_T_2447,_T_2422}; // @[Cat.scala 29:58] - wire _T_2770 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 421:47] - wire _T_2771 = _T_1792 | _T_2770; // @[lsu_bus_buffer.scala 421:32] - wire _T_2772 = ~_T_2771; // @[lsu_bus_buffer.scala 421:6] - wire _T_2780 = _T_2772 | _T_2080; // @[lsu_bus_buffer.scala 421:59] - wire _T_2787 = _T_2780 | _T_2087; // @[lsu_bus_buffer.scala 422:110] - wire _T_2788 = _T_2068 & _T_2787; // @[lsu_bus_buffer.scala 420:112] - wire _T_2792 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 421:47] - wire _T_2793 = _T_1803 | _T_2792; // @[lsu_bus_buffer.scala 421:32] - wire _T_2794 = ~_T_2793; // @[lsu_bus_buffer.scala 421:6] - wire _T_2802 = _T_2794 | _T_2105; // @[lsu_bus_buffer.scala 421:59] - wire _T_2809 = _T_2802 | _T_2112; // @[lsu_bus_buffer.scala 422:110] - wire _T_2810 = _T_2068 & _T_2809; // @[lsu_bus_buffer.scala 420:112] - wire _T_2814 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 421:47] - wire _T_2815 = _T_1814 | _T_2814; // @[lsu_bus_buffer.scala 421:32] - wire _T_2816 = ~_T_2815; // @[lsu_bus_buffer.scala 421:6] - wire _T_2824 = _T_2816 | _T_2130; // @[lsu_bus_buffer.scala 421:59] - wire _T_2831 = _T_2824 | _T_2137; // @[lsu_bus_buffer.scala 422:110] - wire _T_2832 = _T_2068 & _T_2831; // @[lsu_bus_buffer.scala 420:112] - wire _T_2836 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 421:47] - wire _T_2837 = _T_1825 | _T_2836; // @[lsu_bus_buffer.scala 421:32] - wire _T_2838 = ~_T_2837; // @[lsu_bus_buffer.scala 421:6] - wire _T_2846 = _T_2838 | _T_2155; // @[lsu_bus_buffer.scala 421:59] - wire _T_2853 = _T_2846 | _T_2162; // @[lsu_bus_buffer.scala 422:110] - wire _T_2854 = _T_2068 & _T_2853; // @[lsu_bus_buffer.scala 420:112] + wire _T_2770 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 422:47] + wire _T_2771 = _T_1792 | _T_2770; // @[lsu_bus_buffer.scala 422:32] + wire _T_2772 = ~_T_2771; // @[lsu_bus_buffer.scala 422:6] + wire _T_2780 = _T_2772 | _T_2080; // @[lsu_bus_buffer.scala 422:59] + wire _T_2787 = _T_2780 | _T_2087; // @[lsu_bus_buffer.scala 423:110] + wire _T_2788 = _T_2068 & _T_2787; // @[lsu_bus_buffer.scala 421:112] + wire _T_2792 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 422:47] + wire _T_2793 = _T_1803 | _T_2792; // @[lsu_bus_buffer.scala 422:32] + wire _T_2794 = ~_T_2793; // @[lsu_bus_buffer.scala 422:6] + wire _T_2802 = _T_2794 | _T_2105; // @[lsu_bus_buffer.scala 422:59] + wire _T_2809 = _T_2802 | _T_2112; // @[lsu_bus_buffer.scala 423:110] + wire _T_2810 = _T_2068 & _T_2809; // @[lsu_bus_buffer.scala 421:112] + wire _T_2814 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 422:47] + wire _T_2815 = _T_1814 | _T_2814; // @[lsu_bus_buffer.scala 422:32] + wire _T_2816 = ~_T_2815; // @[lsu_bus_buffer.scala 422:6] + wire _T_2824 = _T_2816 | _T_2130; // @[lsu_bus_buffer.scala 422:59] + wire _T_2831 = _T_2824 | _T_2137; // @[lsu_bus_buffer.scala 423:110] + wire _T_2832 = _T_2068 & _T_2831; // @[lsu_bus_buffer.scala 421:112] + wire _T_2836 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 422:47] + wire _T_2837 = _T_1825 | _T_2836; // @[lsu_bus_buffer.scala 422:32] + wire _T_2838 = ~_T_2837; // @[lsu_bus_buffer.scala 422:6] + wire _T_2846 = _T_2838 | _T_2155; // @[lsu_bus_buffer.scala 422:59] + wire _T_2853 = _T_2846 | _T_2162; // @[lsu_bus_buffer.scala 423:110] + wire _T_2854 = _T_2068 & _T_2853; // @[lsu_bus_buffer.scala 421:112] wire [3:0] buf_rspage_set_0 = {_T_2854,_T_2832,_T_2810,_T_2788}; // @[Cat.scala 29:58] - wire _T_2871 = _T_2772 | _T_2182; // @[lsu_bus_buffer.scala 421:59] - wire _T_2878 = _T_2871 | _T_2189; // @[lsu_bus_buffer.scala 422:110] - wire _T_2879 = _T_2170 & _T_2878; // @[lsu_bus_buffer.scala 420:112] - wire _T_2893 = _T_2794 | _T_2207; // @[lsu_bus_buffer.scala 421:59] - wire _T_2900 = _T_2893 | _T_2214; // @[lsu_bus_buffer.scala 422:110] - wire _T_2901 = _T_2170 & _T_2900; // @[lsu_bus_buffer.scala 420:112] - wire _T_2915 = _T_2816 | _T_2232; // @[lsu_bus_buffer.scala 421:59] - wire _T_2922 = _T_2915 | _T_2239; // @[lsu_bus_buffer.scala 422:110] - wire _T_2923 = _T_2170 & _T_2922; // @[lsu_bus_buffer.scala 420:112] - wire _T_2937 = _T_2838 | _T_2257; // @[lsu_bus_buffer.scala 421:59] - wire _T_2944 = _T_2937 | _T_2264; // @[lsu_bus_buffer.scala 422:110] - wire _T_2945 = _T_2170 & _T_2944; // @[lsu_bus_buffer.scala 420:112] + wire _T_2871 = _T_2772 | _T_2182; // @[lsu_bus_buffer.scala 422:59] + wire _T_2878 = _T_2871 | _T_2189; // @[lsu_bus_buffer.scala 423:110] + wire _T_2879 = _T_2170 & _T_2878; // @[lsu_bus_buffer.scala 421:112] + wire _T_2893 = _T_2794 | _T_2207; // @[lsu_bus_buffer.scala 422:59] + wire _T_2900 = _T_2893 | _T_2214; // @[lsu_bus_buffer.scala 423:110] + wire _T_2901 = _T_2170 & _T_2900; // @[lsu_bus_buffer.scala 421:112] + wire _T_2915 = _T_2816 | _T_2232; // @[lsu_bus_buffer.scala 422:59] + wire _T_2922 = _T_2915 | _T_2239; // @[lsu_bus_buffer.scala 423:110] + wire _T_2923 = _T_2170 & _T_2922; // @[lsu_bus_buffer.scala 421:112] + wire _T_2937 = _T_2838 | _T_2257; // @[lsu_bus_buffer.scala 422:59] + wire _T_2944 = _T_2937 | _T_2264; // @[lsu_bus_buffer.scala 423:110] + wire _T_2945 = _T_2170 & _T_2944; // @[lsu_bus_buffer.scala 421:112] wire [3:0] buf_rspage_set_1 = {_T_2945,_T_2923,_T_2901,_T_2879}; // @[Cat.scala 29:58] - wire _T_2962 = _T_2772 | _T_2284; // @[lsu_bus_buffer.scala 421:59] - wire _T_2969 = _T_2962 | _T_2291; // @[lsu_bus_buffer.scala 422:110] - wire _T_2970 = _T_2272 & _T_2969; // @[lsu_bus_buffer.scala 420:112] - wire _T_2984 = _T_2794 | _T_2309; // @[lsu_bus_buffer.scala 421:59] - wire _T_2991 = _T_2984 | _T_2316; // @[lsu_bus_buffer.scala 422:110] - wire _T_2992 = _T_2272 & _T_2991; // @[lsu_bus_buffer.scala 420:112] - wire _T_3006 = _T_2816 | _T_2334; // @[lsu_bus_buffer.scala 421:59] - wire _T_3013 = _T_3006 | _T_2341; // @[lsu_bus_buffer.scala 422:110] - wire _T_3014 = _T_2272 & _T_3013; // @[lsu_bus_buffer.scala 420:112] - wire _T_3028 = _T_2838 | _T_2359; // @[lsu_bus_buffer.scala 421:59] - wire _T_3035 = _T_3028 | _T_2366; // @[lsu_bus_buffer.scala 422:110] - wire _T_3036 = _T_2272 & _T_3035; // @[lsu_bus_buffer.scala 420:112] + wire _T_2962 = _T_2772 | _T_2284; // @[lsu_bus_buffer.scala 422:59] + wire _T_2969 = _T_2962 | _T_2291; // @[lsu_bus_buffer.scala 423:110] + wire _T_2970 = _T_2272 & _T_2969; // @[lsu_bus_buffer.scala 421:112] + wire _T_2984 = _T_2794 | _T_2309; // @[lsu_bus_buffer.scala 422:59] + wire _T_2991 = _T_2984 | _T_2316; // @[lsu_bus_buffer.scala 423:110] + wire _T_2992 = _T_2272 & _T_2991; // @[lsu_bus_buffer.scala 421:112] + wire _T_3006 = _T_2816 | _T_2334; // @[lsu_bus_buffer.scala 422:59] + wire _T_3013 = _T_3006 | _T_2341; // @[lsu_bus_buffer.scala 423:110] + wire _T_3014 = _T_2272 & _T_3013; // @[lsu_bus_buffer.scala 421:112] + wire _T_3028 = _T_2838 | _T_2359; // @[lsu_bus_buffer.scala 422:59] + wire _T_3035 = _T_3028 | _T_2366; // @[lsu_bus_buffer.scala 423:110] + wire _T_3036 = _T_2272 & _T_3035; // @[lsu_bus_buffer.scala 421:112] wire [3:0] buf_rspage_set_2 = {_T_3036,_T_3014,_T_2992,_T_2970}; // @[Cat.scala 29:58] - wire _T_3053 = _T_2772 | _T_2386; // @[lsu_bus_buffer.scala 421:59] - wire _T_3060 = _T_3053 | _T_2393; // @[lsu_bus_buffer.scala 422:110] - wire _T_3061 = _T_2374 & _T_3060; // @[lsu_bus_buffer.scala 420:112] - wire _T_3075 = _T_2794 | _T_2411; // @[lsu_bus_buffer.scala 421:59] - wire _T_3082 = _T_3075 | _T_2418; // @[lsu_bus_buffer.scala 422:110] - wire _T_3083 = _T_2374 & _T_3082; // @[lsu_bus_buffer.scala 420:112] - wire _T_3097 = _T_2816 | _T_2436; // @[lsu_bus_buffer.scala 421:59] - wire _T_3104 = _T_3097 | _T_2443; // @[lsu_bus_buffer.scala 422:110] - wire _T_3105 = _T_2374 & _T_3104; // @[lsu_bus_buffer.scala 420:112] - wire _T_3119 = _T_2838 | _T_2461; // @[lsu_bus_buffer.scala 421:59] - wire _T_3126 = _T_3119 | _T_2468; // @[lsu_bus_buffer.scala 422:110] - wire _T_3127 = _T_2374 & _T_3126; // @[lsu_bus_buffer.scala 420:112] + wire _T_3053 = _T_2772 | _T_2386; // @[lsu_bus_buffer.scala 422:59] + wire _T_3060 = _T_3053 | _T_2393; // @[lsu_bus_buffer.scala 423:110] + wire _T_3061 = _T_2374 & _T_3060; // @[lsu_bus_buffer.scala 421:112] + wire _T_3075 = _T_2794 | _T_2411; // @[lsu_bus_buffer.scala 422:59] + wire _T_3082 = _T_3075 | _T_2418; // @[lsu_bus_buffer.scala 423:110] + wire _T_3083 = _T_2374 & _T_3082; // @[lsu_bus_buffer.scala 421:112] + wire _T_3097 = _T_2816 | _T_2436; // @[lsu_bus_buffer.scala 422:59] + wire _T_3104 = _T_3097 | _T_2443; // @[lsu_bus_buffer.scala 423:110] + wire _T_3105 = _T_2374 & _T_3104; // @[lsu_bus_buffer.scala 421:112] + wire _T_3119 = _T_2838 | _T_2461; // @[lsu_bus_buffer.scala 422:59] + wire _T_3126 = _T_3119 | _T_2468; // @[lsu_bus_buffer.scala 423:110] + wire _T_3127 = _T_2374 & _T_3126; // @[lsu_bus_buffer.scala 421:112] wire [3:0] buf_rspage_set_3 = {_T_3127,_T_3105,_T_3083,_T_3061}; // @[Cat.scala 29:58] - wire _T_3218 = _T_2836 | _T_1825; // @[lsu_bus_buffer.scala 425:110] - wire _T_3219 = ~_T_3218; // @[lsu_bus_buffer.scala 425:84] - wire _T_3220 = buf_rspageQ_0[3] & _T_3219; // @[lsu_bus_buffer.scala 425:82] - wire _T_3222 = _T_3220 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3210 = _T_2814 | _T_1814; // @[lsu_bus_buffer.scala 425:110] - wire _T_3211 = ~_T_3210; // @[lsu_bus_buffer.scala 425:84] - wire _T_3212 = buf_rspageQ_0[2] & _T_3211; // @[lsu_bus_buffer.scala 425:82] - wire _T_3214 = _T_3212 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3202 = _T_2792 | _T_1803; // @[lsu_bus_buffer.scala 425:110] - wire _T_3203 = ~_T_3202; // @[lsu_bus_buffer.scala 425:84] - wire _T_3204 = buf_rspageQ_0[1] & _T_3203; // @[lsu_bus_buffer.scala 425:82] - wire _T_3206 = _T_3204 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3194 = _T_2770 | _T_1792; // @[lsu_bus_buffer.scala 425:110] - wire _T_3195 = ~_T_3194; // @[lsu_bus_buffer.scala 425:84] - wire _T_3196 = buf_rspageQ_0[0] & _T_3195; // @[lsu_bus_buffer.scala 425:82] - wire _T_3198 = _T_3196 & _T_2594; // @[lsu_bus_buffer.scala 425:145] + wire _T_3218 = _T_2836 | _T_1825; // @[lsu_bus_buffer.scala 426:110] + wire _T_3219 = ~_T_3218; // @[lsu_bus_buffer.scala 426:84] + wire _T_3220 = buf_rspageQ_0[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] + wire _T_3222 = _T_3220 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3210 = _T_2814 | _T_1814; // @[lsu_bus_buffer.scala 426:110] + wire _T_3211 = ~_T_3210; // @[lsu_bus_buffer.scala 426:84] + wire _T_3212 = buf_rspageQ_0[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] + wire _T_3214 = _T_3212 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3202 = _T_2792 | _T_1803; // @[lsu_bus_buffer.scala 426:110] + wire _T_3203 = ~_T_3202; // @[lsu_bus_buffer.scala 426:84] + wire _T_3204 = buf_rspageQ_0[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] + wire _T_3206 = _T_3204 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3194 = _T_2770 | _T_1792; // @[lsu_bus_buffer.scala 426:110] + wire _T_3195 = ~_T_3194; // @[lsu_bus_buffer.scala 426:84] + wire _T_3196 = buf_rspageQ_0[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] + wire _T_3198 = _T_3196 & _T_2594; // @[lsu_bus_buffer.scala 426:145] wire [3:0] buf_rspage_0 = {_T_3222,_T_3214,_T_3206,_T_3198}; // @[Cat.scala 29:58] - wire _T_3133 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3136 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3139 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3142 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 424:88] + wire _T_3133 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3136 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3139 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3142 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 425:88] wire [2:0] _T_3144 = {_T_3142,_T_3139,_T_3136}; // @[Cat.scala 29:58] - wire _T_3255 = buf_rspageQ_1[3] & _T_3219; // @[lsu_bus_buffer.scala 425:82] - wire _T_3257 = _T_3255 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3247 = buf_rspageQ_1[2] & _T_3211; // @[lsu_bus_buffer.scala 425:82] - wire _T_3249 = _T_3247 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3239 = buf_rspageQ_1[1] & _T_3203; // @[lsu_bus_buffer.scala 425:82] - wire _T_3241 = _T_3239 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3231 = buf_rspageQ_1[0] & _T_3195; // @[lsu_bus_buffer.scala 425:82] - wire _T_3233 = _T_3231 & _T_2594; // @[lsu_bus_buffer.scala 425:145] + wire _T_3255 = buf_rspageQ_1[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] + wire _T_3257 = _T_3255 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3247 = buf_rspageQ_1[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] + wire _T_3249 = _T_3247 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3239 = buf_rspageQ_1[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] + wire _T_3241 = _T_3239 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3231 = buf_rspageQ_1[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] + wire _T_3233 = _T_3231 & _T_2594; // @[lsu_bus_buffer.scala 426:145] wire [3:0] buf_rspage_1 = {_T_3257,_T_3249,_T_3241,_T_3233}; // @[Cat.scala 29:58] - wire _T_3148 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3151 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3154 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3157 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 424:88] + wire _T_3148 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3151 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3154 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3157 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 425:88] wire [2:0] _T_3159 = {_T_3157,_T_3154,_T_3151}; // @[Cat.scala 29:58] - wire _T_3290 = buf_rspageQ_2[3] & _T_3219; // @[lsu_bus_buffer.scala 425:82] - wire _T_3292 = _T_3290 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3282 = buf_rspageQ_2[2] & _T_3211; // @[lsu_bus_buffer.scala 425:82] - wire _T_3284 = _T_3282 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3274 = buf_rspageQ_2[1] & _T_3203; // @[lsu_bus_buffer.scala 425:82] - wire _T_3276 = _T_3274 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3266 = buf_rspageQ_2[0] & _T_3195; // @[lsu_bus_buffer.scala 425:82] - wire _T_3268 = _T_3266 & _T_2594; // @[lsu_bus_buffer.scala 425:145] + wire _T_3290 = buf_rspageQ_2[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] + wire _T_3292 = _T_3290 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3282 = buf_rspageQ_2[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] + wire _T_3284 = _T_3282 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3274 = buf_rspageQ_2[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] + wire _T_3276 = _T_3274 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3266 = buf_rspageQ_2[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] + wire _T_3268 = _T_3266 & _T_2594; // @[lsu_bus_buffer.scala 426:145] wire [3:0] buf_rspage_2 = {_T_3292,_T_3284,_T_3276,_T_3268}; // @[Cat.scala 29:58] - wire _T_3163 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3166 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3169 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3172 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 424:88] + wire _T_3163 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3166 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3169 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3172 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 425:88] wire [2:0] _T_3174 = {_T_3172,_T_3169,_T_3166}; // @[Cat.scala 29:58] - wire _T_3325 = buf_rspageQ_3[3] & _T_3219; // @[lsu_bus_buffer.scala 425:82] - wire _T_3327 = _T_3325 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3317 = buf_rspageQ_3[2] & _T_3211; // @[lsu_bus_buffer.scala 425:82] - wire _T_3319 = _T_3317 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3309 = buf_rspageQ_3[1] & _T_3203; // @[lsu_bus_buffer.scala 425:82] - wire _T_3311 = _T_3309 & _T_2594; // @[lsu_bus_buffer.scala 425:145] - wire _T_3301 = buf_rspageQ_3[0] & _T_3195; // @[lsu_bus_buffer.scala 425:82] - wire _T_3303 = _T_3301 & _T_2594; // @[lsu_bus_buffer.scala 425:145] + wire _T_3325 = buf_rspageQ_3[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] + wire _T_3327 = _T_3325 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3317 = buf_rspageQ_3[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] + wire _T_3319 = _T_3317 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3309 = buf_rspageQ_3[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] + wire _T_3311 = _T_3309 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3301 = buf_rspageQ_3[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] + wire _T_3303 = _T_3301 & _T_2594; // @[lsu_bus_buffer.scala 426:145] wire [3:0] buf_rspage_3 = {_T_3327,_T_3319,_T_3311,_T_3303}; // @[Cat.scala 29:58] - wire _T_3178 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3181 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3184 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 424:88] - wire _T_3187 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 424:88] + wire _T_3178 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3181 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3184 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3187 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 425:88] wire [2:0] _T_3189 = {_T_3187,_T_3184,_T_3181}; // @[Cat.scala 29:58] - wire _T_3332 = ibuf_drain_vld & _T_1793; // @[lsu_bus_buffer.scala 430:63] - wire _T_3334 = ibuf_drain_vld & _T_1804; // @[lsu_bus_buffer.scala 430:63] - wire _T_3336 = ibuf_drain_vld & _T_1815; // @[lsu_bus_buffer.scala 430:63] - wire _T_3338 = ibuf_drain_vld & _T_1826; // @[lsu_bus_buffer.scala 430:63] + wire _T_3332 = ibuf_drain_vld & _T_1793; // @[lsu_bus_buffer.scala 431:63] + wire _T_3334 = ibuf_drain_vld & _T_1804; // @[lsu_bus_buffer.scala 431:63] + wire _T_3336 = ibuf_drain_vld & _T_1815; // @[lsu_bus_buffer.scala 431:63] + wire _T_3338 = ibuf_drain_vld & _T_1826; // @[lsu_bus_buffer.scala 431:63] wire [3:0] ibuf_drainvec_vld = {_T_3338,_T_3336,_T_3334,_T_3332}; // @[Cat.scala 29:58] - wire _T_3346 = _T_3540 & _T_1796; // @[lsu_bus_buffer.scala 432:35] - wire _T_3355 = _T_3540 & _T_1807; // @[lsu_bus_buffer.scala 432:35] - wire _T_3364 = _T_3540 & _T_1818; // @[lsu_bus_buffer.scala 432:35] - wire _T_3373 = _T_3540 & _T_1829; // @[lsu_bus_buffer.scala 432:35] - wire _T_3403 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 434:45] - wire _T_3405 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 434:45] - wire _T_3407 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 434:45] - wire _T_3409 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 434:45] + wire _T_3346 = _T_3540 & _T_1796; // @[lsu_bus_buffer.scala 433:35] + wire _T_3355 = _T_3540 & _T_1807; // @[lsu_bus_buffer.scala 433:35] + wire _T_3364 = _T_3540 & _T_1818; // @[lsu_bus_buffer.scala 433:35] + wire _T_3373 = _T_3540 & _T_1829; // @[lsu_bus_buffer.scala 433:35] + wire _T_3403 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 435:45] + wire _T_3405 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 435:45] + wire _T_3407 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 435:45] + wire _T_3409 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 435:45] wire [3:0] buf_dual_in = {_T_3409,_T_3407,_T_3405,_T_3403}; // @[Cat.scala 29:58] - wire _T_3414 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 435:47] - wire _T_3416 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 435:47] - wire _T_3418 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 435:47] - wire _T_3420 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 435:47] + wire _T_3414 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 436:47] + wire _T_3416 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 436:47] + wire _T_3418 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 436:47] + wire _T_3420 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 436:47] wire [3:0] buf_samedw_in = {_T_3420,_T_3418,_T_3416,_T_3414}; // @[Cat.scala 29:58] - wire _T_3425 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 436:84] - wire _T_3426 = ibuf_drainvec_vld[0] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 436:48] - wire _T_3429 = ibuf_drainvec_vld[1] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 436:48] - wire _T_3432 = ibuf_drainvec_vld[2] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 436:48] - wire _T_3435 = ibuf_drainvec_vld[3] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 436:48] + wire _T_3425 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 437:84] + wire _T_3426 = ibuf_drainvec_vld[0] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 437:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 437:48] + wire _T_3432 = ibuf_drainvec_vld[2] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 437:48] + wire _T_3435 = ibuf_drainvec_vld[3] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 437:48] wire [3:0] buf_nomerge_in = {_T_3435,_T_3432,_T_3429,_T_3426}; // @[Cat.scala 29:58] - wire _T_3443 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3346; // @[lsu_bus_buffer.scala 437:47] - wire _T_3448 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3355; // @[lsu_bus_buffer.scala 437:47] - wire _T_3453 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3364; // @[lsu_bus_buffer.scala 437:47] - wire _T_3458 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3373; // @[lsu_bus_buffer.scala 437:47] + wire _T_3443 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3346; // @[lsu_bus_buffer.scala 438:47] + wire _T_3448 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3355; // @[lsu_bus_buffer.scala 438:47] + wire _T_3453 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3364; // @[lsu_bus_buffer.scala 438:47] + wire _T_3458 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3373; // @[lsu_bus_buffer.scala 438:47] wire [3:0] buf_dualhi_in = {_T_3458,_T_3453,_T_3448,_T_3443}; // @[Cat.scala 29:58] - wire _T_3487 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 439:51] - wire _T_3489 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 439:51] - wire _T_3491 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 439:51] - wire _T_3493 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 439:51] + wire _T_3487 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 440:51] + wire _T_3489 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 440:51] + wire _T_3491 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 440:51] + wire _T_3493 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 440:51] wire [3:0] buf_sideeffect_in = {_T_3493,_T_3491,_T_3489,_T_3487}; // @[Cat.scala 29:58] - wire _T_3498 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 440:47] - wire _T_3500 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 440:47] - wire _T_3502 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 440:47] - wire _T_3504 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 440:47] + wire _T_3498 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 441:47] + wire _T_3500 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 441:47] + wire _T_3502 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 441:47] + wire _T_3504 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 441:47] wire [3:0] buf_unsign_in = {_T_3504,_T_3502,_T_3500,_T_3498}; // @[Cat.scala 29:58] - wire _T_3521 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 442:46] - wire _T_3523 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 442:46] - wire _T_3525 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 442:46] - wire _T_3527 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 442:46] + wire _T_3521 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 443:46] + wire _T_3523 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 443:46] + wire _T_3525 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 443:46] + wire _T_3527 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 443:46] wire [3:0] buf_write_in = {_T_3527,_T_3525,_T_3523,_T_3521}; // @[Cat.scala 29:58] - wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 460:89] - wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 460:104] - wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 465:44] - wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 465:60] - wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 465:74] - wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 467:67] - wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 467:81] - wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 574:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 574:38] - wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 468:82] - wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 482:91] - wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 483:31] - wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 483:46] - wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 482:143] - wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 573:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 573:40] - wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 484:33] - wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 483:88] - wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 482:68] + wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 461:89] + wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 461:104] + wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 466:44] + wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] + wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 466:74] + wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] + wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] + wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 575:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 575:38] + wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] + wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 483:86] + wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 484:31] + wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 484:46] + wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 483:138] + wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 574:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 574:40] + wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 485:33] + wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 484:88] + wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 483:63] wire _GEN_53 = _T_3592 & _T_3668; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3558 ? _T_3585 : _GEN_53; // @[Conditional.scala 39:67] wire _GEN_78 = _T_3554 ? 1'h0 : _GEN_66; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3531 ? 1'h0 : _GEN_78; // @[Conditional.scala 40:58] - wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 472:75] - wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 472:73] - wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 472:57] - wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 473:30] - wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 473:28] - wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 473:45] - wire [2:0] _GEN_25 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_26 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_25; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_27 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_26; // @[lsu_bus_buffer.scala 473:90] - wire _T_3603 = _GEN_27 != 3'h4; // @[lsu_bus_buffer.scala 473:90] - wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 473:61] - wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 534:93] - wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 534:93] - wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 534:93] - wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 474:31] + wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 473:75] + wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 473:73] + wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 473:57] + wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 474:30] + wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 474:28] + wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 474:45] + wire [2:0] _GEN_25 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 474:90] + wire [2:0] _GEN_26 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_25; // @[lsu_bus_buffer.scala 474:90] + wire [2:0] _GEN_27 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_26; // @[lsu_bus_buffer.scala 474:90] + wire _T_3603 = _GEN_27 != 3'h4; // @[lsu_bus_buffer.scala 474:90] + wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 474:61] + wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 535:93] + wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 535:93] + wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 535:93] + wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 475:31] wire _T_3612 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3614 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3616 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2245,17 +2245,17 @@ module lsu_bus_buffer( wire _T_3624 = _T_3620 | _T_3621; // @[Mux.scala 27:72] wire _T_3625 = _T_3624 | _T_3622; // @[Mux.scala 27:72] wire _T_3626 = _T_3625 | _T_3623; // @[Mux.scala 27:72] - wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 474:101] - wire _T_3629 = _GEN_27 == 3'h4; // @[lsu_bus_buffer.scala 474:167] - wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 474:138] - wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 474:187] - wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 474:53] - wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 481:47] - wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 481:62] - wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 485:50] - wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 485:48] - wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 489:90] - wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 489:118] + wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 475:101] + wire _T_3629 = _GEN_27 == 3'h4; // @[lsu_bus_buffer.scala 475:167] + wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 475:138] + wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 475:187] + wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 475:53] + wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 482:47] + wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 482:62] + wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 486:50] + wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 486:48] + wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 490:90] + wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] wire _GEN_33 = _T_3703 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_36 = _T_3703 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_40 = _T_3695 ? io_dec_tlu_force_halt : _GEN_33; // @[Conditional.scala 39:67] @@ -2274,34 +2274,34 @@ module lsu_bus_buffer( wire buf_wr_en_0 = _T_3531 & buf_state_en_0; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_75; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_81; // @[Conditional.scala 40:58] - wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 465:44] - wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 465:60] - wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 465:74] - wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 467:67] - wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 467:81] - wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 468:82] - wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 482:91] - wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 483:31] - wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 483:46] - wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 482:143] - wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 484:33] - wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 483:88] - wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 482:68] + wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 466:44] + wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] + wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 466:74] + wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] + wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] + wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 483:86] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 484:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 484:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 483:138] + wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 485:33] + wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 484:88] + wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 483:63] wire _GEN_130 = _T_3783 & _T_3859; // @[Conditional.scala 39:67] wire _GEN_143 = _T_3749 ? _T_3776 : _GEN_130; // @[Conditional.scala 39:67] wire _GEN_155 = _T_3745 ? 1'h0 : _GEN_143; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3722 ? 1'h0 : _GEN_155; // @[Conditional.scala 40:58] - wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 472:73] - wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 472:57] - wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 473:30] - wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 473:28] - wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 473:45] - wire [2:0] _GEN_102 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_103 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_102; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_104 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_103; // @[lsu_bus_buffer.scala 473:90] - wire _T_3794 = _GEN_104 != 3'h4; // @[lsu_bus_buffer.scala 473:90] - wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 473:61] - wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 474:31] + wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 473:73] + wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 473:57] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 474:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 474:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 474:45] + wire [2:0] _GEN_102 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 474:90] + wire [2:0] _GEN_103 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_102; // @[lsu_bus_buffer.scala 474:90] + wire [2:0] _GEN_104 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_103; // @[lsu_bus_buffer.scala 474:90] + wire _T_3794 = _GEN_104 != 3'h4; // @[lsu_bus_buffer.scala 474:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 474:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 475:31] wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2313,17 +2313,17 @@ module lsu_bus_buffer( wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] - wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 474:101] - wire _T_3820 = _GEN_104 == 3'h4; // @[lsu_bus_buffer.scala 474:167] - wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 474:138] - wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 474:187] - wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 474:53] - wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 481:47] - wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 481:62] - wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 485:50] - wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 485:48] - wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 489:90] - wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 489:118] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 475:101] + wire _T_3820 = _GEN_104 == 3'h4; // @[lsu_bus_buffer.scala 475:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 475:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 475:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 475:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 482:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 482:62] + wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 486:50] + wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 486:48] + wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 490:90] + wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] wire _GEN_110 = _T_3894 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3894 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_117 = _T_3886 ? io_dec_tlu_force_halt : _GEN_110; // @[Conditional.scala 39:67] @@ -2342,34 +2342,34 @@ module lsu_bus_buffer( wire buf_wr_en_1 = _T_3722 & buf_state_en_1; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_152; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_158; // @[Conditional.scala 40:58] - wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 465:44] - wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 465:60] - wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 465:74] - wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 467:67] - wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 467:81] - wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 468:82] - wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 482:91] - wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 483:31] - wire _T_4045 = _T_4043 & _T_4022; // @[lsu_bus_buffer.scala 483:46] - wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 482:143] - wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 484:33] - wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 483:88] - wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 482:68] + wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 466:44] + wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] + wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 466:74] + wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] + wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] + wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] + wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 483:86] + wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 484:31] + wire _T_4045 = _T_4043 & _T_4022; // @[lsu_bus_buffer.scala 484:46] + wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 483:138] + wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 485:33] + wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 484:88] + wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 483:63] wire _GEN_207 = _T_3974 & _T_4050; // @[Conditional.scala 39:67] wire _GEN_220 = _T_3940 ? _T_3967 : _GEN_207; // @[Conditional.scala 39:67] wire _GEN_232 = _T_3936 ? 1'h0 : _GEN_220; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3913 ? 1'h0 : _GEN_232; // @[Conditional.scala 40:58] - wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 472:73] - wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 472:57] - wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 473:30] - wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 473:28] - wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 473:45] - wire [2:0] _GEN_179 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_180 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_179; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_181 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_180; // @[lsu_bus_buffer.scala 473:90] - wire _T_3985 = _GEN_181 != 3'h4; // @[lsu_bus_buffer.scala 473:90] - wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 473:61] - wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 474:31] + wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 473:73] + wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 473:57] + wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 474:30] + wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 474:28] + wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 474:45] + wire [2:0] _GEN_179 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 474:90] + wire [2:0] _GEN_180 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_179; // @[lsu_bus_buffer.scala 474:90] + wire [2:0] _GEN_181 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_180; // @[lsu_bus_buffer.scala 474:90] + wire _T_3985 = _GEN_181 != 3'h4; // @[lsu_bus_buffer.scala 474:90] + wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 474:61] + wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 475:31] wire _T_3994 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3996 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3998 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2381,17 +2381,17 @@ module lsu_bus_buffer( wire _T_4006 = _T_4002 | _T_4003; // @[Mux.scala 27:72] wire _T_4007 = _T_4006 | _T_4004; // @[Mux.scala 27:72] wire _T_4008 = _T_4007 | _T_4005; // @[Mux.scala 27:72] - wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 474:101] - wire _T_4011 = _GEN_181 == 3'h4; // @[lsu_bus_buffer.scala 474:167] - wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 474:138] - wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 474:187] - wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 474:53] - wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 481:47] - wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 481:62] - wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 485:50] - wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 485:48] - wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 489:90] - wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 489:118] + wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 475:101] + wire _T_4011 = _GEN_181 == 3'h4; // @[lsu_bus_buffer.scala 475:167] + wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 475:138] + wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 475:187] + wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 475:53] + wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 482:47] + wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 482:62] + wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 486:50] + wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 486:48] + wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 490:90] + wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] wire _GEN_187 = _T_4085 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_190 = _T_4085 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_194 = _T_4077 ? io_dec_tlu_force_halt : _GEN_187; // @[Conditional.scala 39:67] @@ -2410,34 +2410,34 @@ module lsu_bus_buffer( wire buf_wr_en_2 = _T_3913 & buf_state_en_2; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_229; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_235; // @[Conditional.scala 40:58] - wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 465:44] - wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 465:60] - wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 465:74] - wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 467:67] - wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 467:81] - wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 468:82] - wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 482:91] - wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 483:31] - wire _T_4236 = _T_4234 & _T_4213; // @[lsu_bus_buffer.scala 483:46] - wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 482:143] - wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 484:33] - wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 483:88] - wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 482:68] + wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 466:44] + wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] + wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 466:74] + wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] + wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] + wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] + wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 483:86] + wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 484:31] + wire _T_4236 = _T_4234 & _T_4213; // @[lsu_bus_buffer.scala 484:46] + wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 483:138] + wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 485:33] + wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 484:88] + wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 483:63] wire _GEN_284 = _T_4165 & _T_4241; // @[Conditional.scala 39:67] wire _GEN_297 = _T_4131 ? _T_4158 : _GEN_284; // @[Conditional.scala 39:67] wire _GEN_309 = _T_4127 ? 1'h0 : _GEN_297; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4104 ? 1'h0 : _GEN_309; // @[Conditional.scala 40:58] - wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 472:73] - wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 472:57] - wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 473:30] - wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 473:28] - wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 473:45] - wire [2:0] _GEN_256 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_257 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_256; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_258 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_257; // @[lsu_bus_buffer.scala 473:90] - wire _T_4176 = _GEN_258 != 3'h4; // @[lsu_bus_buffer.scala 473:90] - wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 473:61] - wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 474:31] + wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 473:73] + wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 473:57] + wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 474:30] + wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 474:28] + wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 474:45] + wire [2:0] _GEN_256 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 474:90] + wire [2:0] _GEN_257 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_256; // @[lsu_bus_buffer.scala 474:90] + wire [2:0] _GEN_258 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_257; // @[lsu_bus_buffer.scala 474:90] + wire _T_4176 = _GEN_258 != 3'h4; // @[lsu_bus_buffer.scala 474:90] + wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 474:61] + wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 475:31] wire _T_4185 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_4187 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_4189 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2449,17 +2449,17 @@ module lsu_bus_buffer( wire _T_4197 = _T_4193 | _T_4194; // @[Mux.scala 27:72] wire _T_4198 = _T_4197 | _T_4195; // @[Mux.scala 27:72] wire _T_4199 = _T_4198 | _T_4196; // @[Mux.scala 27:72] - wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 474:101] - wire _T_4202 = _GEN_258 == 3'h4; // @[lsu_bus_buffer.scala 474:167] - wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 474:138] - wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 474:187] - wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 474:53] - wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 481:47] - wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 481:62] - wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 485:50] - wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 485:48] - wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 489:90] - wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 489:118] + wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 475:101] + wire _T_4202 = _GEN_258 == 3'h4; // @[lsu_bus_buffer.scala 475:167] + wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 475:138] + wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 475:187] + wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 475:53] + wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 482:47] + wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 482:62] + wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 486:50] + wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 486:48] + wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 490:90] + wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] wire _GEN_264 = _T_4276 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_267 = _T_4276 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4268 ? io_dec_tlu_force_halt : _GEN_264; // @[Conditional.scala 39:67] @@ -2483,51 +2483,51 @@ module lsu_bus_buffer( reg _T_4337; // @[Reg.scala 27:20] reg _T_4340; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4340,_T_4337,_T_4334,_T_4331}; // @[Cat.scala 29:58] - reg _T_4406; // @[lsu_bus_buffer.scala 528:80] - reg _T_4401; // @[lsu_bus_buffer.scala 528:80] - reg _T_4396; // @[lsu_bus_buffer.scala 528:80] - reg _T_4391; // @[lsu_bus_buffer.scala 528:80] + reg _T_4406; // @[lsu_bus_buffer.scala 529:80] + reg _T_4401; // @[lsu_bus_buffer.scala 529:80] + reg _T_4396; // @[lsu_bus_buffer.scala 529:80] + reg _T_4391; // @[lsu_bus_buffer.scala 529:80] wire [3:0] buf_error = {_T_4406,_T_4401,_T_4396,_T_4391}; // @[Cat.scala 29:58] - wire _T_4388 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 528:84] - wire _T_4389 = ~buf_rst_0; // @[lsu_bus_buffer.scala 528:126] - wire _T_4393 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 528:84] - wire _T_4394 = ~buf_rst_1; // @[lsu_bus_buffer.scala 528:126] - wire _T_4398 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 528:84] - wire _T_4399 = ~buf_rst_2; // @[lsu_bus_buffer.scala 528:126] - wire _T_4403 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 528:84] - wire _T_4404 = ~buf_rst_3; // @[lsu_bus_buffer.scala 528:126] + wire _T_4388 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 529:84] + wire _T_4389 = ~buf_rst_0; // @[lsu_bus_buffer.scala 529:126] + wire _T_4393 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 529:84] + wire _T_4394 = ~buf_rst_1; // @[lsu_bus_buffer.scala 529:126] + wire _T_4398 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 529:84] + wire _T_4399 = ~buf_rst_2; // @[lsu_bus_buffer.scala 529:126] + wire _T_4403 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 529:84] + wire _T_4404 = ~buf_rst_3; // @[lsu_bus_buffer.scala 529:126] wire [1:0] _T_4410 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 530:28] + wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 531:28] wire [1:0] _T_4412 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 530:94] - wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 530:88] - wire [2:0] _GEN_398 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 530:154] - wire [3:0] _T_4415 = _T_4414 + _GEN_398; // @[lsu_bus_buffer.scala 530:154] - wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 530:217] - wire [1:0] _GEN_399 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 530:217] - wire [2:0] _T_4421 = _T_4420 + _GEN_399; // @[lsu_bus_buffer.scala 530:217] - wire [2:0] _GEN_400 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 530:217] - wire [3:0] _T_4422 = _T_4421 + _GEN_400; // @[lsu_bus_buffer.scala 530:217] - wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 530:169] - wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 536:52] - wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 536:92] - wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 536:121] - wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 537:52] - wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 537:52] - wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 537:52] - wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 537:52] - wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 537:65] - wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 537:65] - wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 537:65] - wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 537:34] - wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 537:70] - wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 539:64] - wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 539:85] - wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 539:112] - wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 539:110] - wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 539:129] - wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 542:74] - reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 627:66] + wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 531:94] + wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 531:88] + wire [2:0] _GEN_398 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 531:154] + wire [3:0] _T_4415 = _T_4414 + _GEN_398; // @[lsu_bus_buffer.scala 531:154] + wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 531:217] + wire [1:0] _GEN_399 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 531:217] + wire [2:0] _T_4421 = _T_4420 + _GEN_399; // @[lsu_bus_buffer.scala 531:217] + wire [2:0] _GEN_400 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 531:217] + wire [3:0] _T_4422 = _T_4421 + _GEN_400; // @[lsu_bus_buffer.scala 531:217] + wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 531:169] + wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 537:52] + wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 537:92] + wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 537:121] + wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 538:52] + wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 538:52] + wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 538:52] + wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 538:52] + wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 538:65] + wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 538:65] + wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 538:65] + wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 538:34] + wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 538:70] + wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 540:64] + wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 540:85] + wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 540:112] + wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 540:110] + wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 540:129] + wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 543:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 628:66] wire _T_4529 = _T_2770 & _T_3645; // @[Mux.scala 27:72] wire _T_4530 = _T_2792 & _T_3836; // @[Mux.scala 27:72] wire _T_4531 = _T_2814 & _T_4027; // @[Mux.scala 27:72] @@ -2535,32 +2535,32 @@ module lsu_bus_buffer( wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] - wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 545:121] - wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 545:121] - wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 545:121] - wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 545:121] + wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 546:121] + wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 546:121] + wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 546:121] + wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 546:121] wire _T_4556 = _T_2770 & _T_4540; // @[Mux.scala 27:72] wire _T_4557 = _T_2792 & _T_4545; // @[Mux.scala 27:72] wire _T_4558 = _T_2814 & _T_4550; // @[Mux.scala 27:72] wire _T_4559 = _T_2836 & _T_4555; // @[Mux.scala 27:72] wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] - wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 546:122] - wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 546:137] - wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 546:135] - wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 546:119] - wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 546:122] - wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 546:137] - wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 546:135] - wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 546:119] - wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 546:122] - wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 546:137] - wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 546:135] - wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 546:119] - wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 546:122] - wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 546:137] - wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 546:135] - wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 546:119] + wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 547:122] + wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 547:137] + wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 547:135] + wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 547:119] + wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 547:122] + wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 547:137] + wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 547:135] + wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 547:119] + wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 547:122] + wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 547:137] + wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 547:135] + wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 547:119] + wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 547:122] + wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 547:137] + wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 547:135] + wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 547:119] wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_401 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] @@ -2572,10 +2572,10 @@ module lsu_bus_buffer( wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] - wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 548:105] - wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 548:105] - wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 548:105] - wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 548:105] + wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 549:105] + wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 549:105] + wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 549:105] + wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 549:105] wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2594,7 +2594,7 @@ module lsu_bus_buffer( wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 549:96] + wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 550:96] wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2610,24 +2610,24 @@ module lsu_bus_buffer( wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] wire [63:0] _T_4712 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_402 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 553:121] - wire [5:0] _T_4713 = _GEN_402 * 4'h8; // @[lsu_bus_buffer.scala 553:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 553:92] - wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 555:82] - wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 556:94] - wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 556:76] + wire [3:0] _GEN_402 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 554:121] + wire [5:0] _T_4713 = _GEN_402 * 4'h8; // @[lsu_bus_buffer.scala 554:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 554:92] + wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 556:82] + wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 557:94] + wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 557:76] wire [31:0] _T_4719 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 557:45] - wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 557:26] + wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 558:45] + wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 558:26] wire [31:0] _T_4723 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 558:6] - wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 558:27] + wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 559:6] + wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 559:27] wire [23:0] _T_4729 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4731 = {_T_4729,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 559:27] + wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 560:27] wire [15:0] _T_4737 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4739 = {_T_4737,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 560:21] + wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 561:21] wire [31:0] _T_4741 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4742 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4743 = _T_4726 ? _T_4731 : 32'h0; // @[Mux.scala 27:72] @@ -2638,60 +2638,60 @@ module lsu_bus_buffer( wire [31:0] _T_4748 = _T_4747 | _T_4744; // @[Mux.scala 27:72] wire [63:0] _GEN_403 = {{32'd0}, _T_4748}; // @[Mux.scala 27:72] wire [63:0] _T_4749 = _GEN_403 | _T_4745; // @[Mux.scala 27:72] - wire _T_4843 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 578:37] - wire _T_4844 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 578:52] - wire _T_4845 = _T_4843 & _T_4844; // @[lsu_bus_buffer.scala 578:50] + wire _T_4843 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 579:37] + wire _T_4844 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 579:52] + wire _T_4845 = _T_4843 & _T_4844; // @[lsu_bus_buffer.scala 579:50] wire [31:0] _T_4849 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4851 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4856 = ~obuf_data_done; // @[lsu_bus_buffer.scala 590:51] - wire _T_4857 = _T_4843 & _T_4856; // @[lsu_bus_buffer.scala 590:49] + wire _T_4856 = ~obuf_data_done; // @[lsu_bus_buffer.scala 591:51] + wire _T_4857 = _T_4843 & _T_4856; // @[lsu_bus_buffer.scala 591:49] wire [7:0] _T_4861 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4864 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 595:37] - wire _T_4866 = _T_4864 & _T_1347; // @[lsu_bus_buffer.scala 595:51] - wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4864 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 596:37] + wire _T_4866 = _T_4864 & _T_1347; // @[lsu_bus_buffer.scala 596:51] + wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 609:126] + wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 609:141] + wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 609:126] + wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 609:141] + wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 609:126] + wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 609:141] + wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 609:126] + wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 609:141] wire _T_4896 = _T_2770 & _T_4880; // @[Mux.scala 27:72] wire _T_4897 = _T_2792 & _T_4885; // @[Mux.scala 27:72] wire _T_4898 = _T_2814 & _T_4890; // @[Mux.scala 27:72] wire _T_4899 = _T_2836 & _T_4895; // @[Mux.scala 27:72] wire _T_4900 = _T_4896 | _T_4897; // @[Mux.scala 27:72] wire _T_4901 = _T_4900 | _T_4898; // @[Mux.scala 27:72] - wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 609:93] - wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 609:108] - wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 609:93] - wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 609:108] - wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 609:93] - wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 609:108] + wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 610:93] + wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 610:108] + wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 610:93] + wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 610:108] + wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 610:93] + wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 610:108] wire [1:0] _T_4926 = _T_4918 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4927 = _T_4923 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_404 = {{1'd0}, _T_4913}; // @[Mux.scala 27:72] wire [1:0] _T_4929 = _GEN_404 | _T_4926; // @[Mux.scala 27:72] wire [1:0] lsu_imprecise_error_store_tag = _T_4929 | _T_4927; // @[Mux.scala 27:72] - wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:97] - wire [31:0] _GEN_361 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_362 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_361; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_363 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_362; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_365 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_366 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_365; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_367 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_366; // @[lsu_bus_buffer.scala 612:53] - wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 618:82] - wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 619:60] - wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 622:61] - wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 622:59] - wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 622:107] - wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 622:105] - wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 622:83] - wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 622:153] - wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 622:151] - wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 626:75] - wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 626:73] - reg _T_4956; // @[lsu_bus_buffer.scala 626:56] + wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 612:97] + wire [31:0] _GEN_361 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 613:53] + wire [31:0] _GEN_362 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_361; // @[lsu_bus_buffer.scala 613:53] + wire [31:0] _GEN_363 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_362; // @[lsu_bus_buffer.scala 613:53] + wire [31:0] _GEN_365 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 613:53] + wire [31:0] _GEN_366 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_365; // @[lsu_bus_buffer.scala 613:53] + wire [31:0] _GEN_367 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_366; // @[lsu_bus_buffer.scala 613:53] + wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 619:82] + wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 620:60] + wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 623:61] + wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 623:59] + wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 623:107] + wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 623:105] + wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 623:83] + wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 623:153] + wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 623:151] + wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 627:75] + wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 627:73] + reg _T_4956; // @[lsu_bus_buffer.scala 627:56] rvclkhdr rvclkhdr ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2752,53 +2752,53 @@ module lsu_bus_buffer( .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 618:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 619:41] - assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 620:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 622:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 611:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 608:48] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_363 : _GEN_367; // @[lsu_bus_buffer.scala 612:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 539:45] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 540:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 542:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 543:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 555:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 545:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 546:46] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 556:42] - assign io_lsu_axi_aw_valid = _T_4845 & _T_1237; // @[lsu_bus_buffer.scala 578:23] - assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 579:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 580:27] - assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 584:29] - assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 585:26] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 581:27] - assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 586:28] - assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 588:27] - assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 583:28] - assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 582:27] - assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 587:26] - assign io_lsu_axi_w_valid = _T_4857 & _T_1237; // @[lsu_bus_buffer.scala 590:22] - assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 592:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4861; // @[lsu_bus_buffer.scala 591:26] - assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 593:26] - assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 606:22] - assign io_lsu_axi_ar_valid = _T_4866 & _T_1237; // @[lsu_bus_buffer.scala 595:23] - assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 596:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 597:27] - assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 601:29] - assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 602:26] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 598:27] - assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 603:28] - assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 605:27] - assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 600:28] - assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 599:27] - assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 604:26] - assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 607:22] - assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 626:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 535:30] - assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 536:30] - assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 537:31] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 619:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 620:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 621:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 623:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 612:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 609:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_363 : _GEN_367; // @[lsu_bus_buffer.scala 613:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 540:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 541:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 543:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 544:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 556:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 546:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 547:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 557:42] + assign io_lsu_axi_aw_valid = _T_4845 & _T_1237; // @[lsu_bus_buffer.scala 579:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 580:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 581:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 585:29] + assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 586:26] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 582:27] + assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 587:28] + assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 589:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 584:28] + assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 583:27] + assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 588:26] + assign io_lsu_axi_w_valid = _T_4857 & _T_1237; // @[lsu_bus_buffer.scala 591:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 593:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4861; // @[lsu_bus_buffer.scala 592:26] + assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 594:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 607:22] + assign io_lsu_axi_ar_valid = _T_4866 & _T_1237; // @[lsu_bus_buffer.scala 596:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 597:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 598:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 602:29] + assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 603:26] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 599:27] + assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 604:28] + assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 606:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 601:28] + assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 600:27] + assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 605:26] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 608:22] + assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 627:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 536:30] + assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 537:30] + assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 538:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] @@ -3747,7 +3747,7 @@ end // initial buf_ageQ_3 <= {_T_2474,_T_2397}; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin if (reset) begin _T_1781 <= 2'h0; end else if (_T_1780) begin @@ -3758,14 +3758,14 @@ end // initial end end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin if (reset) begin obuf_merge <= 1'h0; end else if (_T_1780) begin obuf_merge <= obuf_merge_en; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin if (reset) begin obuf_tag1 <= 2'h0; end else if (_T_1780) begin @@ -3783,7 +3783,7 @@ end // initial obuf_valid <= _T_1771 & _T_1772; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_wr_enQ <= 1'h0; end else if (io_lsu_busm_clken) begin @@ -4083,7 +4083,7 @@ end // initial ibuf_sz <= ibuf_sz_in; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin _T_1791 <= 1'h0; end else if (obuf_wr_en) begin @@ -4146,7 +4146,7 @@ end // initial _T_4316 <= buf_sideeffect_in[0]; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin if (reset) begin obuf_sideeffect <= 1'h0; end else if (_T_1780) begin @@ -4213,7 +4213,7 @@ end // initial buf_samedw_0 <= buf_samedw_in[0]; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin if (reset) begin obuf_write <= 1'h0; end else if (_T_1780) begin @@ -4224,14 +4224,14 @@ end // initial end end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_cmd_done <= 1'h0; end else if (io_lsu_busm_clken) begin obuf_cmd_done <= obuf_cmd_done_in; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else if (io_lsu_busm_clken) begin @@ -4305,7 +4305,7 @@ end // initial obuf_rdrsp_pend <= obuf_rdrsp_pend_in; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_rdrsp_tag <= 3'h0; end else if (io_lsu_busm_clken) begin @@ -4342,7 +4342,7 @@ end // initial buf_dualhi_0 <= buf_dualhi_in[0]; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin if (reset) begin obuf_sz <= 2'h0; end else if (_T_1780) begin @@ -4353,7 +4353,7 @@ end // initial end end end - always @(posedge clock or posedge reset) begin + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin if (reset) begin obuf_byteen <= 8'h0; end else if (_T_1780) begin diff --git a/src/main/scala/lib/lib.scala b/src/main/scala/lib/lib.scala index a86f83e5..bdefa820 100644 --- a/src/main/scala/lib/lib.scala +++ b/src/main/scala/lib/lib.scala @@ -374,14 +374,14 @@ trait lib extends param{ object rvdff_fpga { def apply(din: UInt, clk: Clock, clken: Bool,rawclk:Clock):UInt = { if (RV_FPGA_OPTIMIZE) - withClock(rawclk) {RegEnable (din, 0.U, clken)} + withClock(clk) {RegEnable (din, 0.U, clken)} else RegNext (din, 0.U) } } object rvdffs_fpga { def apply(din: UInt, en:Bool,clk: Clock, clken: Bool,rawclk:Clock):UInt = { if (RV_FPGA_OPTIMIZE) - withClock (rawclk) {RegEnable (din, 0.U, (clken & en))} + withClock (clk) {RegEnable (din, 0.U, (clken & en))} else RegEnable (din, 0.U,en) } } diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index a530c6bf..d7da9b60 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -353,6 +353,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { obuf_cmd_done := rvdff_fpga (obuf_cmd_done_in,io.lsu_busm_clk,io.lsu_busm_clken,clock) obuf_data_done := rvdff_fpga (obuf_data_done_in,io.lsu_busm_clk,io.lsu_busm_clken,clock) obuf_rdrsp_tag := rvdff_fpga (obuf_rdrsp_tag_in,io.lsu_busm_clk,io.lsu_busm_clken,clock) + obuf_tag0 := rvdffs_fpga (obuf_tag0_in,obuf_wr_en,io.lsu_bus_obuf_c1_clk,io.lsu_bus_obuf_c1_clken,clock) val obuf_tag1 = rvdffs_fpga (obuf_tag1_in,obuf_wr_en,io.lsu_bus_obuf_c1_clk,io.lsu_bus_obuf_c1_clken,clock) val obuf_merge = rvdffs_fpga (obuf_merge_in,obuf_wr_en,io.lsu_bus_obuf_c1_clk,io.lsu_bus_obuf_c1_clken,clock) @@ -479,7 +480,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_state_bus_en(i) := buf_resp_state_bus_en(i) buf_state_en(i) := (buf_state_bus_en(i) & io.lsu_bus_clk_en) | io.dec_tlu_force_halt buf_data_en(i) := buf_state_bus_en(i) & bus_rsp_read & io.lsu_bus_clk_en - buf_error_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & ((bus_rsp_read_error & (bus_rsp_read_tag === (i.asUInt(LSU_BUS_TAG.W)))) | + buf_error_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & ((bus_rsp_read_error & (bus_rsp_read_tag === (i.asUInt(LSU_BUS_TAG.W)))) | (bus_rsp_read_error & buf_ldfwd(i) & (bus_rsp_read_tag === buf_ldfwdtag(i))) | (bus_rsp_write_error & (bus_rsp_write_tag === i.asUInt(LSU_BUS_TAG.W)))) buf_data_in(i) := Mux((buf_state_en(i) & !buf_error_en(i)), Mux(buf_addr(i)(2), bus_rsp_rdata(63, 32), bus_rsp_rdata(31, 0)), bus_rsp_rdata(31, 0)) diff --git a/target/scala-2.12/classes/lib/lib$rvdff_fpga$.class b/target/scala-2.12/classes/lib/lib$rvdff_fpga$.class index 1c954f8e624c7b0b31e1ae6ab3a60bf5a66987fe..cd8951bb44e792ebbf08020a8350009bbc5f700a 100644 GIT binary patch delta 129 zcmew&{#ks(PEMtr3>*xs3=9lGT3Z+tL3k&FDkH;A22Cc0nGE8a81!`}-{Exi)l6q+ z@Mf3*RLIA`%)r1{!63?5$)L$t#V`>lW&$ySA)lcD$O9V2Aj43|zzAl^G88c|fmw14 O#UQ;5L7Vfq+86*xs3=9mxT3Z+tL3k&FDkH;A22Cc0nG6z}81yAsCg0|CWz?Fi z$R%p7#aP8K5vbY(qK6@$p#aDO>SmB(C}dy+vt${H7?{8;Ifh~eW)N$0E>{~P0CEEq AcK`qY diff --git a/target/scala-2.12/classes/lib/lib$rvdffs_fpga$.class b/target/scala-2.12/classes/lib/lib$rvdffs_fpga$.class index b673334b592694b4fbd570f78bb0c5c02214ad2f..e24fa1cd3a87dde7445d61d71e136caf3667b1aa 100644 GIT binary patch delta 145 zcmew)`B8F16PMB^237_(1_lO4tt|{nAiR@7jges|gBBCROa{qK38z$`h2 a6p&t^tUOSR1;m{lb3LrgzJQ` zGx#vf1*+s@U}j)otY;8qY+%q~Y-E@R6tjbv!H~d^2;>1xV~}A;VqgTbWEqkfn7}MK bh7^!qpsYMlj0MD+tj`_7Xt}wCJC_jv#v&I) diff --git a/target/scala-2.12/classes/lsu/buffer$.class b/target/scala-2.12/classes/lsu/buffer$.class index d3c6fe9c8d4f328cbd0615a61ae1176de6823c93..eea73bd2fd0fb6b720aa12aee08c37a195ff0f0b 100644 GIT binary patch delta 107 zcmbO$H&<@MBQD0W$$~thlYek&09lIM31Cuu@=R_ksLV@lbuh~oDwD(3g!Rf gv4*k&d0iRHCr{_~Wi4k=XDXZglD7gVlf<_h0LalGD*ylh delta 107 zcmbO$H&<@MBQD0$$$~thlYek&09lIM31Cuu@=R_ksLV@lbuh~oDwD(3g!Rf gv4*k&d0iRHCQs+}Wi4Y+XDXfilD7gVlf<_h0LE4z9smFU diff --git a/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class b/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class index 3f48b82cf4e2adec71fd3aca1a60b3d2c0f58139..0ea4c74e1cae8b2d1fdd8fa0d079683af23a6d06 100644 GIT binary patch delta 19 Zcmcb~dXsg74HIM8WLu^HAlb*{4FE#T1}Ojl delta 19 Zcmcb~dXsg74HIMOWLu^HAlb*{4FE#D1}6Xj diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class index 254e78430d9d37feef3a2e84f6d3c1186cfbea02..8b501504f36503074b541d6d2e84aa27928ebc28 100644 GIT binary patch delta 13479 zcmZ{Ld0fxg_y7AYQSTP-P-rhgkrvsP%rF{b%T7oce8v)$8A2%xrAYg+rkHFWKC)&R z)Qo+G!3>`n%V3y|vCqm3-{-xrN}u23@%tm^yw17nx#ynkzCB#r^x@*Bse9SrB>FLl zIZ$*GOJg(XrzDoo5-B;E<qv+z*Yfc<>b8-tama%_;e{)Hx)KA1DMS+U=M1rg_&r6n9R%2 zd~6n2+n0e*NukRzLnWOtKvb6gdImsl*=SX;0KqxK&>tltr+W?jW9oc}+^=R-$ zw3zo12)}Lw-I0<$0zHHrO95hN69E0wj@wiCCYEks*K4r&%`Bb0U;cbEYut;vKENPe zzhD}T?Niu`hoHUlpc=cWumz5lQpvv~w;;1ke_YOuDCL7Vdww9_@%*e9SlJOk}% z4VLy4w2r@m=Ju=_+oiB`iq`vAY$%q_|B9VVr+0q?Xh44&VEOO*%jXXb$_>!(PyKZp zfYv|92#fy0SZ7`UYy7+#+fjqP`2yp+(AgJge*G1*r>TEKL<%eX$3PqiSeha^P^*{K zSVavs>=j0EryH*@!WzaM3Q~BQMyMg+TD3}8@rIP^kNN@*wPk`Nm9t+T0 z(qakFnp~_j*r`AO^{N99Lf-(;eKY#ZtBb)kX0fiuN^7vrHr2E*6qag>9uv&f*k1|@ zvj;89wi;_{2W+wfXa{Pr{`R1~RJ8AEu-OivwX}d{SFai?QP>ehYj43$y3tV!mieP2 z2+@vUCDjM^8!*;`lIw%DsR0O{4Z!Ns5ZGRYT~Jt`hG^8AE;dA?=T0EZbOLLIGcaps zu=-H8Ggt>3fe_LNtO1RIodU-C(ZI%#QGdD%K=v-6FKGgL1Ua~X(7_diG8YgAQ%6@c z97^W^=yx~JXSiXgOm|??9hjE~uvNg=Nb>eTqjx+(_|yZen+hB03D#)3SKo^ZQXjzSIslmGWRnsojVE^*3rv0t3X>D-#vs>|W1M_WNjUB4N z1_tnS+_SF(Fn27)w$XqG0?Z&XKwz5~ zB3-FRec=E+dK&0wPIE`J@1)yvP;dVRs@ECb1bE4ZXq|qRThO9+O^fU^yfv7+{^m79 z<=g_Uh64SK?ifH#fldRB$w!~nlZ>J_RG*BqTupzmRCp9N7q)0N#%OlSUy!LP)Z67sXETrZARZI?ZOXy)1zTvv?kb@haU#2AgdxZ8mxL!g4AW z3VSgddw$Yic+!_1qLWCpcy_YKlskZ`evI-St9div)NEK2kV^6yR$h^ zn?(LGn=Kk(ws0RoESYZlh<8{DK>}`c>pr)mUB1Gb{@qg8QA$%`&r&c^)lO0@VN}^b zc+kP-!kIQgJ{fbEJEH`)RJbZP;P+`B4qbUpRsuRi%}w#0=y z5XTgqEUajFbI}-M&1jCJOjX(hdJyPXY(tT1Nq)Utu;ZVAT^6dB61HBtQ&2sDNoY_D zF&W@+3o)9?{6rnP>w~#Ev=shqkvdvKMjRg%U7$WeAyO(?H4%#}!wd~ugzKX;43tT9 zF-W-6g`H}bizwU=V=tmzequDsp~qLbC$;t$ZCIW<123BAF9KMBfuB)08F-^sz*iag z#8zm(MhO6V+DbGQYakXVmj`8c6=p~KCACGi*3!_p0%yA7Gy+=VFxS$qB&;YoRG1wc z{->=v5I?aN_e5WhwR9mG8!v7H0p4vbLc}_#r9S(u-Gl?Z6@V+WjvfVW#fA zgq><0Q`HVQ`nZ+f4-#I?eT`{4TgxW1b;88f;>3$&AzLRa*cy2c4O@nYQvi=cM26KG zQ){3(J)!E`+ln@n(!N?%UbGdhXi`U>xU@4aR_(9YizW7x!Wj-Fo4=Zm^8fmyG`EAezwj&QOWKj!=&c>U`Yj!d#8_2vr91 zLuf$IJS=nr-MXX9V@FY+u6Bk-o$DxK=|&eAir$?tH?TnZwUcPYHYx?^C^q5(D5Hz- z;yKuezHS@o@pdKrUZOte*E);Y07JUKbZ#`{Y1>rO8eW@$ys zy9*~YgiES2cbhGdhMI0NtQFL>0r`gEYHz8ftAR)WYt$5+Fk{bKw2p#@jrf5aZWx8l zmg&Y?ODV>)S|(e{p&wnDdnxp96ANXV`Dbhs|BY>tF>JHUWTkQ+2I~B#I7R1|;;8L= ziWI9-sNfbQvQczGS?D^wa7(r-zvCyi!W=7!0GamM29c$Ys7qUVi3B>DWL<~aWZ<9X zZ{g@(f4cV(P3fz*aERNWmmQJSzA;$17M_Ib8`&FXZX4{VcBI>?H?oalhG2T_Dbc!n zmHxd{98i=CFf z#)LZ|S#4K$;@a2PRl1g8ZBMWIh$yTI-<6nE7Pkj> zA02N~W*X0Su~K|~)(k3-!}aSO1^qhWAPyA9pf0n2WB)L+a2NjtFDw`{lJ zSTte(0P!ta6buwOVh^?-JOs-Lj}Srl3ZPx#(Dg5d2wM~tF1~_stB4T&fjbNm$uxa1 zt|bkEF!n091-A=f)Q|ArZ+ED+IQCNfXwe#NaLNGyGX`V%d+D3OVi?;;fkQ+v0M54` zfQ}(wFLYnwObm-T>(JjrL^vAs8j6W6Bj6ss7>Y|ZX$0bkj>AN#*pG`;IYM-x4Xu>F zKv2Z?8zG)H3Hyyj>?g}6b@kMUZx6@H_fy_*jQHm=oU7Xi5XxU~h0-t{2qi8!ub^E} z&tqYtktnZHPgP7AiN%*I%i~5p`oTq&t7*Kbc%&Ev{OL&S^Z>>ESS1@u8YO~2&`~pX zDJftaEad_C5v^>I<3t~-GX|TBh!kJ4Pe}EFJ^V9->`k;5;8A7KlTL=x~%Cw05jm1y1`Yv^)G-gXya%40>28nx|e9 za2+1Qgi2D&0^y@^x|2_|n8zw8noCzY7>yXMLb-82QDJF9fhoe7K7S8(+dW1M6qV2* z?a!CTh<5nuPcf~fdp)~^+UilMJf$B>O1tiGcX~J;QA6+lI?neL}W>;xtL zOWb6qDSo+VMoI5MrB55OK3z-Jk*KrjApUU*uIU*XFd5Q1ONo=kXEvuX>KPV>&wf)x zIVy1&$(wwqLW|GAJ>zWLY0Oj@qH~rcEkxQf4J57W2d4^0(EHZ10q6AW7zT4VQ^#po z|9N#~-v&@Y7XS>A5pqj*9_Q^{jOe{dtZ83gzDwz)7m@!>6rsp#dAKcFt_Eb3?q0S{k8> zE#t5O?IAXS)Y;S5Z2$p~GF7a>H)81q%nc%=rH=*0}! zsxOsb;dZ_kc9MN*>80+PE5*eL8}X&ZO=*ZXt&0;O>?=bZeu+bT{}myjMIe#|ckdC8 zxxX^ZuU7(=yCqfl(wuliAD5Nj+(>m1moc~h_Bj5Of`@h6J2)uv2R(k!Hm-#|So zLm|m;baYHxWmt`9z58nvq3#CBw6JnT<5;8kFXB)_4uFt(ldh zwT{bm$>N$a+SP-iqR=i(r)k!2q2^i_+)>uUt5c^lUFakQ|3=Z`aH0$wdsE#KKP&=qi;9MCkasZrfOfIh zDWV9tHbd9RDh2FY>P8P^w{e;MX$L6ccI_L@QRn|V1?T*oaeu0>ry?MaZw|0I75(o} z^gB2ow*{E`j%A9mlskmH&W)BW5YFPRs#u^v#o1lDH42yH=LNzI)HjW$cMSunbDlfu z5S1IExeFoQ@0BdvsiM2E6W`-pbo6((I<&n5|KXS-n@V;Ec`h!Z3r$=kJlPNA^$gmr z4aX10Mb2gjDV8KaLw``gj4K@gE`Ct?d4gyIB)N8I_XAZYK8?{{n?l1&{P6mUO7=sw zm-w-~jwrv76S>>|5&$ zcEfrvyKenEyJh3SZreOy-uB~Pj<(?GyB2e5&O|~ zvB_kbYqB%>nCjGvuuV1zQ$3Sgy+NjMvQHBy@c5#=$ggRzJonTg=)g}>%XHBM1Y93i z8k-J%x(8>dC7p>ca7t8UR&zJNuI*dy8NTHnHC+nle&28;ei?8TZ>ns}oi;2*YVZqk zvq6`66Z-E`(VqQcTxPU#0IsV`x*+h2rMYn*@2jeyQEe0VVOi8!atwYW16uV!YvtHX zXyt?d)yfBk6MaZmGR1kPdu$cE&#l=n{6}_Q3}FwX4|_-lm%*VvQKAS2P!SNox#gmp zcxv%AR_vdJl=!JpCDQTuQ;O?UosvH_LOO^8`MM`O(&t&CC0ZlOao6sS<3s!MXViOz z$g+6?U;dQ+%AV1U6=FX7oyKHi&Oc}g0DY4Uhgg{ni|`j+&Bo1pZuEUYt#gEj)9<`lb_NOV4{bky~o}13H7c?$M#EO^5-r%K!ID1JfS4q3SEQ% z&zHCzZqlob@Z@z0!JbwCHpH;Cs;`iUh%vYq9W4|+s9ho0R@J?m;$@E6p{452tjaGG z3NypvuaOwRUQyeXVmRGiiAZ7XO3@puyR#B>PF+?32+C7H(tQQ2%6qOBOZXc{O&;tR zGTl?A&g^s3+w5!8aQ3rl0()#qV859bu%o8M?4{{z_KF2@6HDie-Qt{gqzp^U_+CQ>vHkNP~;9zX?T10j%kKk$9KvN)Vrftru}1l&u%B%*Lp8U?X+uQ4ai9 z>7mxvFf+0j&}++H;O{SkWI z*nk8ATn8Gx5%HO=aZ~ic*yiI(XyNzTgcSyUBqmE+M^l#3+ix@am`l-nv%=C#(c4a4 zqwWAmok)~Q``gXAZkEkf`_yOpm zK>Ho=+KxI=ggv&G+!3*C$=c7# z14q+Q8k7k4!5a{AgErJX7c028Q}n`%W?)%0VR9LgER;LTPtsu+9L)sC%ttH;Xyy{LCoxoyQ&{0M(ss0%NaIU zn@>HSvvNnOpsvSrR@FK`hBHx@HaZn$erF1cgZo6<=SXArV?j~-uu^A7)9TtQRg7=< zA;oQ^0y8)2w;!QSBUMldpv?Wk2lyLJFl5(C96%$wzF!Q$L$1!A!pqUrg+`Sle9)R@EtxR4#A`~MadYl^0#v2 zO)WEZKgu|wBGbhXO;c4*^`QG7Y0R1#&jrRn&D0A0$oUvb9G8y3RQbaCxzT5r zxkWf~U&F_i9m1%-hQ_HC3g7CK?(?I<3tx#<>gTJBhdXUKB0SK3$T8TH5Gp%{Dt`#w zJO&L2QHq7|F$KQJze3Yd4t1wl72+*0Kd!))2_dga%^6q;QME+?c+nPW`c);)BUJf6 zR0lu7O$=4l4e0vU+!k^Sg)cO&3FzwaEZ1nGW8I`_FnL!$!NG+Z)do08NHNqnX}sGw zfT2rQqYNC%rh_isNI&kVGi+coan}zKvzSfXBzRlXn;7EMr;v5Glh_s1!!oxxemB;PaQG(9|vOY!WC z*u>ta)n~;}Jn234it;GsEQ=5pS`pMVy7o8;G+(bo&Wea9Nae7D-4}cQuwyEQy>(%I+fBVoPJ9 zq%X}IDL1eM!Oo}Kik(k?fh`J9tQ~N82tVlgBuK5*iCYSI0?5+CEOUQLhq;UP>;}09$F40^4Y1 zv>d{A(l6?(j9SG2?4~I(Anu`~3hbr&;{o>3Bn9@E{KQd zmijtOp%Y{*0*(z6K(wLT6F@XmyNLj{v{V5*x-k(vdvcoufPg`PdbC;r3w<*QO&pbW zG@u^;k|#t1wexW4L;ml{N_<7tWVU9>8>ly06`zMrmi3imt@0c1PL{#&r70XHVAo_h z44$&?6b$S{qZM$boeDG}F%_rW*vO)1OqI6ue42Eo?5VPd{L69ReWyt;(L_D%sY%kN zNl(_qu;zyCXhIjKNk7nDOq0{$VDQ@QN{eG*>xXW%cBuas*29pXwESMoXppC9i8m`|Bby>}-8>d-t zs{Ux7q7sm1XqpoTEq1rGQa7pzO|OGJ{uwVF$U9!9F;BxE8=k;ZEgK$ahOpsy%Y7aX z`FK&^1i1@d>z@Sq0lZcl>YpH6(z=;)J8N!~=_kG~A2NSKGBZAaZ}6{{*{Tm@27b(h zHx#7m%CEIHk`ffYY=D0-OU@DjM!Jv41up>VCl=b3dCf&d%Pvux>G1EuiAK#vsWLzn zVclrm6IgPZEt}JUM7&tIR9n5es(lb^V_0uL&)HlWr|lD$}4 z8j*xuv^UBo8(cZ{i-N`Z^g~^Cy`2pB)kZ>iT%7?Y5C&_XGnl)0l17*c&5L>$x zS)X#|%Vav9BK@dCid@b*l2^By^X*8#q`>rbQg3}aQ7J^fSQVA^H0q{$X+3HF5{%nRITSVS zgC%mLcndPuN80Tfv@P)wGSSpD+?cU!FuC$@=(!pGrF5h9{RG9|n z_Gday`fbA|pbYOI-d3hejZaHuU(p9|wrc#s3~7T8cZ21bfdSs3vgH^cB|{DseXBeD ztT_D)PM=KR{V6|FMzVqGr#2|oy2DV^TLuvzQ&2yX(zk6OiVmK}<_Ff={J>h9A4ok4 zFxj9xEyD}x9^?BV|(VfW5~u#aa$*duBSn~k9O z9IR_3UCfd5*{E7V9#t)`6}b>{WNjfwRtvdN9>j+q!zdxo&V!K0RCl_qIAaaY8~MPa zXj#4-MPUVy$cubPBwC5<14L^33*>KXf=YCeBTX=#lay7XCM%^q*^vB!O;keh6B8|g z#^DC8l-t-;c>qp6@OxSpz`NfbTJ zoKK~U)p8GFsn?GUdcg5(WCt+~by+QMu-C|gEY|pmk#(dDMx*W~gTa0Mr)q2@a zBp{44?r$-sm`VRFmSfoaX`v+&e^&UbQyyAU_q$^$6PNsDGB0__CZ&B~GN+}pf2F-L znbYmrd+Eh&^3t{@^U{uN%F>;HpRlPJolNG8a5gPt3*ZhGoAK0S&NQ*%wlJ$d;9#~WD-E!KeVBEVEneYgGOuXEGFMCnoXwW4IL)%Mo3ItxQ%vUU87w;+ zi_AX4aEbL8MR_Bj^;Z?b}1i^-hp#0qoA1E$0=%EhX4H?ftu z&rIe###ZHp0Cr$&@B|nToWl;SJirdGvSUY9HRsl==5m{q zRR_6wwLQ089mDNb@8kBXf8`Eq+VXm961Zi}F7CM2%4A+^<_(IHP3EFZ-mvHFrT;F3bAdy%l)@a zG?}-}J(47+>Fn>ypz9Q-eH2ZqceU(cWaKd zGmV>TJy`u{st$S0v#w8P=2{O@ziqOk74uMK3Ylj;2W8Tf65 zuQBj0>F9!W1yX^+^pC7QPq!X`)l{Zq_&!U~w$#8k071kwV9)yV>OO<~arYnO%j4pU5)^(bn%0)(GIpy>+hxdNCjj_L{4sZXD5 zF#b>&%9MdLC>z)nh5cJ$K{>#za)6=y7>L?9u=6{xkjFV#$U%G}3sPIdV_U=`>n0SC zYwc(h?er9}x#;jT7hO*&Y^ delta 13518 zcmZ{KcU;%i_y7A25#9{nps2`@;X*;ct+} z95^yD%avACem;(Jm7g5t&TMGk=e;jL`aK@MKj56#Ip?l(&pqSb_ub__cbEGl?_r}C zu~-_jh~=|5x~aedTD+L$)1t-9fm$wMsVsp?mw>*WdM9a64M1Nffju{wr5c!Z3IvNO zI|UNksr6C~4g%1Dr4XF-E(A6902tdz3Ckc+P7cc?<4OqvOz&uw1I|hvHr(x+38k!EmujycEY-t9tD~i@^6@-q^ zrd1HyxC(>;tHILPf9kMVnKiV+EJ&ZAv@A%cW73HB>pL__Pr_b|2ze*DdG`I&qcMHJIDFpjExe(~LXqN)N(4aLMoLAsJ z&0Y)PsI?GoR0QE?L`5JJ7J<-n9a}-|*Re+Q7aT^FHy}3Pow2h@v-!8y{x7T9d*I}`xpnbKSIaD^> z!E6V!Y1H@^Ol%tM0HD%e(BsfwF_^}#D6IFdpuKaq7PGkr?613^ZLGsO-v=%G9%v`) zus0rnHu3>z59_ehhoB`q1kLSHEmom0hex2j@)%f;$G|Qr?5x6Ke#7dV=;?2mqZ6%u zqJj7C8dMr!_*4CLO@U@K{||uXWc#NE#Rlm57uL4vPxSZSztEp6P5v8fS9)xKmCy8- z$8!yK8{pM{&?fwEW>2^NVQI{xAdcrV4{FGGK3OsDKsy;vWnL7-K?vj^e9J*-O%nwO z69fp)1W%=V3d@yXb)Xgv&_@R<1E5_EKp$lVdJtW(0=+9mH`G8_Yp})u{xWLwbo*I^^^iZEp{|dU2gXKG4_6F6hRy=e8CTHfxPd;Fes=@mi5m!O-O(h&9au*XV4fbpjsas~G^{0r zhPDLZ*Op*iQP@&XuqKh&3oIKi5I*n%Yoo#jc!M>WPI-fcljcCPd_b5=k9;tPsg%}A z1NYWoyR`=UNNcpz*lTTSv0K2{Op0v_*_mY3PJ?v@2=vuopBrF8d;N6}fMVLByPOW_ zL}P9IYOzCgSg1c}ANoP=Mh#|92_1QwqS=!}08eAlL;>hCn*0JaIBI~`gY?%O10;9S zU(THYV(2{p+SwVs4)22g;Z@+b>ah7;L4z-`uQYe#PjcWD*-73(tg!ggwIia9WGgJ~ z=~^G*N*|u$jlhoWBweY$lW+hY5e53GliU&VJ+(Xs_1bTsdYC++EWFgsQG`H#&WiTU5vSFX%xXfoFWd+p-mvyT9P>BcZD57UCsD_Rs}jU2TUY z#!~F>!i~z$b4lY|MK2L&aiWTI+=D)H75(tllVaP5wm|%8l#^(Se1Iil#o3;^ag3Yr zMIX>8`sgg}ftNhP{O|Vx9%HQE^K&7|&RrBc$C&!DSkp-s$M&#T9>ijJIQl#4F4Eb2 zV`}rsYcQr$wN%)P`B?LV5uzo1>mhoI1r{%3CJQW0jhW1+$d+OIRsB#@V<@D&M` zW>f-%Mh_P)^em}cOX0`#7Pn>d3tv$R>L493qTU@nyWU>nf34?ZcJoc!*aFs`&1bK% zc+4_^_hs=smo4BQv-zSiMhW&7#1_#NZ!wfDA*|nxuHNBxk}ZLTN)n_yz28pQQIe0a zXG<_z&0>~V`q9zG!h;U95lzGr%LJ<4hzTZl#X^@@#v3bILj79_e{>t&O3VN_-%3nk zNtB$7Ifu4}!jmY*4|u>aVbAfQ7jX3^j?QQw;pJo><@%8gJ7oSctg-nkN0wv>ud&A@ z^6XW+>?A6_iw%lcEE>@3ZA5c)Gp7wUF-h4G=z*Z?IVM>aznCM~u@As5@1keXZxhxT z*ho0i^A*;%G_kFitS~z|-d2RN6r~+c>fTPYXG_(-8Y<%WsCo&u6WB>gr6m`!)H2rC z)TKB(%Dg~%ld1!SJAGZI*0_{{eW8k_RN*TovTVA4k+&qj_M#)pH3nJO9tz7h@KXve zH1K8}fUhy|=^Y?nq;voy?I4xGG}!=`VLg>1d7Vny;c z+O_K>P5|8RB+?rcnf!p}3=;nIL1*mBCS!;G>MS~-Q0U(UQ|;YLG&1yFOxOAwTP7*F zi)aFgqiwOU;$E0f@r#pOY#an-o3hx^^sX`>R|@JXg4E}#dRAOanO((n^z*o@$N)(0 z2G>(;%%?|p(VcE~!A7s@E__%CRqw&zeFo!zmRQ1!qy0yB;R4S64}@#sG70-EQ9I*C zUndHCYSTq&Wf}H9a3LmGLRWuOUa^O8qNHxZica8WhJx!7iLuCwmuY@0G{Ul2W2aA}M0lz7>a#`Y1N#rArp+ZEN@ z3#;A!FRR_IM)HMteS_Uj2v9YiZ##UQJ{{W(=LM^6Os)Ijte4g^-2hhl!fL_kXK0~R zn=JM3D_+&xj&Y)mxo%b7zs4bzR>DwvGxx2q!)>e!+s?mW+xTy+R7SGxGJ|cEd(nNb zfw03;;)7s^TVaQ#YTv`y4vHLuj;sdbwCqsf$5-rtLskj_67|9x6%G;&X~$p@2Qk-| zMLJ~<5&`tx%P`%YFvcDzUw0&fo$Iz!;w23 zP3eZs_6UZ};xq4s&8p8F%IS(YSQ#t^VUWLqMFxGj68oL|Dt2CD*m)o7KSTtHU6x_S zpu3<$?NWEC^Zvr6?xM#-;8J%{_)syHl^F?4=m^ok($PxP_iklMF>Zy!;4;dQ6qNK6 zT`6=pCan{Pa$~zHhY2UJgXtQBKb>ta9V9DPfrmk-$v+8Oj)Fd7u=K-7qlSxEn=;cB zR*qdNqc4VI8WlCsZG`A8Dl8NAC?Kj6W{guo_eO{WkaXC3al9&wSfUC0Ld0cA6pR!( z;v+0OXbk2O94Z3wwaBxaG)cWteMtY}X@Lpq1d&#n0 z9W>STtFP;x@?OWX_LAc`F_xN*6<(A&PIPDcsH6q@39Nu|9_c5ViG4N3369rz%zdBo zH!#lO!a`3~v*Ph$6sQl!W0xu^=I0vq(4sIA2!hU%u|!G!li?yO5jwPyO_(f(fIVme z7Wi}(RCQ(ornSG`0=rKXJwZ@975i~AkO;M;BNL&Q{nT(0npI7J&&!=8US$WUq8!XW zCy5ks0BJh5(u3B&A=ZM^Egb7S_`-bYyKwY-P+3_^JtyEg9ae#g^t2Ar*2%h&cZ67o z`<@7nNPHkdGzE^x?JJI0Tqqz(G^I0dBlx>VijkrU7NkS?>PXQQUn3~ePr5gq%T zRVq08vMQ?hk-O8~DM%Dv`8PjwisoydrE{#JDcIdA`Wir1IhwW`{;rCGrXqk=so3GJ zGinT3lR1`7MYdK&Rfa%$8T`A7JF0c1Q5=M(NNai;fq)Y-4O@H^neubBnkCajBlam} zP7__&G38Nf5`tsO{~OT@bX}lynvTeS4A!U5^V`#f1st6r+`FL?vSUW=P@FC8B|Bzm zPN6dpI6kZVeY%KZ&PR|wSBYEfsB~nX%AV|4gLw8C#lI!4;Jz$&wP;0)-bO-o!qE1K zdfJ}APO6|#1}Rv(D6yu51+$lib~wDp))UPJqxQmgW!w} za;HhN;EK*z78#m6V?<_c@CRlIM{tJKa|LJg6wpz~3@E5a6c%w-9otuF%`Eh#ld!Y! zN0F@&2F_yFy^4{pyUZ4j@~opNRnc8($ZV+RES0yz$#S?U%p4y}XQQhx$v;VSr1J{@ zsxVrN5?`s}P!*YKk2vlI%d>?`L%Gj3d!KC{&4~u*PBxN=qtVE7stwE3HE6X`i+f3PjJYq5Ww}w0R zjDuJGmP(UF8%l^p-tnE%p&Ox5V>Z~>mM5{8%Xdb&yFW*m#dp~D>}kSPjl-8jtR9Nefc$l6d}c-M0;Op>#6l__(@C#>}u z_7*$KPp~ifJ@yp{UyGZpxEj^6JehlGI6#$YPgJ(qD;ceV z%SK{wU@>;`GGd1I4L>gy&g`-(*WIbb65$F?xZx)*t5f2ONg#z$d;C3l1;HM5kiA5q z8-XjAgw-@i0{eVNzfbm6`a21me9bsLwZ~HtP{y|bz+oASYkhrA zTvCu%TqkCy>>SrI;yPT8o^V!*Xe@qIH&eKy;_OGd8U`nGD@C}0I?$N2abXkj3>=y&I~8LovAw8+pUVE@#2h1cB3|I z{I9aza`7fhI?wFc1-_VFY|xlpz#%=~U^%;FWn$l3N&F3AKUiI2-#2W)E?Y;iAFR{a z_ttyZCF>{bij4=mYV#|*W?sXto1d}kwlVBSyFhl`ZX)~1?n~fL*>(F~?1sZV_CMF< zCX*@AWM}d=Sv3l^U2GDjMkcpLqfEhMpDI4b6N`>1k5l1#Zjmmq^?7WUhMMn|Izq1W zMjH0$7NVod0r1j;2^HHvNze z^ZG@b=NlO?&tLv+p1-Jo=gWSj|73_Soo}(V>^8S%clgijwiv^Hk=|e(ScMRKU#TMq zKqW>1XIG0p;(?{LG2`}`q7{2!Tp8(d-~q+-tS!wS7|9-d2I}^f2u)`)anbNV6>RP` z@$Z2#jfeC~mdLcZkLdn@J!TK-hb*y#{YI0rG3FCmp}_AdRQj@~bTM1Br3=}(bPAt= zE%}rDa)gKTZ>Co4i7ABrZi;75O`F*trqf_e&JnZ4GgNN~+kqSpV=Pxp6VENZX^vU6 zEX;);$;%aEB>M;1l_If!$ef2ZCR}=;O%U1SLB=i@W!1$zoO`C+ge#R5;A()Wys|UB zuOu!NLSjokB)Gni&;r~z(f@1_&FP(d6p2v<5D=8Sv!)9nkS=2wPx_<~>*-SfnXZM9 zk+q$)CT@p>Lw8|S2=?1&WJJzgVT!OUJ-3qGej z1q9tuKvoVa5-a$C!zK^*3F_YCre5p|)2r;irq|g`(=>L^6vrN$QrKbBa`w!0o;_!Q z43A0N#IAD2dvMOPx!^astTb;x=$8%E6-(XZ@me@yqeuq$a-*0E&}kD=?1ognNz7)} z#vKS&(U9)tAeQaggdAu5MksD(vDgg0eF@478^g~k-ANl2l3w&~Lw8CO7fYM5TMTARv=($vn)vf>F^aZ- zgcCG=51gknd~$7{%@~4HU60P{9?zFK8|OD788`pV)Gr3X6m_8^P1=oVh400DogGa@ z_2&DAVqD&f8n~%S)ZA$JK4d>ljl05(eZm{~02dfR@1xj?ru6+j5yJ3RuZpYSN{G5p zSS7L`7sDB9DHl3bDW)(_dO4bw8_n@T@&Lxse?A^xyeRT8W{2mEhIITm408W|EXd)Y z7$>}Oj|^qCZ{WziEpv^g-ZiPta_K--ZB^#@G2*92 zgi6)hxT>jrH9eG%@!Vhx<)fD3%Y4)n-D$^R;Q{=_VKIe>QTwz9-)YowKj#SjWV zf_)33@*}Y9Ai8n{t%H9`hkr}Vw$Nc`1V!VpfUX|Xat#@s{Vs}v*Sq*B_N}vV z8-ZvE?R7Rb8V@-RVCbu@Fay`skg@L0#)YZc!p0^OcU^`A#%$sy!8@4-qKgy9pyP-- z`>>{v&u}#pp?0Y~i_ixK&pb|?M^Kp~<_pz3$OuQ%YNaVxx_1Cq)onjV*odI$&&7Ne zsV|M4+V8Uz)qhChkw)30nv?HmP@>LiQSdmRiSkz>5}T*`EKESH=HL!FZ3dBa?l_)p zVkqc@@B+v}pryDIsEh+oh(Yw@2{987i}lZcT-`1;prVr^0PL`OhdIu;6mN728xlvY zPYJvw(%nqc_KaI3Gl}n{c+};j*b%h^)DyxpZa= z$$7l&D;8O7X;QdsO$*1%%`BN5{{w?|1kx=G+!RY=gS$RV4r1@BOuh|3!wJ%xu7t_% z6fr>tvUJLsAj4S(-Jc*s*=iavQHF|4i&+ipP8+;&q_ZgY8{Kgh{dc15i|4T6=a7A< zDX|<1og|w9ygdn=T6(?GPQYtulqp{~;b%(PMgTl!%-c-YK0 z0qkj_0uEH9KqI>FCPXaCKpIp3x8&!-Ni9AY`_%qz2{(_LvGy|AEV+|yCW?ul$TeuMC5EY3np8Wtxj5YV2*$$1D#9ce_IY)2dB$`9~E zCVitn{T+Fi`5LO3GY<>)tyS9Ec`_Y8X3{^nP^ti~3l-do*#Mt6U(OeP#$5n18NYhJ z3GhtBWtUyNG}ECc!kNMr;7-#|-PgL&h6ix!v_Q5I{;0f^@0Z*k2cpIWHdM_58D1V# zFxSH2kA%5Vvz7I=-%&lbb*IA%q#yA_*@nysvN7vuBo#dqq!;j@COG?>9>AZDe2knf zI|0!-IUbJY7-VUGyc|vK5`noV$N=gXkA(*yL)33Cy4BW1=`8|LSn4=-D^Yr23Dyhc z>j-s|7s|;1M;6NVGRV=iq3(Lp22`g-Fw9OgZV}ee)wo>QvPdo$U5(<_oh(ams~Eai z&I21k6Tzj6ifdiz!D2ZLV$IY^!R2F=G(#eJiOgi($g>Zkkg>Pjs9TcEB!?u}@2^R+ zHS3|?lXM*|sZ+9a5l^QGWMaP%ow7f)~?*jCu#CPRP(FfJIks$Y}KhZwaZJC^j zpOoQ5_=>)&<7L>aN6X}R(GQ-oA{+XTTrOW01Ca-mw8sO*iREzC1J$f?ccg4?pmDE) zdw)qDD>MODjx~weK;v#zAHRXLWQ7b9gH(J`{rkgs*1sLqoD)`5d6tEfra-=cJtkrTbja`kUe7+jv zjUr1X#v7x|%;-mqf!g(z**#o~b3R6S)0!d1s9Cs^+X`uc7&LSc7b0V@&)O@Ep{Oh< z`?XqSU&?~A$NqnmJ@#M99;=k?OrK@TrL-&?8efrv$&I7x99Y`;dg>lutGTRPs5`8_ zy2EPK-7F7nCm73JkOy^7tZj5naV8m@0r|k+pjG*>*l~>$S=_z? z`5T+6>S2^nQ;o+c?dYb`vqJe0zn_I}e8n_NfU(g5YvgvZVl!tN?o;3A99}CEn}qQ+g4C*Q|Ok6S~7G>tuH^3zxWB z?O?By2UwKxnK<>d4?nR7x|ZVtxCS;q2m zssXRC{9KF4oa@XAa;E?$#jwI$%sO{FqufU(a~@-B@;U)_XGMAA0B5p|d6j^lvrTzV zSaE(=R+9e?+nm3fZOwnkb`%7$odq#$SHWkjtWdCu!h!6g!a}yY@HSGszHBe$vVBy| zD%Z4T``09}18erPgKO>B$7|d0hHDeKb<)}c+@{E$n~Ng3ZP8wCSM-?MufwlC*TwNh z>ngZqeFKwuy_q|0Tx>FL%;1eTUg1qPb>_~SQhC!&mw2<{F}!)nx7=lm3wPZ%gS%}z zXfkg*!riw))!Y8!9^1d+ElYh&=F)cDvvd^TWbRdZj(hJIW-{*xcnu-Q>M0H}aP%pYq=ONAo@h9C+UY zr+L4Ft&;e_L$C8ehsycjkJs{-4^QN;96rQ@KbgQ^{p30ya%3PMdgKE>tjdlLuZrR! zM>q13pLXP-pQiCqpWftSJ{!zm`)ng0`*|vV{rC_*?)XkV{={e=cH$zRaIzPlcycSB zbV~9!PEF(Cr#|76PdDcgr!!6F(|J7d^v8g0PxGlL<)@u_$Y*{vh0pqWEsv^BF zJxcv< zN&le9iPqs0b%K@@i_mI^fky#XKXS36iHoeAD*v;{`W+h_-UrLAJ5s_5>kjxKr^dfk z_!0y6Pqpr#evlYIslf2_K`n7j;Y9}iQX24LI+})F3V<6w$2yZ{9ipZbKtoo7zt!Mx zQTPr6e+FF5CVBFj&)pQ@0E>IHEB8dL)2cRsqw$ z{=k4fG*^8NUIoTkg;~a-AI)gH8lU(PMF6EJ48M!OUDax|xTHQmSPe$kOkh@-z_Ng$ z_R%Z4rKn|_YWo?Kh0i8g5Za(H+;59AuU27Ne&$V_mF86dlvva}64P5}8P?)|&(+saXd_K&Dx)bxP{cyX* z@`0aI)a`i?56HLfP?!&7B@mjRupbq+M`3*mu(lwh2?3{&qgdOj#n!lfDnM@$l(N{` VpN1A%`;l9rwSVQ7LhE6E{|DA(UvK~b