From 590c4f8475a3f82ecab44e46cff3cd81367ca56a Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sun, 8 Nov 2020 14:19:41 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.fir | 5730 +++++++++-------- el2_lsu_bus_buffer.v | 2714 ++++---- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 2 +- .../classes/lsu/el2_lsu_bus_buffer.class | Bin 553530 -> 553572 bytes 4 files changed, 4233 insertions(+), 4213 deletions(-) diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index dcfcfd6d..219650f3 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -3430,3088 +3430,3104 @@ circuit el2_lsu_bus_buffer : buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] node _T_2548 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2549 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2551 = and(_T_2548, _T_2550) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2552 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2553 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2554 = and(_T_2553, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2555 = and(_T_2552, _T_2554) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2556 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2557 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2558 = and(_T_2557, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2559 = and(_T_2556, _T_2558) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2560 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2561 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2562 = and(_T_2561, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2563 = and(_T_2560, _T_2562) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2564 = cat(_T_2563, _T_2559) @[Cat.scala 29:58] - node _T_2565 = cat(_T_2564, _T_2555) @[Cat.scala 29:58] - node _T_2566 = cat(_T_2565, _T_2551) @[Cat.scala 29:58] - node _T_2567 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2568 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2570 = and(_T_2567, _T_2569) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2571 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2572 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2574 = and(_T_2571, _T_2573) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2575 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2576 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2578 = and(_T_2575, _T_2577) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2579 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2580 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2581 = and(_T_2580, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2582 = and(_T_2579, _T_2581) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2583 = cat(_T_2582, _T_2578) @[Cat.scala 29:58] - node _T_2584 = cat(_T_2583, _T_2574) @[Cat.scala 29:58] - node _T_2585 = cat(_T_2584, _T_2570) @[Cat.scala 29:58] - node _T_2586 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2587 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2589 = and(_T_2586, _T_2588) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2590 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2591 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2593 = and(_T_2590, _T_2592) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2594 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2595 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2596 = and(_T_2595, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2597 = and(_T_2594, _T_2596) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2598 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2599 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2600 = and(_T_2599, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2601 = and(_T_2598, _T_2600) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2602 = cat(_T_2601, _T_2597) @[Cat.scala 29:58] - node _T_2603 = cat(_T_2602, _T_2593) @[Cat.scala 29:58] - node _T_2604 = cat(_T_2603, _T_2589) @[Cat.scala 29:58] - node _T_2605 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2608 = and(_T_2605, _T_2607) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2609 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2610 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2612 = and(_T_2609, _T_2611) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2613 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2614 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2615 = and(_T_2614, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2616 = and(_T_2613, _T_2615) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2617 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2618 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] - node _T_2619 = and(_T_2618, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] - node _T_2620 = and(_T_2617, _T_2619) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2621 = cat(_T_2620, _T_2616) @[Cat.scala 29:58] - node _T_2622 = cat(_T_2621, _T_2612) @[Cat.scala 29:58] - node _T_2623 = cat(_T_2622, _T_2608) @[Cat.scala 29:58] - buf_age[0] <= _T_2566 @[el2_lsu_bus_buffer.scala 464:13] - buf_age[1] <= _T_2585 @[el2_lsu_bus_buffer.scala 464:13] - buf_age[2] <= _T_2604 @[el2_lsu_bus_buffer.scala 464:13] - buf_age[3] <= _T_2623 @[el2_lsu_bus_buffer.scala 464:13] - node _T_2624 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2625 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2627 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2630 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2631 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2633 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2636 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2637 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2639 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2642 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2643 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2644 = eq(_T_2643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2645 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2646 = and(_T_2644, _T_2645) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2647 = mux(_T_2642, UInt<1>("h00"), _T_2646) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2648 = cat(_T_2647, _T_2641) @[Cat.scala 29:58] - node _T_2649 = cat(_T_2648, _T_2635) @[Cat.scala 29:58] - node _T_2650 = cat(_T_2649, _T_2629) @[Cat.scala 29:58] - node _T_2651 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2652 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2654 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2655 = and(_T_2653, _T_2654) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2656 = mux(_T_2651, UInt<1>("h00"), _T_2655) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2657 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2658 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2659 = eq(_T_2658, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2660 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2661 = and(_T_2659, _T_2660) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2662 = mux(_T_2657, UInt<1>("h00"), _T_2661) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2663 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2664 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2665 = eq(_T_2664, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2666 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2667 = and(_T_2665, _T_2666) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2668 = mux(_T_2663, UInt<1>("h00"), _T_2667) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2669 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2670 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2671 = eq(_T_2670, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2672 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2673 = and(_T_2671, _T_2672) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2674 = mux(_T_2669, UInt<1>("h00"), _T_2673) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2675 = cat(_T_2674, _T_2668) @[Cat.scala 29:58] - node _T_2676 = cat(_T_2675, _T_2662) @[Cat.scala 29:58] - node _T_2677 = cat(_T_2676, _T_2656) @[Cat.scala 29:58] - node _T_2678 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2679 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2680 = eq(_T_2679, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2681 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2683 = mux(_T_2678, UInt<1>("h00"), _T_2682) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2684 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2685 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2686 = eq(_T_2685, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2687 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2688 = and(_T_2686, _T_2687) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2689 = mux(_T_2684, UInt<1>("h00"), _T_2688) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2690 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2691 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2692 = eq(_T_2691, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2693 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2694 = and(_T_2692, _T_2693) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2695 = mux(_T_2690, UInt<1>("h00"), _T_2694) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2696 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2697 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2698 = eq(_T_2697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2699 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2701 = mux(_T_2696, UInt<1>("h00"), _T_2700) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2702 = cat(_T_2701, _T_2695) @[Cat.scala 29:58] - node _T_2703 = cat(_T_2702, _T_2689) @[Cat.scala 29:58] - node _T_2704 = cat(_T_2703, _T_2683) @[Cat.scala 29:58] - node _T_2705 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2706 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2707 = eq(_T_2706, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2708 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2710 = mux(_T_2705, UInt<1>("h00"), _T_2709) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2711 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2712 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2713 = eq(_T_2712, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2714 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2716 = mux(_T_2711, UInt<1>("h00"), _T_2715) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2717 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2718 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2720 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2721 = and(_T_2719, _T_2720) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2722 = mux(_T_2717, UInt<1>("h00"), _T_2721) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2723 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2724 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2725 = eq(_T_2724, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2726 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2727 = and(_T_2725, _T_2726) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2728 = mux(_T_2723, UInt<1>("h00"), _T_2727) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2729 = cat(_T_2728, _T_2722) @[Cat.scala 29:58] - node _T_2730 = cat(_T_2729, _T_2716) @[Cat.scala 29:58] - node _T_2731 = cat(_T_2730, _T_2710) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2650 @[el2_lsu_bus_buffer.scala 465:21] - buf_age_younger[1] <= _T_2677 @[el2_lsu_bus_buffer.scala 465:21] - buf_age_younger[2] <= _T_2704 @[el2_lsu_bus_buffer.scala 465:21] - buf_age_younger[3] <= _T_2731 @[el2_lsu_bus_buffer.scala 465:21] - node _T_2732 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2733 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2735 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2736 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2738 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2739 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2741 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2742 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2744 = cat(_T_2743, _T_2740) @[Cat.scala 29:58] - node _T_2745 = cat(_T_2744, _T_2737) @[Cat.scala 29:58] - node _T_2746 = cat(_T_2745, _T_2734) @[Cat.scala 29:58] - node _T_2747 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2748 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2750 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2751 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2752 = and(_T_2750, _T_2751) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2753 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2754 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2755 = and(_T_2753, _T_2754) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2756 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2757 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2759 = cat(_T_2758, _T_2755) @[Cat.scala 29:58] - node _T_2760 = cat(_T_2759, _T_2752) @[Cat.scala 29:58] - node _T_2761 = cat(_T_2760, _T_2749) @[Cat.scala 29:58] - node _T_2762 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2763 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2764 = and(_T_2762, _T_2763) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2765 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2766 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2767 = and(_T_2765, _T_2766) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2768 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2769 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2770 = and(_T_2768, _T_2769) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2771 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2772 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2774 = cat(_T_2773, _T_2770) @[Cat.scala 29:58] - node _T_2775 = cat(_T_2774, _T_2767) @[Cat.scala 29:58] - node _T_2776 = cat(_T_2775, _T_2764) @[Cat.scala 29:58] - node _T_2777 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2778 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2780 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2781 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2782 = and(_T_2780, _T_2781) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2783 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2784 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2785 = and(_T_2783, _T_2784) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2786 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2787 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2789 = cat(_T_2788, _T_2785) @[Cat.scala 29:58] - node _T_2790 = cat(_T_2789, _T_2782) @[Cat.scala 29:58] - node _T_2791 = cat(_T_2790, _T_2779) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2746 @[el2_lsu_bus_buffer.scala 466:21] - buf_rsp_pickage[1] <= _T_2761 @[el2_lsu_bus_buffer.scala 466:21] - buf_rsp_pickage[2] <= _T_2776 @[el2_lsu_bus_buffer.scala 466:21] - buf_rsp_pickage[3] <= _T_2791 @[el2_lsu_bus_buffer.scala 466:21] - node _T_2792 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2793 = and(_T_2792, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2794 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2795 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2796 = or(_T_2794, _T_2795) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2797 = eq(_T_2796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2798 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2799 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2801 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2803 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2805 = or(_T_2797, _T_2804) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2806 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2807 = and(_T_2806, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2808 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2809 = and(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2810 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2811 = and(_T_2809, _T_2810) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2812 = or(_T_2805, _T_2811) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2813 = and(_T_2793, _T_2812) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2814 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2815 = and(_T_2814, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2816 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2817 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2818 = or(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2819 = eq(_T_2818, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2820 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2821 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2823 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2825 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2827 = or(_T_2819, _T_2826) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2828 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2829 = and(_T_2828, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2830 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2831 = and(_T_2829, _T_2830) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2832 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2833 = and(_T_2831, _T_2832) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2834 = or(_T_2827, _T_2833) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2835 = and(_T_2815, _T_2834) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2836 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2837 = and(_T_2836, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2838 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2839 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2840 = or(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2841 = eq(_T_2840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2842 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2843 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2844 = and(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2845 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2846 = and(_T_2844, _T_2845) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2847 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2849 = or(_T_2841, _T_2848) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2850 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2851 = and(_T_2850, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2852 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2853 = and(_T_2851, _T_2852) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2854 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2855 = and(_T_2853, _T_2854) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2856 = or(_T_2849, _T_2855) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2857 = and(_T_2837, _T_2856) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2858 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2859 = and(_T_2858, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2860 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2861 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2862 = or(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2866 = and(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2867 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2868 = and(_T_2866, _T_2867) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2869 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2871 = or(_T_2863, _T_2870) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2873 = and(_T_2872, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2874 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2875 = and(_T_2873, _T_2874) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2876 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2877 = and(_T_2875, _T_2876) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2878 = or(_T_2871, _T_2877) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2879 = and(_T_2859, _T_2878) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2880 = cat(_T_2879, _T_2857) @[Cat.scala 29:58] - node _T_2881 = cat(_T_2880, _T_2835) @[Cat.scala 29:58] - node _T_2882 = cat(_T_2881, _T_2813) @[Cat.scala 29:58] - node _T_2883 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2884 = and(_T_2883, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2885 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2886 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2887 = or(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2888 = eq(_T_2887, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2889 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2890 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2892 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2894 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2896 = or(_T_2888, _T_2895) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2897 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2898 = and(_T_2897, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2899 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2900 = and(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2901 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2903 = or(_T_2896, _T_2902) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2904 = and(_T_2884, _T_2903) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2905 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2906 = and(_T_2905, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2907 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2908 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2909 = or(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2910 = eq(_T_2909, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2911 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2912 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2914 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2916 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2918 = or(_T_2910, _T_2917) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2919 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2920 = and(_T_2919, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2921 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2922 = and(_T_2920, _T_2921) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2923 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2924 = and(_T_2922, _T_2923) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2925 = or(_T_2918, _T_2924) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2926 = and(_T_2906, _T_2925) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2927 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2928 = and(_T_2927, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2929 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2930 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2931 = or(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2932 = eq(_T_2931, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2933 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2934 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2935 = and(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2936 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2937 = and(_T_2935, _T_2936) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2938 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2940 = or(_T_2932, _T_2939) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2941 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2942 = and(_T_2941, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2943 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2944 = and(_T_2942, _T_2943) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2945 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2946 = and(_T_2944, _T_2945) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2947 = or(_T_2940, _T_2946) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2948 = and(_T_2928, _T_2947) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2949 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2950 = and(_T_2949, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2951 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2952 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2953 = or(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2957 = and(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2958 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2959 = and(_T_2957, _T_2958) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2960 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2962 = or(_T_2954, _T_2961) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2964 = and(_T_2963, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2965 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2966 = and(_T_2964, _T_2965) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2967 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2968 = and(_T_2966, _T_2967) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2969 = or(_T_2962, _T_2968) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2970 = and(_T_2950, _T_2969) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2971 = cat(_T_2970, _T_2948) @[Cat.scala 29:58] - node _T_2972 = cat(_T_2971, _T_2926) @[Cat.scala 29:58] - node _T_2973 = cat(_T_2972, _T_2904) @[Cat.scala 29:58] - node _T_2974 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2975 = and(_T_2974, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2976 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2977 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2978 = or(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2979 = eq(_T_2978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2980 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2981 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2983 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2985 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2987 = or(_T_2979, _T_2986) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2988 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2989 = and(_T_2988, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2990 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2991 = and(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2992 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2994 = or(_T_2987, _T_2993) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2995 = and(_T_2975, _T_2994) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2996 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2997 = and(_T_2996, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2998 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2999 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3000 = or(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3001 = eq(_T_3000, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3002 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3003 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3005 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3007 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3009 = or(_T_3001, _T_3008) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3010 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3011 = and(_T_3010, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3012 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3013 = and(_T_3011, _T_3012) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3014 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3015 = and(_T_3013, _T_3014) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3016 = or(_T_3009, _T_3015) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3017 = and(_T_2997, _T_3016) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3018 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3019 = and(_T_3018, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3020 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3021 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3022 = or(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3023 = eq(_T_3022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3024 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3025 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3026 = and(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3027 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3028 = and(_T_3026, _T_3027) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3029 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3031 = or(_T_3023, _T_3030) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3032 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3033 = and(_T_3032, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3034 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3035 = and(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3036 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3037 = and(_T_3035, _T_3036) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3038 = or(_T_3031, _T_3037) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3039 = and(_T_3019, _T_3038) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3040 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3041 = and(_T_3040, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3042 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3043 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3044 = or(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3048 = and(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3049 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3050 = and(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3051 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3053 = or(_T_3045, _T_3052) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3055 = and(_T_3054, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3056 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3057 = and(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3058 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3059 = and(_T_3057, _T_3058) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3060 = or(_T_3053, _T_3059) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3061 = and(_T_3041, _T_3060) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3062 = cat(_T_3061, _T_3039) @[Cat.scala 29:58] - node _T_3063 = cat(_T_3062, _T_3017) @[Cat.scala 29:58] - node _T_3064 = cat(_T_3063, _T_2995) @[Cat.scala 29:58] - node _T_3065 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3066 = and(_T_3065, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3067 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3068 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3069 = or(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3070 = eq(_T_3069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3071 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3072 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3074 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3076 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3077 = and(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3078 = or(_T_3070, _T_3077) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3079 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3080 = and(_T_3079, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3081 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3082 = and(_T_3080, _T_3081) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3083 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3084 = and(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3085 = or(_T_3078, _T_3084) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3086 = and(_T_3066, _T_3085) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3087 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3088 = and(_T_3087, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3089 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3090 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3091 = or(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3092 = eq(_T_3091, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3093 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3094 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3095 = and(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3096 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3097 = and(_T_3095, _T_3096) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3098 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3099 = and(_T_3097, _T_3098) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3100 = or(_T_3092, _T_3099) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3101 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3102 = and(_T_3101, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3103 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3104 = and(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3105 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3106 = and(_T_3104, _T_3105) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3107 = or(_T_3100, _T_3106) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3108 = and(_T_3088, _T_3107) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3109 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3110 = and(_T_3109, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3111 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3112 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3113 = or(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3114 = eq(_T_3113, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3115 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3116 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3117 = and(_T_3115, _T_3116) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3118 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3119 = and(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3120 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3121 = and(_T_3119, _T_3120) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3122 = or(_T_3114, _T_3121) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3123 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3124 = and(_T_3123, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3125 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3126 = and(_T_3124, _T_3125) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3127 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3128 = and(_T_3126, _T_3127) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3129 = or(_T_3122, _T_3128) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3130 = and(_T_3110, _T_3129) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3131 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3132 = and(_T_3131, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3133 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3134 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3137 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3138 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3139 = and(_T_3137, _T_3138) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3140 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3141 = and(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3142 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3143 = and(_T_3141, _T_3142) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3144 = or(_T_3136, _T_3143) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3145 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3146 = and(_T_3145, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3147 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3148 = and(_T_3146, _T_3147) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3149 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3150 = and(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3151 = or(_T_3144, _T_3150) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3152 = and(_T_3132, _T_3151) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3153 = cat(_T_3152, _T_3130) @[Cat.scala 29:58] - node _T_3154 = cat(_T_3153, _T_3108) @[Cat.scala 29:58] - node _T_3155 = cat(_T_3154, _T_3086) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2882 @[el2_lsu_bus_buffer.scala 468:20] - buf_rspage_set[1] <= _T_2973 @[el2_lsu_bus_buffer.scala 468:20] - buf_rspage_set[2] <= _T_3064 @[el2_lsu_bus_buffer.scala 468:20] - buf_rspage_set[3] <= _T_3155 @[el2_lsu_bus_buffer.scala 468:20] - node _T_3156 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3157 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3158 = or(_T_3156, _T_3157) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3159 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3160 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3161 = or(_T_3159, _T_3160) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3162 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3163 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3164 = or(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3165 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3166 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3167 = or(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3168 = cat(_T_3167, _T_3164) @[Cat.scala 29:58] - node _T_3169 = cat(_T_3168, _T_3161) @[Cat.scala 29:58] - node _T_3170 = cat(_T_3169, _T_3158) @[Cat.scala 29:58] - node _T_3171 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3172 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3173 = or(_T_3171, _T_3172) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3174 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3175 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3176 = or(_T_3174, _T_3175) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3177 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3178 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3179 = or(_T_3177, _T_3178) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3180 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3181 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3182 = or(_T_3180, _T_3181) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3183 = cat(_T_3182, _T_3179) @[Cat.scala 29:58] - node _T_3184 = cat(_T_3183, _T_3176) @[Cat.scala 29:58] - node _T_3185 = cat(_T_3184, _T_3173) @[Cat.scala 29:58] - node _T_3186 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3187 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3188 = or(_T_3186, _T_3187) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3189 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3190 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3191 = or(_T_3189, _T_3190) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3192 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3193 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3194 = or(_T_3192, _T_3193) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3195 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3196 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3197 = or(_T_3195, _T_3196) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3198 = cat(_T_3197, _T_3194) @[Cat.scala 29:58] - node _T_3199 = cat(_T_3198, _T_3191) @[Cat.scala 29:58] - node _T_3200 = cat(_T_3199, _T_3188) @[Cat.scala 29:58] - node _T_3201 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3202 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3203 = or(_T_3201, _T_3202) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3204 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3205 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3206 = or(_T_3204, _T_3205) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3207 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3208 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3209 = or(_T_3207, _T_3208) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3210 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3211 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3212 = or(_T_3210, _T_3211) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3213 = cat(_T_3212, _T_3209) @[Cat.scala 29:58] - node _T_3214 = cat(_T_3213, _T_3206) @[Cat.scala 29:58] - node _T_3215 = cat(_T_3214, _T_3203) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3170 @[el2_lsu_bus_buffer.scala 472:19] - buf_rspage_in[1] <= _T_3185 @[el2_lsu_bus_buffer.scala 472:19] - buf_rspage_in[2] <= _T_3200 @[el2_lsu_bus_buffer.scala 472:19] - buf_rspage_in[3] <= _T_3215 @[el2_lsu_bus_buffer.scala 472:19] - node _T_3216 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3217 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3218 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3222 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3223 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3224 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3228 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3229 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3230 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3234 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3235 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3236 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3237 = or(_T_3235, _T_3236) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3239 = and(_T_3234, _T_3238) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3240 = cat(_T_3239, _T_3233) @[Cat.scala 29:58] - node _T_3241 = cat(_T_3240, _T_3227) @[Cat.scala 29:58] - node _T_3242 = cat(_T_3241, _T_3221) @[Cat.scala 29:58] - node _T_3243 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3244 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3245 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3246 = or(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3247 = eq(_T_3246, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3248 = and(_T_3243, _T_3247) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3249 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3250 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3251 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3252 = or(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3253 = eq(_T_3252, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3254 = and(_T_3249, _T_3253) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3255 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3256 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3258 = or(_T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3259 = eq(_T_3258, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3260 = and(_T_3255, _T_3259) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3261 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3262 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3263 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3264 = or(_T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3266 = and(_T_3261, _T_3265) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3267 = cat(_T_3266, _T_3260) @[Cat.scala 29:58] - node _T_3268 = cat(_T_3267, _T_3254) @[Cat.scala 29:58] - node _T_3269 = cat(_T_3268, _T_3248) @[Cat.scala 29:58] - node _T_3270 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3271 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3272 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3273 = or(_T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3274 = eq(_T_3273, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3275 = and(_T_3270, _T_3274) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3276 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3277 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3278 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3279 = or(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3280 = eq(_T_3279, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3281 = and(_T_3276, _T_3280) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3282 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3283 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3284 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3285 = or(_T_3283, _T_3284) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3286 = eq(_T_3285, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3287 = and(_T_3282, _T_3286) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3288 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3289 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3290 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3291 = or(_T_3289, _T_3290) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3292 = eq(_T_3291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3293 = and(_T_3288, _T_3292) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3294 = cat(_T_3293, _T_3287) @[Cat.scala 29:58] - node _T_3295 = cat(_T_3294, _T_3281) @[Cat.scala 29:58] - node _T_3296 = cat(_T_3295, _T_3275) @[Cat.scala 29:58] - node _T_3297 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3298 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3299 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3300 = or(_T_3298, _T_3299) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3301 = eq(_T_3300, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3302 = and(_T_3297, _T_3301) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3303 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3304 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3305 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3306 = or(_T_3304, _T_3305) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3307 = eq(_T_3306, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3308 = and(_T_3303, _T_3307) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3309 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3310 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3311 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3312 = or(_T_3310, _T_3311) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3313 = eq(_T_3312, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3314 = and(_T_3309, _T_3313) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3315 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3316 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3317 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3318 = or(_T_3316, _T_3317) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3319 = eq(_T_3318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3320 = and(_T_3315, _T_3319) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3321 = cat(_T_3320, _T_3314) @[Cat.scala 29:58] - node _T_3322 = cat(_T_3321, _T_3308) @[Cat.scala 29:58] - node _T_3323 = cat(_T_3322, _T_3302) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3242 @[el2_lsu_bus_buffer.scala 473:16] - buf_rspage[1] <= _T_3269 @[el2_lsu_bus_buffer.scala 473:16] - buf_rspage[2] <= _T_3296 @[el2_lsu_bus_buffer.scala 473:16] - buf_rspage[3] <= _T_3323 @[el2_lsu_bus_buffer.scala 473:16] - node _T_3324 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3325 = and(ibuf_drain_vld, _T_3324) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3326 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3327 = and(ibuf_drain_vld, _T_3326) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3328 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3329 = and(ibuf_drain_vld, _T_3328) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3330 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3331 = and(ibuf_drain_vld, _T_3330) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3332 = cat(_T_3331, _T_3329) @[Cat.scala 29:58] - node _T_3333 = cat(_T_3332, _T_3327) @[Cat.scala 29:58] - node _T_3334 = cat(_T_3333, _T_3325) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3334 @[el2_lsu_bus_buffer.scala 478:23] - node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:66] - node _T_3336 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3337 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] - node _T_3338 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3339 = and(_T_3337, _T_3338) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3340 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3341 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] - node _T_3342 = mux(_T_3339, _T_3340, _T_3341) @[el2_lsu_bus_buffer.scala 480:10] - node _T_3343 = mux(_T_3335, _T_3336, _T_3342) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:66] - node _T_3345 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] - node _T_3347 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3349 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3350 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] - node _T_3351 = mux(_T_3348, _T_3349, _T_3350) @[el2_lsu_bus_buffer.scala 480:10] - node _T_3352 = mux(_T_3344, _T_3345, _T_3351) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3353 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:66] - node _T_3354 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] - node _T_3356 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3357 = and(_T_3355, _T_3356) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3358 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3359 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] - node _T_3360 = mux(_T_3357, _T_3358, _T_3359) @[el2_lsu_bus_buffer.scala 480:10] - node _T_3361 = mux(_T_3353, _T_3354, _T_3360) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3362 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:66] - node _T_3363 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] - node _T_3365 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3366 = and(_T_3364, _T_3365) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3367 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3368 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] - node _T_3369 = mux(_T_3366, _T_3367, _T_3368) @[el2_lsu_bus_buffer.scala 480:10] - node _T_3370 = mux(_T_3362, _T_3363, _T_3369) @[el2_lsu_bus_buffer.scala 479:48] - buf_byteen_in[0] <= _T_3343 @[el2_lsu_bus_buffer.scala 479:19] - buf_byteen_in[1] <= _T_3352 @[el2_lsu_bus_buffer.scala 479:19] - buf_byteen_in[2] <= _T_3361 @[el2_lsu_bus_buffer.scala 479:19] - buf_byteen_in[3] <= _T_3370 @[el2_lsu_bus_buffer.scala 479:19] - node _T_3371 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:64] - node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] - node _T_3373 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:121] - node _T_3374 = and(_T_3372, _T_3373) @[el2_lsu_bus_buffer.scala 481:110] - node _T_3375 = mux(_T_3374, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] - node _T_3376 = mux(_T_3371, ibuf_addr, _T_3375) @[el2_lsu_bus_buffer.scala 481:46] - node _T_3377 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:64] - node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] - node _T_3379 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:121] - node _T_3380 = and(_T_3378, _T_3379) @[el2_lsu_bus_buffer.scala 481:110] - node _T_3381 = mux(_T_3380, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] - node _T_3382 = mux(_T_3377, ibuf_addr, _T_3381) @[el2_lsu_bus_buffer.scala 481:46] - node _T_3383 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:64] - node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] - node _T_3385 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:121] - node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 481:110] - node _T_3387 = mux(_T_3386, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] - node _T_3388 = mux(_T_3383, ibuf_addr, _T_3387) @[el2_lsu_bus_buffer.scala 481:46] - node _T_3389 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:64] - node _T_3390 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] - node _T_3391 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:121] - node _T_3392 = and(_T_3390, _T_3391) @[el2_lsu_bus_buffer.scala 481:110] - node _T_3393 = mux(_T_3392, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] - node _T_3394 = mux(_T_3389, ibuf_addr, _T_3393) @[el2_lsu_bus_buffer.scala 481:46] - buf_addr_in[0] <= _T_3376 @[el2_lsu_bus_buffer.scala 481:17] - buf_addr_in[1] <= _T_3382 @[el2_lsu_bus_buffer.scala 481:17] - buf_addr_in[2] <= _T_3388 @[el2_lsu_bus_buffer.scala 481:17] - buf_addr_in[3] <= _T_3394 @[el2_lsu_bus_buffer.scala 481:17] - node _T_3395 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:65] - node _T_3396 = mux(_T_3395, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3397 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:65] - node _T_3398 = mux(_T_3397, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:65] - node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3401 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:65] - node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3403 = cat(_T_3402, _T_3400) @[Cat.scala 29:58] - node _T_3404 = cat(_T_3403, _T_3398) @[Cat.scala 29:58] - node _T_3405 = cat(_T_3404, _T_3396) @[Cat.scala 29:58] - buf_dual_in <= _T_3405 @[el2_lsu_bus_buffer.scala 482:17] - node _T_3406 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3407 = mux(_T_3406, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3408 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3409 = mux(_T_3408, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3410 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3412 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3414 = cat(_T_3413, _T_3411) @[Cat.scala 29:58] - node _T_3415 = cat(_T_3414, _T_3409) @[Cat.scala 29:58] - node _T_3416 = cat(_T_3415, _T_3407) @[Cat.scala 29:58] - buf_samedw_in <= _T_3416 @[el2_lsu_bus_buffer.scala 483:19] - node _T_3417 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3418 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] - node _T_3419 = mux(_T_3417, _T_3418, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] - node _T_3420 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3421 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] - node _T_3422 = mux(_T_3420, _T_3421, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] - node _T_3423 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3424 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] - node _T_3425 = mux(_T_3423, _T_3424, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] - node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3427 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] - node _T_3428 = mux(_T_3426, _T_3427, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] - node _T_3429 = cat(_T_3428, _T_3425) @[Cat.scala 29:58] - node _T_3430 = cat(_T_3429, _T_3422) @[Cat.scala 29:58] - node _T_3431 = cat(_T_3430, _T_3419) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3431 @[el2_lsu_bus_buffer.scala 484:20] - node _T_3432 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] - node _T_3434 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:120] - node _T_3435 = and(_T_3433, _T_3434) @[el2_lsu_bus_buffer.scala 485:109] - node _T_3436 = mux(_T_3432, ibuf_dual, _T_3435) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3437 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3438 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] - node _T_3439 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:120] - node _T_3440 = and(_T_3438, _T_3439) @[el2_lsu_bus_buffer.scala 485:109] - node _T_3441 = mux(_T_3437, ibuf_dual, _T_3440) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] - node _T_3444 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:120] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 485:109] - node _T_3446 = mux(_T_3442, ibuf_dual, _T_3445) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3447 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] - node _T_3449 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:120] - node _T_3450 = and(_T_3448, _T_3449) @[el2_lsu_bus_buffer.scala 485:109] - node _T_3451 = mux(_T_3447, ibuf_dual, _T_3450) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3452 = cat(_T_3451, _T_3446) @[Cat.scala 29:58] - node _T_3453 = cat(_T_3452, _T_3441) @[Cat.scala 29:58] - node _T_3454 = cat(_T_3453, _T_3436) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3454 @[el2_lsu_bus_buffer.scala 485:19] - node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3456 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] - node _T_3457 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:127] - node _T_3458 = and(_T_3456, _T_3457) @[el2_lsu_bus_buffer.scala 486:116] - node _T_3459 = mux(_T_3458, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] - node _T_3460 = mux(_T_3455, ibuf_dualtag, _T_3459) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3461 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] - node _T_3463 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 486:127] - node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 486:116] - node _T_3465 = mux(_T_3464, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] - node _T_3466 = mux(_T_3461, ibuf_dualtag, _T_3465) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] - node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 486:127] - node _T_3470 = and(_T_3468, _T_3469) @[el2_lsu_bus_buffer.scala 486:116] - node _T_3471 = mux(_T_3470, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] - node _T_3472 = mux(_T_3467, ibuf_dualtag, _T_3471) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3473 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3474 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] - node _T_3475 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 486:127] - node _T_3476 = and(_T_3474, _T_3475) @[el2_lsu_bus_buffer.scala 486:116] - node _T_3477 = mux(_T_3476, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] - node _T_3478 = mux(_T_3473, ibuf_dualtag, _T_3477) @[el2_lsu_bus_buffer.scala 486:49] - buf_dualtag_in[0] <= _T_3460 @[el2_lsu_bus_buffer.scala 486:20] - buf_dualtag_in[1] <= _T_3466 @[el2_lsu_bus_buffer.scala 486:20] - buf_dualtag_in[2] <= _T_3472 @[el2_lsu_bus_buffer.scala 486:20] - buf_dualtag_in[3] <= _T_3478 @[el2_lsu_bus_buffer.scala 486:20] - node _T_3479 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3480 = mux(_T_3479, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] - node _T_3481 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3482 = mux(_T_3481, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] - node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] - node _T_3485 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] - node _T_3487 = cat(_T_3486, _T_3484) @[Cat.scala 29:58] - node _T_3488 = cat(_T_3487, _T_3482) @[Cat.scala 29:58] - node _T_3489 = cat(_T_3488, _T_3480) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3489 @[el2_lsu_bus_buffer.scala 487:23] - node _T_3490 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:67] - node _T_3491 = mux(_T_3490, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3492 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:67] - node _T_3493 = mux(_T_3492, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3494 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:67] - node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:67] - node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3498 = cat(_T_3497, _T_3495) @[Cat.scala 29:58] - node _T_3499 = cat(_T_3498, _T_3493) @[Cat.scala 29:58] - node _T_3500 = cat(_T_3499, _T_3491) @[Cat.scala 29:58] - buf_unsign_in <= _T_3500 @[el2_lsu_bus_buffer.scala 488:19] - node _T_3501 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:62] - node _T_3502 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3503 = mux(_T_3501, ibuf_sz, _T_3502) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3504 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:62] - node _T_3505 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3506 = mux(_T_3504, ibuf_sz, _T_3505) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3507 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:62] - node _T_3508 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3509 = mux(_T_3507, ibuf_sz, _T_3508) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:62] - node _T_3511 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3512 = mux(_T_3510, ibuf_sz, _T_3511) @[el2_lsu_bus_buffer.scala 489:44] - buf_sz_in[0] <= _T_3503 @[el2_lsu_bus_buffer.scala 489:15] - buf_sz_in[1] <= _T_3506 @[el2_lsu_bus_buffer.scala 489:15] - buf_sz_in[2] <= _T_3509 @[el2_lsu_bus_buffer.scala 489:15] - buf_sz_in[3] <= _T_3512 @[el2_lsu_bus_buffer.scala 489:15] - node _T_3513 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:66] - node _T_3514 = mux(_T_3513, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3515 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:66] - node _T_3516 = mux(_T_3515, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3517 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:66] - node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3519 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:66] - node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3521 = cat(_T_3520, _T_3518) @[Cat.scala 29:58] - node _T_3522 = cat(_T_3521, _T_3516) @[Cat.scala 29:58] - node _T_3523 = cat(_T_3522, _T_3514) @[Cat.scala 29:58] - buf_write_in <= _T_3523 @[el2_lsu_bus_buffer.scala 490:18] - node _T_3524 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3524 : @[Conditional.scala 40:58] - node _T_3525 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] - node _T_3526 = mux(_T_3525, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] - buf_nxtstate[0] <= _T_3526 @[el2_lsu_bus_buffer.scala 495:25] - node _T_3527 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] - node _T_3528 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3529 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] - node _T_3530 = and(_T_3528, _T_3529) @[el2_lsu_bus_buffer.scala 496:95] - node _T_3531 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] - node _T_3532 = and(_T_3530, _T_3531) @[el2_lsu_bus_buffer.scala 496:112] - node _T_3533 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] - node _T_3534 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] - node _T_3535 = and(_T_3533, _T_3534) @[el2_lsu_bus_buffer.scala 496:161] - node _T_3536 = or(_T_3532, _T_3535) @[el2_lsu_bus_buffer.scala 496:132] - node _T_3537 = and(_T_3527, _T_3536) @[el2_lsu_bus_buffer.scala 496:63] - node _T_3538 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] - node _T_3539 = and(ibuf_drain_vld, _T_3538) @[el2_lsu_bus_buffer.scala 496:201] - node _T_3540 = or(_T_3537, _T_3539) @[el2_lsu_bus_buffer.scala 496:183] - buf_state_en[0] <= _T_3540 @[el2_lsu_bus_buffer.scala 496:25] + node _T_2549 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2552 = and(_T_2548, _T_2551) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2553 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2554 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2555 = and(_T_2554, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2556 = eq(_T_2555, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2557 = and(_T_2553, _T_2556) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2558 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2559 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2562 = and(_T_2558, _T_2561) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2563 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2564 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2565 = and(_T_2564, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2567 = and(_T_2563, _T_2566) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2568 = cat(_T_2567, _T_2562) @[Cat.scala 29:58] + node _T_2569 = cat(_T_2568, _T_2557) @[Cat.scala 29:58] + node _T_2570 = cat(_T_2569, _T_2552) @[Cat.scala 29:58] + node _T_2571 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2572 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2574 = eq(_T_2573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2575 = and(_T_2571, _T_2574) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2576 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2577 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2578 = and(_T_2577, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2579 = eq(_T_2578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2580 = and(_T_2576, _T_2579) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2581 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2582 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2583 = and(_T_2582, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2585 = and(_T_2581, _T_2584) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2586 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2587 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2589 = eq(_T_2588, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2590 = and(_T_2586, _T_2589) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2591 = cat(_T_2590, _T_2585) @[Cat.scala 29:58] + node _T_2592 = cat(_T_2591, _T_2580) @[Cat.scala 29:58] + node _T_2593 = cat(_T_2592, _T_2575) @[Cat.scala 29:58] + node _T_2594 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2595 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2596 = and(_T_2595, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2597 = eq(_T_2596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2598 = and(_T_2594, _T_2597) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2599 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2600 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2601 = and(_T_2600, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2602 = eq(_T_2601, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2603 = and(_T_2599, _T_2602) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2604 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2605 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2606 = and(_T_2605, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2608 = and(_T_2604, _T_2607) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2609 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2610 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2613 = and(_T_2609, _T_2612) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2614 = cat(_T_2613, _T_2608) @[Cat.scala 29:58] + node _T_2615 = cat(_T_2614, _T_2603) @[Cat.scala 29:58] + node _T_2616 = cat(_T_2615, _T_2598) @[Cat.scala 29:58] + node _T_2617 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2618 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2619 = and(_T_2618, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2621 = and(_T_2617, _T_2620) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2622 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2623 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2624 = and(_T_2623, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2626 = and(_T_2622, _T_2625) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2627 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2628 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2629 = and(_T_2628, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2631 = and(_T_2627, _T_2630) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2632 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2633 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] + node _T_2634 = and(_T_2633, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:105] + node _T_2635 = eq(_T_2634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] + node _T_2636 = and(_T_2632, _T_2635) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2637 = cat(_T_2636, _T_2631) @[Cat.scala 29:58] + node _T_2638 = cat(_T_2637, _T_2626) @[Cat.scala 29:58] + node _T_2639 = cat(_T_2638, _T_2621) @[Cat.scala 29:58] + buf_age[0] <= _T_2570 @[el2_lsu_bus_buffer.scala 464:13] + buf_age[1] <= _T_2593 @[el2_lsu_bus_buffer.scala 464:13] + buf_age[2] <= _T_2616 @[el2_lsu_bus_buffer.scala 464:13] + buf_age[3] <= _T_2639 @[el2_lsu_bus_buffer.scala 464:13] + node _T_2640 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2641 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2643 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2646 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2647 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2649 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2652 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2653 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2654 = eq(_T_2653, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2655 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2657 = mux(_T_2652, UInt<1>("h00"), _T_2656) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2658 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2659 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2660 = eq(_T_2659, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2661 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2663 = mux(_T_2658, UInt<1>("h00"), _T_2662) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2664 = cat(_T_2663, _T_2657) @[Cat.scala 29:58] + node _T_2665 = cat(_T_2664, _T_2651) @[Cat.scala 29:58] + node _T_2666 = cat(_T_2665, _T_2645) @[Cat.scala 29:58] + node _T_2667 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2668 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2670 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2673 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2674 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2676 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2679 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2680 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2682 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2685 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2686 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2688 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2691 = cat(_T_2690, _T_2684) @[Cat.scala 29:58] + node _T_2692 = cat(_T_2691, _T_2678) @[Cat.scala 29:58] + node _T_2693 = cat(_T_2692, _T_2672) @[Cat.scala 29:58] + node _T_2694 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2695 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2697 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2700 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2701 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2703 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2706 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2707 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2708 = eq(_T_2707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2709 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2710 = and(_T_2708, _T_2709) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2711 = mux(_T_2706, UInt<1>("h00"), _T_2710) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2712 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2713 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2714 = eq(_T_2713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2715 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2716 = and(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2717 = mux(_T_2712, UInt<1>("h00"), _T_2716) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2718 = cat(_T_2717, _T_2711) @[Cat.scala 29:58] + node _T_2719 = cat(_T_2718, _T_2705) @[Cat.scala 29:58] + node _T_2720 = cat(_T_2719, _T_2699) @[Cat.scala 29:58] + node _T_2721 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2722 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2724 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2725 = and(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2727 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2728 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2730 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2733 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2734 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2735 = eq(_T_2734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2736 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2738 = mux(_T_2733, UInt<1>("h00"), _T_2737) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2739 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2740 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2741 = eq(_T_2740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2742 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2744 = mux(_T_2739, UInt<1>("h00"), _T_2743) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2745 = cat(_T_2744, _T_2738) @[Cat.scala 29:58] + node _T_2746 = cat(_T_2745, _T_2732) @[Cat.scala 29:58] + node _T_2747 = cat(_T_2746, _T_2726) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2666 @[el2_lsu_bus_buffer.scala 465:21] + buf_age_younger[1] <= _T_2693 @[el2_lsu_bus_buffer.scala 465:21] + buf_age_younger[2] <= _T_2720 @[el2_lsu_bus_buffer.scala 465:21] + buf_age_younger[3] <= _T_2747 @[el2_lsu_bus_buffer.scala 465:21] + node _T_2748 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2749 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2750 = and(_T_2748, _T_2749) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2751 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2752 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2754 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2755 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2757 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2758 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2760 = cat(_T_2759, _T_2756) @[Cat.scala 29:58] + node _T_2761 = cat(_T_2760, _T_2753) @[Cat.scala 29:58] + node _T_2762 = cat(_T_2761, _T_2750) @[Cat.scala 29:58] + node _T_2763 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2764 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2766 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2767 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2769 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2770 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2772 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2773 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2775 = cat(_T_2774, _T_2771) @[Cat.scala 29:58] + node _T_2776 = cat(_T_2775, _T_2768) @[Cat.scala 29:58] + node _T_2777 = cat(_T_2776, _T_2765) @[Cat.scala 29:58] + node _T_2778 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2779 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2781 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2782 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2784 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2785 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2787 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2788 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2790 = cat(_T_2789, _T_2786) @[Cat.scala 29:58] + node _T_2791 = cat(_T_2790, _T_2783) @[Cat.scala 29:58] + node _T_2792 = cat(_T_2791, _T_2780) @[Cat.scala 29:58] + node _T_2793 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2794 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2796 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2797 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2799 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2800 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2802 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2803 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2805 = cat(_T_2804, _T_2801) @[Cat.scala 29:58] + node _T_2806 = cat(_T_2805, _T_2798) @[Cat.scala 29:58] + node _T_2807 = cat(_T_2806, _T_2795) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2762 @[el2_lsu_bus_buffer.scala 466:21] + buf_rsp_pickage[1] <= _T_2777 @[el2_lsu_bus_buffer.scala 466:21] + buf_rsp_pickage[2] <= _T_2792 @[el2_lsu_bus_buffer.scala 466:21] + buf_rsp_pickage[3] <= _T_2807 @[el2_lsu_bus_buffer.scala 466:21] + node _T_2808 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2809 = and(_T_2808, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2810 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2812 = or(_T_2810, _T_2811) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2813 = eq(_T_2812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2814 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2815 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2817 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2818 = and(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2819 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2820 = and(_T_2818, _T_2819) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2821 = or(_T_2813, _T_2820) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2822 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2823 = and(_T_2822, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2824 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2826 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2828 = or(_T_2821, _T_2827) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2829 = and(_T_2809, _T_2828) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2830 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2831 = and(_T_2830, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2832 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2833 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2839 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2841 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2846 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2848 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2852 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2853 = and(_T_2852, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2854 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2855 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2861 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2863 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2868 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2870 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2874 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2875 = and(_T_2874, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2876 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2877 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2883 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2885 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2890 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2892 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2896 = cat(_T_2895, _T_2873) @[Cat.scala 29:58] + node _T_2897 = cat(_T_2896, _T_2851) @[Cat.scala 29:58] + node _T_2898 = cat(_T_2897, _T_2829) @[Cat.scala 29:58] + node _T_2899 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2900 = and(_T_2899, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2901 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2902 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2903 = or(_T_2901, _T_2902) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2904 = eq(_T_2903, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2905 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2906 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2907 = and(_T_2905, _T_2906) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2908 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2909 = and(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2910 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2911 = and(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2912 = or(_T_2904, _T_2911) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2913 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2914 = and(_T_2913, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2915 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2917 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2919 = or(_T_2912, _T_2918) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2920 = and(_T_2900, _T_2919) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2921 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2922 = and(_T_2921, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2923 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2924 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2930 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2937 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2939 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2943 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2944 = and(_T_2943, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2946 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2952 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2954 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2959 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2961 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2965 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2966 = and(_T_2965, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2967 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2968 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2974 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2976 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2981 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2983 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2987 = cat(_T_2986, _T_2964) @[Cat.scala 29:58] + node _T_2988 = cat(_T_2987, _T_2942) @[Cat.scala 29:58] + node _T_2989 = cat(_T_2988, _T_2920) @[Cat.scala 29:58] + node _T_2990 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2991 = and(_T_2990, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2992 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2993 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2994 = or(_T_2992, _T_2993) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2995 = eq(_T_2994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2996 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2997 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2998 = and(_T_2996, _T_2997) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2999 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3000 = and(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3001 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3002 = and(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3003 = or(_T_2995, _T_3002) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3004 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3005 = and(_T_3004, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3006 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3008 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3010 = or(_T_3003, _T_3009) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3011 = and(_T_2991, _T_3010) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3012 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3013 = and(_T_3012, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3014 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3015 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3016 = or(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3017 = eq(_T_3016, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3018 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3019 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3020 = and(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3021 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3022 = and(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3023 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3024 = and(_T_3022, _T_3023) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3025 = or(_T_3017, _T_3024) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3026 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3027 = and(_T_3026, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3028 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3030 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3032 = or(_T_3025, _T_3031) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3033 = and(_T_3013, _T_3032) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3034 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3035 = and(_T_3034, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3036 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3037 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3039 = eq(_T_3038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3040 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3041 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3042 = and(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3043 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3044 = and(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3045 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3046 = and(_T_3044, _T_3045) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3047 = or(_T_3039, _T_3046) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3048 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3049 = and(_T_3048, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3050 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3052 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3054 = or(_T_3047, _T_3053) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3055 = and(_T_3035, _T_3054) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3056 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3057 = and(_T_3056, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3058 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3059 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3060 = or(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3061 = eq(_T_3060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3062 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3063 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3064 = and(_T_3062, _T_3063) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3066 = and(_T_3064, _T_3065) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3067 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3068 = and(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3069 = or(_T_3061, _T_3068) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3070 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3071 = and(_T_3070, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3072 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3074 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3076 = or(_T_3069, _T_3075) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3077 = and(_T_3057, _T_3076) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3078 = cat(_T_3077, _T_3055) @[Cat.scala 29:58] + node _T_3079 = cat(_T_3078, _T_3033) @[Cat.scala 29:58] + node _T_3080 = cat(_T_3079, _T_3011) @[Cat.scala 29:58] + node _T_3081 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3082 = and(_T_3081, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3083 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3084 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3085 = or(_T_3083, _T_3084) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3086 = eq(_T_3085, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3087 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3088 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3089 = and(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3091 = and(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3092 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3093 = and(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3094 = or(_T_3086, _T_3093) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3095 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3096 = and(_T_3095, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3097 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3099 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3100 = and(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3101 = or(_T_3094, _T_3100) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3102 = and(_T_3082, _T_3101) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3103 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3104 = and(_T_3103, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3105 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3108 = eq(_T_3107, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3109 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3110 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3111 = and(_T_3109, _T_3110) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3112 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3113 = and(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3114 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3115 = and(_T_3113, _T_3114) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3116 = or(_T_3108, _T_3115) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3117 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3118 = and(_T_3117, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3119 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3121 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3123 = or(_T_3116, _T_3122) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3124 = and(_T_3104, _T_3123) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3125 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3126 = and(_T_3125, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3127 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3128 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3131 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3132 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3133 = and(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3134 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3135 = and(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3136 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3137 = and(_T_3135, _T_3136) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3138 = or(_T_3130, _T_3137) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3139 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3140 = and(_T_3139, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3141 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3143 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3145 = or(_T_3138, _T_3144) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3146 = and(_T_3126, _T_3145) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3147 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3148 = and(_T_3147, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3150 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3151 = or(_T_3149, _T_3150) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3152 = eq(_T_3151, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3153 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3154 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3155 = and(_T_3153, _T_3154) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3156 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3157 = and(_T_3155, _T_3156) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3158 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3159 = and(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3160 = or(_T_3152, _T_3159) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3161 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3162 = and(_T_3161, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3163 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3164 = and(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3165 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3167 = or(_T_3160, _T_3166) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3168 = and(_T_3148, _T_3167) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3169 = cat(_T_3168, _T_3146) @[Cat.scala 29:58] + node _T_3170 = cat(_T_3169, _T_3124) @[Cat.scala 29:58] + node _T_3171 = cat(_T_3170, _T_3102) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2898 @[el2_lsu_bus_buffer.scala 468:20] + buf_rspage_set[1] <= _T_2989 @[el2_lsu_bus_buffer.scala 468:20] + buf_rspage_set[2] <= _T_3080 @[el2_lsu_bus_buffer.scala 468:20] + buf_rspage_set[3] <= _T_3171 @[el2_lsu_bus_buffer.scala 468:20] + node _T_3172 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3173 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3175 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3176 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3178 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3179 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3181 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3182 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3184 = cat(_T_3183, _T_3180) @[Cat.scala 29:58] + node _T_3185 = cat(_T_3184, _T_3177) @[Cat.scala 29:58] + node _T_3186 = cat(_T_3185, _T_3174) @[Cat.scala 29:58] + node _T_3187 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3188 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3190 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3191 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3193 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3194 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3196 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3197 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3199 = cat(_T_3198, _T_3195) @[Cat.scala 29:58] + node _T_3200 = cat(_T_3199, _T_3192) @[Cat.scala 29:58] + node _T_3201 = cat(_T_3200, _T_3189) @[Cat.scala 29:58] + node _T_3202 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3203 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3205 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3206 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3208 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3209 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3211 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3212 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3214 = cat(_T_3213, _T_3210) @[Cat.scala 29:58] + node _T_3215 = cat(_T_3214, _T_3207) @[Cat.scala 29:58] + node _T_3216 = cat(_T_3215, _T_3204) @[Cat.scala 29:58] + node _T_3217 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3218 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3220 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3221 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3223 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3224 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3226 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3227 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3229 = cat(_T_3228, _T_3225) @[Cat.scala 29:58] + node _T_3230 = cat(_T_3229, _T_3222) @[Cat.scala 29:58] + node _T_3231 = cat(_T_3230, _T_3219) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3186 @[el2_lsu_bus_buffer.scala 472:19] + buf_rspage_in[1] <= _T_3201 @[el2_lsu_bus_buffer.scala 472:19] + buf_rspage_in[2] <= _T_3216 @[el2_lsu_bus_buffer.scala 472:19] + buf_rspage_in[3] <= _T_3231 @[el2_lsu_bus_buffer.scala 472:19] + node _T_3232 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3233 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3234 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3235 = or(_T_3233, _T_3234) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3237 = and(_T_3232, _T_3236) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3238 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3239 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3240 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3241 = or(_T_3239, _T_3240) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3243 = and(_T_3238, _T_3242) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3244 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3245 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3246 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3247 = or(_T_3245, _T_3246) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3248 = eq(_T_3247, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3249 = and(_T_3244, _T_3248) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3250 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3253 = or(_T_3251, _T_3252) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3255 = and(_T_3250, _T_3254) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3256 = cat(_T_3255, _T_3249) @[Cat.scala 29:58] + node _T_3257 = cat(_T_3256, _T_3243) @[Cat.scala 29:58] + node _T_3258 = cat(_T_3257, _T_3237) @[Cat.scala 29:58] + node _T_3259 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3260 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3261 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3262 = or(_T_3260, _T_3261) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3264 = and(_T_3259, _T_3263) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3265 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3266 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3267 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3268 = or(_T_3266, _T_3267) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3270 = and(_T_3265, _T_3269) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3271 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3272 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3273 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3274 = or(_T_3272, _T_3273) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3275 = eq(_T_3274, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3276 = and(_T_3271, _T_3275) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3277 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3278 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3279 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3280 = or(_T_3278, _T_3279) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3282 = and(_T_3277, _T_3281) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3283 = cat(_T_3282, _T_3276) @[Cat.scala 29:58] + node _T_3284 = cat(_T_3283, _T_3270) @[Cat.scala 29:58] + node _T_3285 = cat(_T_3284, _T_3264) @[Cat.scala 29:58] + node _T_3286 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3287 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3288 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3289 = or(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3291 = and(_T_3286, _T_3290) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3292 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3293 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3294 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3295 = or(_T_3293, _T_3294) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3297 = and(_T_3292, _T_3296) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3298 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3299 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3300 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3301 = or(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3302 = eq(_T_3301, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3303 = and(_T_3298, _T_3302) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3304 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3305 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3306 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3307 = or(_T_3305, _T_3306) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3309 = and(_T_3304, _T_3308) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3310 = cat(_T_3309, _T_3303) @[Cat.scala 29:58] + node _T_3311 = cat(_T_3310, _T_3297) @[Cat.scala 29:58] + node _T_3312 = cat(_T_3311, _T_3291) @[Cat.scala 29:58] + node _T_3313 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3314 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3315 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3316 = or(_T_3314, _T_3315) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3318 = and(_T_3313, _T_3317) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3319 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3320 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3321 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3322 = or(_T_3320, _T_3321) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3324 = and(_T_3319, _T_3323) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3325 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3326 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3327 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3328 = or(_T_3326, _T_3327) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3329 = eq(_T_3328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3330 = and(_T_3325, _T_3329) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3331 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3332 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3333 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3334 = or(_T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3335 = eq(_T_3334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3336 = and(_T_3331, _T_3335) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3337 = cat(_T_3336, _T_3330) @[Cat.scala 29:58] + node _T_3338 = cat(_T_3337, _T_3324) @[Cat.scala 29:58] + node _T_3339 = cat(_T_3338, _T_3318) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3258 @[el2_lsu_bus_buffer.scala 473:16] + buf_rspage[1] <= _T_3285 @[el2_lsu_bus_buffer.scala 473:16] + buf_rspage[2] <= _T_3312 @[el2_lsu_bus_buffer.scala 473:16] + buf_rspage[3] <= _T_3339 @[el2_lsu_bus_buffer.scala 473:16] + node _T_3340 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3341 = and(ibuf_drain_vld, _T_3340) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3342 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3343 = and(ibuf_drain_vld, _T_3342) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3344 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3345 = and(ibuf_drain_vld, _T_3344) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3346 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3347 = and(ibuf_drain_vld, _T_3346) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3348 = cat(_T_3347, _T_3345) @[Cat.scala 29:58] + node _T_3349 = cat(_T_3348, _T_3343) @[Cat.scala 29:58] + node _T_3350 = cat(_T_3349, _T_3341) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3350 @[el2_lsu_bus_buffer.scala 478:23] + node _T_3351 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] + node _T_3354 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3355 = and(_T_3353, _T_3354) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] + node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 480:10] + node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] + node _T_3363 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3364 = and(_T_3362, _T_3363) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] + node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 480:10] + node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3369 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] + node _T_3372 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3373 = and(_T_3371, _T_3372) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] + node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 480:10] + node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3378 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3379 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3380 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] + node _T_3381 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3382 = and(_T_3380, _T_3381) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3383 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3384 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] + node _T_3385 = mux(_T_3382, _T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 480:10] + node _T_3386 = mux(_T_3378, _T_3379, _T_3385) @[el2_lsu_bus_buffer.scala 479:48] + buf_byteen_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 479:19] + buf_byteen_in[1] <= _T_3368 @[el2_lsu_bus_buffer.scala 479:19] + buf_byteen_in[2] <= _T_3377 @[el2_lsu_bus_buffer.scala 479:19] + buf_byteen_in[3] <= _T_3386 @[el2_lsu_bus_buffer.scala 479:19] + node _T_3387 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:64] + node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] + node _T_3389 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:121] + node _T_3390 = and(_T_3388, _T_3389) @[el2_lsu_bus_buffer.scala 481:110] + node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] + node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3393 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:64] + node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] + node _T_3395 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:121] + node _T_3396 = and(_T_3394, _T_3395) @[el2_lsu_bus_buffer.scala 481:110] + node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] + node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:64] + node _T_3400 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] + node _T_3401 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:121] + node _T_3402 = and(_T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 481:110] + node _T_3403 = mux(_T_3402, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] + node _T_3404 = mux(_T_3399, ibuf_addr, _T_3403) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:64] + node _T_3406 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] + node _T_3407 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:121] + node _T_3408 = and(_T_3406, _T_3407) @[el2_lsu_bus_buffer.scala 481:110] + node _T_3409 = mux(_T_3408, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] + node _T_3410 = mux(_T_3405, ibuf_addr, _T_3409) @[el2_lsu_bus_buffer.scala 481:46] + buf_addr_in[0] <= _T_3392 @[el2_lsu_bus_buffer.scala 481:17] + buf_addr_in[1] <= _T_3398 @[el2_lsu_bus_buffer.scala 481:17] + buf_addr_in[2] <= _T_3404 @[el2_lsu_bus_buffer.scala 481:17] + buf_addr_in[3] <= _T_3410 @[el2_lsu_bus_buffer.scala 481:17] + node _T_3411 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:65] + node _T_3412 = mux(_T_3411, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] + node _T_3413 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:65] + node _T_3414 = mux(_T_3413, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] + node _T_3415 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:65] + node _T_3416 = mux(_T_3415, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] + node _T_3417 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:65] + node _T_3418 = mux(_T_3417, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] + node _T_3419 = cat(_T_3418, _T_3416) @[Cat.scala 29:58] + node _T_3420 = cat(_T_3419, _T_3414) @[Cat.scala 29:58] + node _T_3421 = cat(_T_3420, _T_3412) @[Cat.scala 29:58] + buf_dual_in <= _T_3421 @[el2_lsu_bus_buffer.scala 482:17] + node _T_3422 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3423 = mux(_T_3422, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3425 = mux(_T_3424, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3426 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3427 = mux(_T_3426, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3428 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3429 = mux(_T_3428, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3430 = cat(_T_3429, _T_3427) @[Cat.scala 29:58] + node _T_3431 = cat(_T_3430, _T_3425) @[Cat.scala 29:58] + node _T_3432 = cat(_T_3431, _T_3423) @[Cat.scala 29:58] + buf_samedw_in <= _T_3432 @[el2_lsu_bus_buffer.scala 483:19] + node _T_3433 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] + node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] + node _T_3436 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] + node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] + node _T_3439 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] + node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] + node _T_3442 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] + node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] + node _T_3445 = cat(_T_3444, _T_3441) @[Cat.scala 29:58] + node _T_3446 = cat(_T_3445, _T_3438) @[Cat.scala 29:58] + node _T_3447 = cat(_T_3446, _T_3435) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3447 @[el2_lsu_bus_buffer.scala 484:20] + node _T_3448 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3449 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] + node _T_3450 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:120] + node _T_3451 = and(_T_3449, _T_3450) @[el2_lsu_bus_buffer.scala 485:109] + node _T_3452 = mux(_T_3448, ibuf_dual, _T_3451) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3453 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3454 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] + node _T_3455 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:120] + node _T_3456 = and(_T_3454, _T_3455) @[el2_lsu_bus_buffer.scala 485:109] + node _T_3457 = mux(_T_3453, ibuf_dual, _T_3456) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3458 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3459 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] + node _T_3460 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:120] + node _T_3461 = and(_T_3459, _T_3460) @[el2_lsu_bus_buffer.scala 485:109] + node _T_3462 = mux(_T_3458, ibuf_dual, _T_3461) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3463 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3464 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] + node _T_3465 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:120] + node _T_3466 = and(_T_3464, _T_3465) @[el2_lsu_bus_buffer.scala 485:109] + node _T_3467 = mux(_T_3463, ibuf_dual, _T_3466) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3468 = cat(_T_3467, _T_3462) @[Cat.scala 29:58] + node _T_3469 = cat(_T_3468, _T_3457) @[Cat.scala 29:58] + node _T_3470 = cat(_T_3469, _T_3452) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3470 @[el2_lsu_bus_buffer.scala 485:19] + node _T_3471 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] + node _T_3473 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:127] + node _T_3474 = and(_T_3472, _T_3473) @[el2_lsu_bus_buffer.scala 486:116] + node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] + node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3477 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] + node _T_3479 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 486:127] + node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 486:116] + node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] + node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3484 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] + node _T_3485 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 486:127] + node _T_3486 = and(_T_3484, _T_3485) @[el2_lsu_bus_buffer.scala 486:116] + node _T_3487 = mux(_T_3486, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] + node _T_3488 = mux(_T_3483, ibuf_dualtag, _T_3487) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3490 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] + node _T_3491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 486:127] + node _T_3492 = and(_T_3490, _T_3491) @[el2_lsu_bus_buffer.scala 486:116] + node _T_3493 = mux(_T_3492, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] + node _T_3494 = mux(_T_3489, ibuf_dualtag, _T_3493) @[el2_lsu_bus_buffer.scala 486:49] + buf_dualtag_in[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 486:20] + buf_dualtag_in[1] <= _T_3482 @[el2_lsu_bus_buffer.scala 486:20] + buf_dualtag_in[2] <= _T_3488 @[el2_lsu_bus_buffer.scala 486:20] + buf_dualtag_in[3] <= _T_3494 @[el2_lsu_bus_buffer.scala 486:20] + node _T_3495 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3496 = mux(_T_3495, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] + node _T_3497 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3498 = mux(_T_3497, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] + node _T_3499 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3500 = mux(_T_3499, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] + node _T_3501 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3502 = mux(_T_3501, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] + node _T_3503 = cat(_T_3502, _T_3500) @[Cat.scala 29:58] + node _T_3504 = cat(_T_3503, _T_3498) @[Cat.scala 29:58] + node _T_3505 = cat(_T_3504, _T_3496) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3505 @[el2_lsu_bus_buffer.scala 487:23] + node _T_3506 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3507 = mux(_T_3506, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3509 = mux(_T_3508, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3510 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3511 = mux(_T_3510, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3512 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3513 = mux(_T_3512, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3514 = cat(_T_3513, _T_3511) @[Cat.scala 29:58] + node _T_3515 = cat(_T_3514, _T_3509) @[Cat.scala 29:58] + node _T_3516 = cat(_T_3515, _T_3507) @[Cat.scala 29:58] + buf_unsign_in <= _T_3516 @[el2_lsu_bus_buffer.scala 488:19] + node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:62] + node _T_3518 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3520 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:62] + node _T_3521 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3523 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:62] + node _T_3524 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:62] + node _T_3527 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 489:44] + buf_sz_in[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 489:15] + buf_sz_in[1] <= _T_3522 @[el2_lsu_bus_buffer.scala 489:15] + buf_sz_in[2] <= _T_3525 @[el2_lsu_bus_buffer.scala 489:15] + buf_sz_in[3] <= _T_3528 @[el2_lsu_bus_buffer.scala 489:15] + node _T_3529 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:66] + node _T_3530 = mux(_T_3529, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] + node _T_3531 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:66] + node _T_3532 = mux(_T_3531, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] + node _T_3533 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:66] + node _T_3534 = mux(_T_3533, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] + node _T_3535 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:66] + node _T_3536 = mux(_T_3535, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] + node _T_3537 = cat(_T_3536, _T_3534) @[Cat.scala 29:58] + node _T_3538 = cat(_T_3537, _T_3532) @[Cat.scala 29:58] + node _T_3539 = cat(_T_3538, _T_3530) @[Cat.scala 29:58] + buf_write_in <= _T_3539 @[el2_lsu_bus_buffer.scala 490:18] + node _T_3540 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3540 : @[Conditional.scala 40:58] + node _T_3541 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] + node _T_3542 = mux(_T_3541, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] + buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 495:25] + node _T_3543 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] + node _T_3544 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] + node _T_3545 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] + node _T_3546 = and(_T_3544, _T_3545) @[el2_lsu_bus_buffer.scala 496:95] + node _T_3547 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] + node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 496:112] + node _T_3549 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] + node _T_3550 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] + node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 496:161] + node _T_3552 = or(_T_3548, _T_3551) @[el2_lsu_bus_buffer.scala 496:132] + node _T_3553 = and(_T_3543, _T_3552) @[el2_lsu_bus_buffer.scala 496:63] + node _T_3554 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] + node _T_3555 = and(ibuf_drain_vld, _T_3554) @[el2_lsu_bus_buffer.scala 496:201] + node _T_3556 = or(_T_3553, _T_3555) @[el2_lsu_bus_buffer.scala 496:183] + buf_state_en[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 496:25] buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 497:22] buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 498:24] - node _T_3541 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] - node _T_3542 = and(ibuf_drain_vld, _T_3541) @[el2_lsu_bus_buffer.scala 499:47] - node _T_3543 = bits(_T_3542, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] - node _T_3544 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3545 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] - node _T_3546 = mux(_T_3543, _T_3544, _T_3545) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[0] <= _T_3546 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] + node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 499:47] + node _T_3559 = bits(_T_3558, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] + node _T_3560 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3561 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] + node _T_3562 = mux(_T_3559, _T_3560, _T_3561) @[el2_lsu_bus_buffer.scala 499:30] + buf_data_in[0] <= _T_3562 @[el2_lsu_bus_buffer.scala 499:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3547 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3547 : @[Conditional.scala 39:67] - node _T_3548 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3549 = mux(_T_3548, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[0] <= _T_3549 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3550 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] - buf_state_en[0] <= _T_3550 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3563 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3563 : @[Conditional.scala 39:67] + node _T_3564 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] + node _T_3565 = mux(_T_3564, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3566 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] + buf_state_en[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 503:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3551 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3551 : @[Conditional.scala 39:67] - node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] - node _T_3553 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] - node _T_3554 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] - node _T_3555 = and(_T_3553, _T_3554) @[el2_lsu_bus_buffer.scala 506:104] - node _T_3556 = mux(_T_3555, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] - node _T_3557 = mux(_T_3552, UInt<3>("h00"), _T_3556) @[el2_lsu_bus_buffer.scala 506:31] - buf_nxtstate[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 506:25] - node _T_3558 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:48] - node _T_3559 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:104] - node _T_3560 = and(obuf_merge, _T_3559) @[el2_lsu_bus_buffer.scala 507:91] - node _T_3561 = or(_T_3558, _T_3560) @[el2_lsu_bus_buffer.scala 507:77] - node _T_3562 = and(_T_3561, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] - node _T_3563 = and(_T_3562, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] - buf_cmd_state_bus_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 507:33] + node _T_3567 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3567 : @[Conditional.scala 39:67] + node _T_3568 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] + node _T_3569 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] + node _T_3570 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] + node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3572 = mux(_T_3571, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] + node _T_3573 = mux(_T_3568, UInt<3>("h00"), _T_3572) @[el2_lsu_bus_buffer.scala 506:31] + buf_nxtstate[0] <= _T_3573 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3574 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3575 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:104] + node _T_3576 = and(obuf_merge, _T_3575) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3577 = or(_T_3574, _T_3576) @[el2_lsu_bus_buffer.scala 507:77] + node _T_3578 = and(_T_3577, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] + node _T_3579 = and(_T_3578, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] + buf_cmd_state_bus_en[0] <= _T_3579 @[el2_lsu_bus_buffer.scala 507:33] buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 508:29] - node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] - node _T_3565 = or(_T_3564, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] - buf_state_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] + node _T_3581 = or(_T_3580, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] + buf_state_en[0] <= _T_3581 @[el2_lsu_bus_buffer.scala 509:25] buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - node _T_3566 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 511:56] - node _T_3567 = eq(_T_3566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] - node _T_3568 = and(buf_state_en[0], _T_3567) @[el2_lsu_bus_buffer.scala 511:44] - node _T_3569 = and(_T_3568, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3570 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] - node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 511:74] - buf_ldfwd_en[0] <= _T_3571 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3572 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] - buf_ldfwdtag_in[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 512:28] - node _T_3573 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] - node _T_3574 = and(_T_3573, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] - node _T_3575 = and(_T_3574, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] - buf_data_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 513:24] - node _T_3576 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] - node _T_3577 = and(_T_3576, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] - node _T_3578 = and(_T_3577, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] - buf_error_en[0] <= _T_3578 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3579 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] - node _T_3580 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] - node _T_3581 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] - node _T_3582 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] - node _T_3583 = mux(_T_3580, _T_3581, _T_3582) @[el2_lsu_bus_buffer.scala 515:73] - node _T_3584 = mux(buf_error_en[0], _T_3579, _T_3583) @[el2_lsu_bus_buffer.scala 515:30] - buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 515:24] + node _T_3582 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 511:56] + node _T_3583 = eq(_T_3582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] + node _T_3584 = and(buf_state_en[0], _T_3583) @[el2_lsu_bus_buffer.scala 511:44] + node _T_3585 = and(_T_3584, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3586 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] + node _T_3587 = and(_T_3585, _T_3586) @[el2_lsu_bus_buffer.scala 511:74] + buf_ldfwd_en[0] <= _T_3587 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3588 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] + buf_ldfwdtag_in[0] <= _T_3588 @[el2_lsu_bus_buffer.scala 512:28] + node _T_3589 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] + node _T_3590 = and(_T_3589, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] + node _T_3591 = and(_T_3590, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] + buf_data_en[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] + node _T_3594 = and(_T_3593, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] + buf_error_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3595 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] + node _T_3596 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] + node _T_3597 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] + node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] + node _T_3599 = mux(_T_3596, _T_3597, _T_3598) @[el2_lsu_bus_buffer.scala 515:73] + node _T_3600 = mux(buf_error_en[0], _T_3595, _T_3599) @[el2_lsu_bus_buffer.scala 515:30] + buf_data_in[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 515:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3585 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3585 : @[Conditional.scala 39:67] - node _T_3586 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:67] - node _T_3587 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] - node _T_3588 = eq(_T_3587, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_3589 = and(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 518:71] - node _T_3590 = or(io.dec_tlu_force_halt, _T_3589) @[el2_lsu_bus_buffer.scala 518:55] - node _T_3591 = bits(_T_3590, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] - node _T_3592 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] - node _T_3593 = and(buf_dual[0], _T_3592) @[el2_lsu_bus_buffer.scala 519:28] - node _T_3594 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:57] - node _T_3595 = eq(_T_3594, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] - node _T_3596 = and(_T_3593, _T_3595) @[el2_lsu_bus_buffer.scala 519:45] - node _T_3597 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] - node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 519:61] - node _T_3599 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 520:27] - node _T_3600 = or(_T_3599, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] - node _T_3601 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] - node _T_3602 = and(buf_dual[0], _T_3601) @[el2_lsu_bus_buffer.scala 520:68] - node _T_3603 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 520:97] - node _T_3604 = eq(_T_3603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] - node _T_3605 = and(_T_3602, _T_3604) @[el2_lsu_bus_buffer.scala 520:85] - node _T_3606 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3607 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3608 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3609 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3610 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3611 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3612 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3613 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3614 = mux(_T_3606, _T_3607, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3615 = mux(_T_3608, _T_3609, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3616 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3617 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3618 = or(_T_3614, _T_3615) @[Mux.scala 27:72] - node _T_3619 = or(_T_3618, _T_3616) @[Mux.scala 27:72] - node _T_3620 = or(_T_3619, _T_3617) @[Mux.scala 27:72] - wire _T_3621 : UInt<1> @[Mux.scala 27:72] - _T_3621 <= _T_3620 @[Mux.scala 27:72] - node _T_3622 = and(_T_3605, _T_3621) @[el2_lsu_bus_buffer.scala 520:101] - node _T_3623 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] - node _T_3624 = and(_T_3622, _T_3623) @[el2_lsu_bus_buffer.scala 520:138] - node _T_3625 = and(_T_3624, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] - node _T_3626 = or(_T_3600, _T_3625) @[el2_lsu_bus_buffer.scala 520:53] - node _T_3627 = mux(_T_3626, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] - node _T_3628 = mux(_T_3598, UInt<3>("h04"), _T_3627) @[el2_lsu_bus_buffer.scala 519:14] - node _T_3629 = mux(_T_3591, UInt<3>("h00"), _T_3628) @[el2_lsu_bus_buffer.scala 518:31] - buf_nxtstate[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 518:25] - node _T_3630 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 521:73] - node _T_3631 = and(bus_rsp_write, _T_3630) @[el2_lsu_bus_buffer.scala 521:52] - node _T_3632 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 522:46] - node _T_3633 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 523:23] - node _T_3634 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 523:47] - node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 523:27] - node _T_3636 = or(_T_3632, _T_3635) @[el2_lsu_bus_buffer.scala 522:77] - node _T_3637 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 524:26] - node _T_3638 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 524:54] - node _T_3639 = not(_T_3638) @[el2_lsu_bus_buffer.scala 524:44] - node _T_3640 = and(_T_3637, _T_3639) @[el2_lsu_bus_buffer.scala 524:42] - node _T_3641 = and(_T_3640, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 524:58] - node _T_3642 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 524:94] - node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 524:74] - node _T_3644 = or(_T_3636, _T_3643) @[el2_lsu_bus_buffer.scala 523:71] - node _T_3645 = and(bus_rsp_read, _T_3644) @[el2_lsu_bus_buffer.scala 522:25] - node _T_3646 = or(_T_3631, _T_3645) @[el2_lsu_bus_buffer.scala 521:105] - buf_resp_state_bus_en[0] <= _T_3646 @[el2_lsu_bus_buffer.scala 521:34] + node _T_3601 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3601 : @[Conditional.scala 39:67] + node _T_3602 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:67] + node _T_3603 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] + node _T_3604 = eq(_T_3603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_3605 = and(_T_3602, _T_3604) @[el2_lsu_bus_buffer.scala 518:71] + node _T_3606 = or(io.dec_tlu_force_halt, _T_3605) @[el2_lsu_bus_buffer.scala 518:55] + node _T_3607 = bits(_T_3606, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] + node _T_3608 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] + node _T_3609 = and(buf_dual[0], _T_3608) @[el2_lsu_bus_buffer.scala 519:28] + node _T_3610 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:57] + node _T_3611 = eq(_T_3610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] + node _T_3612 = and(_T_3609, _T_3611) @[el2_lsu_bus_buffer.scala 519:45] + node _T_3613 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] + node _T_3614 = and(_T_3612, _T_3613) @[el2_lsu_bus_buffer.scala 519:61] + node _T_3615 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 520:27] + node _T_3616 = or(_T_3615, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] + node _T_3617 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] + node _T_3618 = and(buf_dual[0], _T_3617) @[el2_lsu_bus_buffer.scala 520:68] + node _T_3619 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 520:97] + node _T_3620 = eq(_T_3619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] + node _T_3621 = and(_T_3618, _T_3620) @[el2_lsu_bus_buffer.scala 520:85] + node _T_3622 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3623 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3624 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3625 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3626 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3627 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3628 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3629 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3630 = mux(_T_3622, _T_3623, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3631 = mux(_T_3624, _T_3625, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3632 = mux(_T_3626, _T_3627, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3633 = mux(_T_3628, _T_3629, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3634 = or(_T_3630, _T_3631) @[Mux.scala 27:72] + node _T_3635 = or(_T_3634, _T_3632) @[Mux.scala 27:72] + node _T_3636 = or(_T_3635, _T_3633) @[Mux.scala 27:72] + wire _T_3637 : UInt<1> @[Mux.scala 27:72] + _T_3637 <= _T_3636 @[Mux.scala 27:72] + node _T_3638 = and(_T_3621, _T_3637) @[el2_lsu_bus_buffer.scala 520:101] + node _T_3639 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] + node _T_3640 = and(_T_3638, _T_3639) @[el2_lsu_bus_buffer.scala 520:138] + node _T_3641 = and(_T_3640, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] + node _T_3642 = or(_T_3616, _T_3641) @[el2_lsu_bus_buffer.scala 520:53] + node _T_3643 = mux(_T_3642, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] + node _T_3644 = mux(_T_3614, UInt<3>("h04"), _T_3643) @[el2_lsu_bus_buffer.scala 519:14] + node _T_3645 = mux(_T_3607, UInt<3>("h00"), _T_3644) @[el2_lsu_bus_buffer.scala 518:31] + buf_nxtstate[0] <= _T_3645 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3646 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 521:73] + node _T_3647 = and(bus_rsp_write, _T_3646) @[el2_lsu_bus_buffer.scala 521:52] + node _T_3648 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 522:46] + node _T_3649 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 523:23] + node _T_3650 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 523:47] + node _T_3651 = and(_T_3649, _T_3650) @[el2_lsu_bus_buffer.scala 523:27] + node _T_3652 = or(_T_3648, _T_3651) @[el2_lsu_bus_buffer.scala 522:77] + node _T_3653 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 524:26] + node _T_3654 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 524:54] + node _T_3655 = not(_T_3654) @[el2_lsu_bus_buffer.scala 524:44] + node _T_3656 = and(_T_3653, _T_3655) @[el2_lsu_bus_buffer.scala 524:42] + node _T_3657 = and(_T_3656, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 524:58] + node _T_3658 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 524:94] + node _T_3659 = and(_T_3657, _T_3658) @[el2_lsu_bus_buffer.scala 524:74] + node _T_3660 = or(_T_3652, _T_3659) @[el2_lsu_bus_buffer.scala 523:71] + node _T_3661 = and(bus_rsp_read, _T_3660) @[el2_lsu_bus_buffer.scala 522:25] + node _T_3662 = or(_T_3647, _T_3661) @[el2_lsu_bus_buffer.scala 521:105] + buf_resp_state_bus_en[0] <= _T_3662 @[el2_lsu_bus_buffer.scala 521:34] buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 525:29] - node _T_3647 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] - node _T_3648 = or(_T_3647, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] - buf_state_en[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3649 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] - node _T_3650 = and(_T_3649, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] - buf_data_en[0] <= _T_3650 @[el2_lsu_bus_buffer.scala 527:24] - node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] - node _T_3652 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:111] - node _T_3653 = and(bus_rsp_read_error, _T_3652) @[el2_lsu_bus_buffer.scala 528:91] - node _T_3654 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 529:42] - node _T_3655 = and(bus_rsp_read_error, _T_3654) @[el2_lsu_bus_buffer.scala 529:31] - node _T_3656 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 529:66] - node _T_3657 = and(_T_3655, _T_3656) @[el2_lsu_bus_buffer.scala 529:46] - node _T_3658 = or(_T_3653, _T_3657) @[el2_lsu_bus_buffer.scala 528:143] - node _T_3659 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] - node _T_3660 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:74] - node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 530:53] - node _T_3662 = or(_T_3658, _T_3661) @[el2_lsu_bus_buffer.scala 529:88] - node _T_3663 = and(_T_3651, _T_3662) @[el2_lsu_bus_buffer.scala 528:68] - buf_error_en[0] <= _T_3663 @[el2_lsu_bus_buffer.scala 528:25] - node _T_3664 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] - node _T_3665 = and(buf_state_en[0], _T_3664) @[el2_lsu_bus_buffer.scala 531:48] - node _T_3666 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] - node _T_3667 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] - node _T_3668 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] - node _T_3669 = mux(_T_3666, _T_3667, _T_3668) @[el2_lsu_bus_buffer.scala 531:72] - node _T_3670 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] - node _T_3671 = mux(_T_3665, _T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 531:30] - buf_data_in[0] <= _T_3671 @[el2_lsu_bus_buffer.scala 531:24] + node _T_3663 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] + node _T_3664 = or(_T_3663, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] + buf_state_en[0] <= _T_3664 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3665 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] + node _T_3666 = and(_T_3665, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] + buf_data_en[0] <= _T_3666 @[el2_lsu_bus_buffer.scala 527:24] + node _T_3667 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] + node _T_3668 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:111] + node _T_3669 = and(bus_rsp_read_error, _T_3668) @[el2_lsu_bus_buffer.scala 528:91] + node _T_3670 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 529:42] + node _T_3671 = and(bus_rsp_read_error, _T_3670) @[el2_lsu_bus_buffer.scala 529:31] + node _T_3672 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 529:66] + node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 529:46] + node _T_3674 = or(_T_3669, _T_3673) @[el2_lsu_bus_buffer.scala 528:143] + node _T_3675 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] + node _T_3676 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:74] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 530:53] + node _T_3678 = or(_T_3674, _T_3677) @[el2_lsu_bus_buffer.scala 529:88] + node _T_3679 = and(_T_3667, _T_3678) @[el2_lsu_bus_buffer.scala 528:68] + buf_error_en[0] <= _T_3679 @[el2_lsu_bus_buffer.scala 528:25] + node _T_3680 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] + node _T_3681 = and(buf_state_en[0], _T_3680) @[el2_lsu_bus_buffer.scala 531:48] + node _T_3682 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] + node _T_3683 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] + node _T_3684 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] + node _T_3685 = mux(_T_3682, _T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 531:72] + node _T_3686 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] + node _T_3687 = mux(_T_3681, _T_3685, _T_3686) @[el2_lsu_bus_buffer.scala 531:30] + buf_data_in[0] <= _T_3687 @[el2_lsu_bus_buffer.scala 531:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3672 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3672 : @[Conditional.scala 39:67] - node _T_3673 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] - node _T_3674 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 534:86] - node _T_3675 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:101] - node _T_3676 = bits(_T_3675, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] - node _T_3677 = or(_T_3674, _T_3676) @[el2_lsu_bus_buffer.scala 534:90] - node _T_3678 = or(_T_3677, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] - node _T_3679 = mux(_T_3678, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] - node _T_3680 = mux(_T_3673, UInt<3>("h00"), _T_3679) @[el2_lsu_bus_buffer.scala 534:31] - buf_nxtstate[0] <= _T_3680 @[el2_lsu_bus_buffer.scala 534:25] - node _T_3681 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:66] - node _T_3682 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 536:21] - node _T_3683 = bits(_T_3682, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] - node _T_3684 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 536:58] - node _T_3685 = and(_T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 536:38] - node _T_3686 = or(_T_3681, _T_3685) @[el2_lsu_bus_buffer.scala 535:95] - node _T_3687 = and(bus_rsp_read, _T_3686) @[el2_lsu_bus_buffer.scala 535:45] - buf_state_bus_en[0] <= _T_3687 @[el2_lsu_bus_buffer.scala 535:29] - node _T_3688 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] - node _T_3689 = or(_T_3688, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] - buf_state_en[0] <= _T_3689 @[el2_lsu_bus_buffer.scala 537:25] + node _T_3688 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3688 : @[Conditional.scala 39:67] + node _T_3689 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] + node _T_3690 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 534:86] + node _T_3691 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:101] + node _T_3692 = bits(_T_3691, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] + node _T_3693 = or(_T_3690, _T_3692) @[el2_lsu_bus_buffer.scala 534:90] + node _T_3694 = or(_T_3693, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] + node _T_3695 = mux(_T_3694, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] + node _T_3696 = mux(_T_3689, UInt<3>("h00"), _T_3695) @[el2_lsu_bus_buffer.scala 534:31] + buf_nxtstate[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3697 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:66] + node _T_3698 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 536:21] + node _T_3699 = bits(_T_3698, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] + node _T_3700 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 536:58] + node _T_3701 = and(_T_3699, _T_3700) @[el2_lsu_bus_buffer.scala 536:38] + node _T_3702 = or(_T_3697, _T_3701) @[el2_lsu_bus_buffer.scala 535:95] + node _T_3703 = and(bus_rsp_read, _T_3702) @[el2_lsu_bus_buffer.scala 535:45] + buf_state_bus_en[0] <= _T_3703 @[el2_lsu_bus_buffer.scala 535:29] + node _T_3704 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] + node _T_3705 = or(_T_3704, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] + buf_state_en[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 537:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3690 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3690 : @[Conditional.scala 39:67] - node _T_3691 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] - node _T_3692 = mux(_T_3691, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] - buf_nxtstate[0] <= _T_3692 @[el2_lsu_bus_buffer.scala 540:25] - node _T_3693 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 541:37] - node _T_3694 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] - node _T_3695 = and(buf_dual[0], _T_3694) @[el2_lsu_bus_buffer.scala 541:80] - node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 541:65] - node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] - buf_state_en[0] <= _T_3697 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3706 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3706 : @[Conditional.scala 39:67] + node _T_3707 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] + node _T_3708 = mux(_T_3707, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] + buf_nxtstate[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 540:25] + node _T_3709 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 541:37] + node _T_3710 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] + node _T_3711 = and(buf_dual[0], _T_3710) @[el2_lsu_bus_buffer.scala 541:80] + node _T_3712 = or(_T_3709, _T_3711) @[el2_lsu_bus_buffer.scala 541:65] + node _T_3713 = or(_T_3712, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] + buf_state_en[0] <= _T_3713 @[el2_lsu_bus_buffer.scala 541:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3698 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3698 : @[Conditional.scala 39:67] + node _T_3714 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3714 : @[Conditional.scala 39:67] buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] - node _T_3699 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] - reg _T_3700 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3699 : @[Reg.scala 28:19] - _T_3700 <= buf_nxtstate[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state[0] <= _T_3700 @[el2_lsu_bus_buffer.scala 551:18] - reg _T_3701 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] - _T_3701 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 552:60] - buf_ageQ[0] <= _T_3701 @[el2_lsu_bus_buffer.scala 552:17] - reg _T_3702 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] - _T_3702 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 553:63] - buf_rspageQ[0] <= _T_3702 @[el2_lsu_bus_buffer.scala 553:20] - node _T_3703 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] - reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3703 : @[Reg.scala 28:19] - _T_3704 <= buf_dualtag_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3704 @[el2_lsu_bus_buffer.scala 554:20] - node _T_3705 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:74] - node _T_3706 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] - reg _T_3707 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3706 : @[Reg.scala 28:19] - _T_3707 <= _T_3705 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3707 @[el2_lsu_bus_buffer.scala 555:17] - node _T_3708 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:78] - node _T_3709 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_3710 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3709 : @[Reg.scala 28:19] - _T_3710 <= _T_3708 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3710 @[el2_lsu_bus_buffer.scala 556:19] - node _T_3711 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:80] - node _T_3712 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] - reg _T_3713 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3712 : @[Reg.scala 28:19] - _T_3713 <= _T_3711 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3713 @[el2_lsu_bus_buffer.scala 557:20] - node _T_3714 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 558:78] - node _T_3715 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] - reg _T_3716 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_3715 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] + reg _T_3716 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3715 : @[Reg.scala 28:19] - _T_3716 <= _T_3714 @[Reg.scala 28:23] + _T_3716 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 558:19] - node _T_3717 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3717 : @[Conditional.scala 40:58] - node _T_3718 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] - node _T_3719 = mux(_T_3718, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] - buf_nxtstate[1] <= _T_3719 @[el2_lsu_bus_buffer.scala 495:25] - node _T_3720 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] - node _T_3721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3722 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] - node _T_3723 = and(_T_3721, _T_3722) @[el2_lsu_bus_buffer.scala 496:95] - node _T_3724 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] - node _T_3725 = and(_T_3723, _T_3724) @[el2_lsu_bus_buffer.scala 496:112] - node _T_3726 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] - node _T_3727 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] - node _T_3728 = and(_T_3726, _T_3727) @[el2_lsu_bus_buffer.scala 496:161] - node _T_3729 = or(_T_3725, _T_3728) @[el2_lsu_bus_buffer.scala 496:132] - node _T_3730 = and(_T_3720, _T_3729) @[el2_lsu_bus_buffer.scala 496:63] - node _T_3731 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] - node _T_3732 = and(ibuf_drain_vld, _T_3731) @[el2_lsu_bus_buffer.scala 496:201] - node _T_3733 = or(_T_3730, _T_3732) @[el2_lsu_bus_buffer.scala 496:183] - buf_state_en[1] <= _T_3733 @[el2_lsu_bus_buffer.scala 496:25] + buf_state[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 551:18] + reg _T_3717 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] + _T_3717 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 552:60] + buf_ageQ[0] <= _T_3717 @[el2_lsu_bus_buffer.scala 552:17] + reg _T_3718 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] + _T_3718 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 553:63] + buf_rspageQ[0] <= _T_3718 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3719 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] + reg _T_3720 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3719 : @[Reg.scala 28:19] + _T_3720 <= buf_dualtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 554:20] + node _T_3721 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:74] + node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] + reg _T_3723 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3722 : @[Reg.scala 28:19] + _T_3723 <= _T_3721 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 555:17] + node _T_3724 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:78] + node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + reg _T_3726 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3725 : @[Reg.scala 28:19] + _T_3726 <= _T_3724 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 556:19] + node _T_3727 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:80] + node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] + reg _T_3729 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3728 : @[Reg.scala 28:19] + _T_3729 <= _T_3727 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 557:20] + node _T_3730 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 558:78] + node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] + reg _T_3732 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3731 : @[Reg.scala 28:19] + _T_3732 <= _T_3730 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 558:19] + node _T_3733 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3733 : @[Conditional.scala 40:58] + node _T_3734 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] + node _T_3735 = mux(_T_3734, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] + buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 495:25] + node _T_3736 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] + node _T_3737 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] + node _T_3738 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] + node _T_3739 = and(_T_3737, _T_3738) @[el2_lsu_bus_buffer.scala 496:95] + node _T_3740 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] + node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 496:112] + node _T_3742 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] + node _T_3743 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] + node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 496:161] + node _T_3745 = or(_T_3741, _T_3744) @[el2_lsu_bus_buffer.scala 496:132] + node _T_3746 = and(_T_3736, _T_3745) @[el2_lsu_bus_buffer.scala 496:63] + node _T_3747 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] + node _T_3748 = and(ibuf_drain_vld, _T_3747) @[el2_lsu_bus_buffer.scala 496:201] + node _T_3749 = or(_T_3746, _T_3748) @[el2_lsu_bus_buffer.scala 496:183] + buf_state_en[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 496:25] buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 497:22] buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 498:24] - node _T_3734 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] - node _T_3735 = and(ibuf_drain_vld, _T_3734) @[el2_lsu_bus_buffer.scala 499:47] - node _T_3736 = bits(_T_3735, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] - node _T_3737 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3738 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] - node _T_3739 = mux(_T_3736, _T_3737, _T_3738) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[1] <= _T_3739 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] + node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 499:47] + node _T_3752 = bits(_T_3751, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] + node _T_3753 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3754 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] + node _T_3755 = mux(_T_3752, _T_3753, _T_3754) @[el2_lsu_bus_buffer.scala 499:30] + buf_data_in[1] <= _T_3755 @[el2_lsu_bus_buffer.scala 499:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3740 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3740 : @[Conditional.scala 39:67] - node _T_3741 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3742 = mux(_T_3741, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[1] <= _T_3742 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3743 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] - buf_state_en[1] <= _T_3743 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3756 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3756 : @[Conditional.scala 39:67] + node _T_3757 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] + node _T_3758 = mux(_T_3757, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3759 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] + buf_state_en[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 503:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3744 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3744 : @[Conditional.scala 39:67] - node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] - node _T_3746 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] - node _T_3747 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] - node _T_3748 = and(_T_3746, _T_3747) @[el2_lsu_bus_buffer.scala 506:104] - node _T_3749 = mux(_T_3748, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] - node _T_3750 = mux(_T_3745, UInt<3>("h00"), _T_3749) @[el2_lsu_bus_buffer.scala 506:31] - buf_nxtstate[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 506:25] - node _T_3751 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:48] - node _T_3752 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:104] - node _T_3753 = and(obuf_merge, _T_3752) @[el2_lsu_bus_buffer.scala 507:91] - node _T_3754 = or(_T_3751, _T_3753) @[el2_lsu_bus_buffer.scala 507:77] - node _T_3755 = and(_T_3754, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] - node _T_3756 = and(_T_3755, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] - buf_cmd_state_bus_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 507:33] + node _T_3760 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3760 : @[Conditional.scala 39:67] + node _T_3761 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] + node _T_3762 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] + node _T_3763 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] + node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3765 = mux(_T_3764, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] + node _T_3766 = mux(_T_3761, UInt<3>("h00"), _T_3765) @[el2_lsu_bus_buffer.scala 506:31] + buf_nxtstate[1] <= _T_3766 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3767 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3768 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:104] + node _T_3769 = and(obuf_merge, _T_3768) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3770 = or(_T_3767, _T_3769) @[el2_lsu_bus_buffer.scala 507:77] + node _T_3771 = and(_T_3770, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] + node _T_3772 = and(_T_3771, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] + buf_cmd_state_bus_en[1] <= _T_3772 @[el2_lsu_bus_buffer.scala 507:33] buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 508:29] - node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] - node _T_3758 = or(_T_3757, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] - buf_state_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] + node _T_3774 = or(_T_3773, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] + buf_state_en[1] <= _T_3774 @[el2_lsu_bus_buffer.scala 509:25] buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - node _T_3759 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 511:56] - node _T_3760 = eq(_T_3759, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] - node _T_3761 = and(buf_state_en[1], _T_3760) @[el2_lsu_bus_buffer.scala 511:44] - node _T_3762 = and(_T_3761, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3763 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] - node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 511:74] - buf_ldfwd_en[1] <= _T_3764 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3765 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] - buf_ldfwdtag_in[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 512:28] - node _T_3766 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] - node _T_3767 = and(_T_3766, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] - node _T_3768 = and(_T_3767, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] - buf_data_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 513:24] - node _T_3769 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] - node _T_3770 = and(_T_3769, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] - node _T_3771 = and(_T_3770, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] - buf_error_en[1] <= _T_3771 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3772 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] - node _T_3773 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] - node _T_3774 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] - node _T_3775 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] - node _T_3776 = mux(_T_3773, _T_3774, _T_3775) @[el2_lsu_bus_buffer.scala 515:73] - node _T_3777 = mux(buf_error_en[1], _T_3772, _T_3776) @[el2_lsu_bus_buffer.scala 515:30] - buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 515:24] + node _T_3775 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 511:56] + node _T_3776 = eq(_T_3775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] + node _T_3777 = and(buf_state_en[1], _T_3776) @[el2_lsu_bus_buffer.scala 511:44] + node _T_3778 = and(_T_3777, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3779 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] + node _T_3780 = and(_T_3778, _T_3779) @[el2_lsu_bus_buffer.scala 511:74] + buf_ldfwd_en[1] <= _T_3780 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3781 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] + buf_ldfwdtag_in[1] <= _T_3781 @[el2_lsu_bus_buffer.scala 512:28] + node _T_3782 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] + node _T_3783 = and(_T_3782, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] + node _T_3784 = and(_T_3783, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] + buf_data_en[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] + node _T_3787 = and(_T_3786, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] + buf_error_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3788 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] + node _T_3789 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] + node _T_3790 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] + node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] + node _T_3792 = mux(_T_3789, _T_3790, _T_3791) @[el2_lsu_bus_buffer.scala 515:73] + node _T_3793 = mux(buf_error_en[1], _T_3788, _T_3792) @[el2_lsu_bus_buffer.scala 515:30] + buf_data_in[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 515:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3778 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3778 : @[Conditional.scala 39:67] - node _T_3779 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:67] - node _T_3780 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] - node _T_3781 = eq(_T_3780, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_3782 = and(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 518:71] - node _T_3783 = or(io.dec_tlu_force_halt, _T_3782) @[el2_lsu_bus_buffer.scala 518:55] - node _T_3784 = bits(_T_3783, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] - node _T_3785 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] - node _T_3786 = and(buf_dual[1], _T_3785) @[el2_lsu_bus_buffer.scala 519:28] - node _T_3787 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:57] - node _T_3788 = eq(_T_3787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] - node _T_3789 = and(_T_3786, _T_3788) @[el2_lsu_bus_buffer.scala 519:45] - node _T_3790 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] - node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 519:61] - node _T_3792 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 520:27] - node _T_3793 = or(_T_3792, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] - node _T_3794 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] - node _T_3795 = and(buf_dual[1], _T_3794) @[el2_lsu_bus_buffer.scala 520:68] - node _T_3796 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 520:97] - node _T_3797 = eq(_T_3796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] - node _T_3798 = and(_T_3795, _T_3797) @[el2_lsu_bus_buffer.scala 520:85] - node _T_3799 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3800 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3801 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3802 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3803 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3804 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3805 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3806 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3807 = mux(_T_3799, _T_3800, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3808 = mux(_T_3801, _T_3802, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3809 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3810 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3811 = or(_T_3807, _T_3808) @[Mux.scala 27:72] - node _T_3812 = or(_T_3811, _T_3809) @[Mux.scala 27:72] - node _T_3813 = or(_T_3812, _T_3810) @[Mux.scala 27:72] - wire _T_3814 : UInt<1> @[Mux.scala 27:72] - _T_3814 <= _T_3813 @[Mux.scala 27:72] - node _T_3815 = and(_T_3798, _T_3814) @[el2_lsu_bus_buffer.scala 520:101] - node _T_3816 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] - node _T_3817 = and(_T_3815, _T_3816) @[el2_lsu_bus_buffer.scala 520:138] - node _T_3818 = and(_T_3817, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] - node _T_3819 = or(_T_3793, _T_3818) @[el2_lsu_bus_buffer.scala 520:53] - node _T_3820 = mux(_T_3819, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] - node _T_3821 = mux(_T_3791, UInt<3>("h04"), _T_3820) @[el2_lsu_bus_buffer.scala 519:14] - node _T_3822 = mux(_T_3784, UInt<3>("h00"), _T_3821) @[el2_lsu_bus_buffer.scala 518:31] - buf_nxtstate[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 518:25] - node _T_3823 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 521:73] - node _T_3824 = and(bus_rsp_write, _T_3823) @[el2_lsu_bus_buffer.scala 521:52] - node _T_3825 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 522:46] - node _T_3826 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 523:23] - node _T_3827 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 523:47] - node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 523:27] - node _T_3829 = or(_T_3825, _T_3828) @[el2_lsu_bus_buffer.scala 522:77] - node _T_3830 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 524:26] - node _T_3831 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 524:54] - node _T_3832 = not(_T_3831) @[el2_lsu_bus_buffer.scala 524:44] - node _T_3833 = and(_T_3830, _T_3832) @[el2_lsu_bus_buffer.scala 524:42] - node _T_3834 = and(_T_3833, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 524:58] - node _T_3835 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 524:94] - node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 524:74] - node _T_3837 = or(_T_3829, _T_3836) @[el2_lsu_bus_buffer.scala 523:71] - node _T_3838 = and(bus_rsp_read, _T_3837) @[el2_lsu_bus_buffer.scala 522:25] - node _T_3839 = or(_T_3824, _T_3838) @[el2_lsu_bus_buffer.scala 521:105] - buf_resp_state_bus_en[1] <= _T_3839 @[el2_lsu_bus_buffer.scala 521:34] + node _T_3794 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3794 : @[Conditional.scala 39:67] + node _T_3795 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:67] + node _T_3796 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] + node _T_3797 = eq(_T_3796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_3798 = and(_T_3795, _T_3797) @[el2_lsu_bus_buffer.scala 518:71] + node _T_3799 = or(io.dec_tlu_force_halt, _T_3798) @[el2_lsu_bus_buffer.scala 518:55] + node _T_3800 = bits(_T_3799, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] + node _T_3801 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] + node _T_3802 = and(buf_dual[1], _T_3801) @[el2_lsu_bus_buffer.scala 519:28] + node _T_3803 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:57] + node _T_3804 = eq(_T_3803, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] + node _T_3805 = and(_T_3802, _T_3804) @[el2_lsu_bus_buffer.scala 519:45] + node _T_3806 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] + node _T_3807 = and(_T_3805, _T_3806) @[el2_lsu_bus_buffer.scala 519:61] + node _T_3808 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 520:27] + node _T_3809 = or(_T_3808, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] + node _T_3810 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] + node _T_3811 = and(buf_dual[1], _T_3810) @[el2_lsu_bus_buffer.scala 520:68] + node _T_3812 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 520:97] + node _T_3813 = eq(_T_3812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] + node _T_3814 = and(_T_3811, _T_3813) @[el2_lsu_bus_buffer.scala 520:85] + node _T_3815 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3816 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3817 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3818 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3819 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3820 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3821 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3822 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3823 = mux(_T_3815, _T_3816, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3824 = mux(_T_3817, _T_3818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3825 = mux(_T_3819, _T_3820, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3826 = mux(_T_3821, _T_3822, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3827 = or(_T_3823, _T_3824) @[Mux.scala 27:72] + node _T_3828 = or(_T_3827, _T_3825) @[Mux.scala 27:72] + node _T_3829 = or(_T_3828, _T_3826) @[Mux.scala 27:72] + wire _T_3830 : UInt<1> @[Mux.scala 27:72] + _T_3830 <= _T_3829 @[Mux.scala 27:72] + node _T_3831 = and(_T_3814, _T_3830) @[el2_lsu_bus_buffer.scala 520:101] + node _T_3832 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] + node _T_3833 = and(_T_3831, _T_3832) @[el2_lsu_bus_buffer.scala 520:138] + node _T_3834 = and(_T_3833, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] + node _T_3835 = or(_T_3809, _T_3834) @[el2_lsu_bus_buffer.scala 520:53] + node _T_3836 = mux(_T_3835, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] + node _T_3837 = mux(_T_3807, UInt<3>("h04"), _T_3836) @[el2_lsu_bus_buffer.scala 519:14] + node _T_3838 = mux(_T_3800, UInt<3>("h00"), _T_3837) @[el2_lsu_bus_buffer.scala 518:31] + buf_nxtstate[1] <= _T_3838 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3839 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 521:73] + node _T_3840 = and(bus_rsp_write, _T_3839) @[el2_lsu_bus_buffer.scala 521:52] + node _T_3841 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 522:46] + node _T_3842 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 523:23] + node _T_3843 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 523:47] + node _T_3844 = and(_T_3842, _T_3843) @[el2_lsu_bus_buffer.scala 523:27] + node _T_3845 = or(_T_3841, _T_3844) @[el2_lsu_bus_buffer.scala 522:77] + node _T_3846 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 524:26] + node _T_3847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 524:54] + node _T_3848 = not(_T_3847) @[el2_lsu_bus_buffer.scala 524:44] + node _T_3849 = and(_T_3846, _T_3848) @[el2_lsu_bus_buffer.scala 524:42] + node _T_3850 = and(_T_3849, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 524:58] + node _T_3851 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 524:94] + node _T_3852 = and(_T_3850, _T_3851) @[el2_lsu_bus_buffer.scala 524:74] + node _T_3853 = or(_T_3845, _T_3852) @[el2_lsu_bus_buffer.scala 523:71] + node _T_3854 = and(bus_rsp_read, _T_3853) @[el2_lsu_bus_buffer.scala 522:25] + node _T_3855 = or(_T_3840, _T_3854) @[el2_lsu_bus_buffer.scala 521:105] + buf_resp_state_bus_en[1] <= _T_3855 @[el2_lsu_bus_buffer.scala 521:34] buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 525:29] - node _T_3840 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] - node _T_3841 = or(_T_3840, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] - buf_state_en[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3842 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] - node _T_3843 = and(_T_3842, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] - buf_data_en[1] <= _T_3843 @[el2_lsu_bus_buffer.scala 527:24] - node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] - node _T_3845 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:111] - node _T_3846 = and(bus_rsp_read_error, _T_3845) @[el2_lsu_bus_buffer.scala 528:91] - node _T_3847 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 529:42] - node _T_3848 = and(bus_rsp_read_error, _T_3847) @[el2_lsu_bus_buffer.scala 529:31] - node _T_3849 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 529:66] - node _T_3850 = and(_T_3848, _T_3849) @[el2_lsu_bus_buffer.scala 529:46] - node _T_3851 = or(_T_3846, _T_3850) @[el2_lsu_bus_buffer.scala 528:143] - node _T_3852 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] - node _T_3853 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:74] - node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 530:53] - node _T_3855 = or(_T_3851, _T_3854) @[el2_lsu_bus_buffer.scala 529:88] - node _T_3856 = and(_T_3844, _T_3855) @[el2_lsu_bus_buffer.scala 528:68] - buf_error_en[1] <= _T_3856 @[el2_lsu_bus_buffer.scala 528:25] - node _T_3857 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] - node _T_3858 = and(buf_state_en[1], _T_3857) @[el2_lsu_bus_buffer.scala 531:48] - node _T_3859 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] - node _T_3860 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] - node _T_3861 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] - node _T_3862 = mux(_T_3859, _T_3860, _T_3861) @[el2_lsu_bus_buffer.scala 531:72] - node _T_3863 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] - node _T_3864 = mux(_T_3858, _T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 531:30] - buf_data_in[1] <= _T_3864 @[el2_lsu_bus_buffer.scala 531:24] + node _T_3856 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] + node _T_3857 = or(_T_3856, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] + buf_state_en[1] <= _T_3857 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3858 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] + node _T_3859 = and(_T_3858, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] + buf_data_en[1] <= _T_3859 @[el2_lsu_bus_buffer.scala 527:24] + node _T_3860 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] + node _T_3861 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:111] + node _T_3862 = and(bus_rsp_read_error, _T_3861) @[el2_lsu_bus_buffer.scala 528:91] + node _T_3863 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 529:42] + node _T_3864 = and(bus_rsp_read_error, _T_3863) @[el2_lsu_bus_buffer.scala 529:31] + node _T_3865 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 529:66] + node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 529:46] + node _T_3867 = or(_T_3862, _T_3866) @[el2_lsu_bus_buffer.scala 528:143] + node _T_3868 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] + node _T_3869 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:74] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 530:53] + node _T_3871 = or(_T_3867, _T_3870) @[el2_lsu_bus_buffer.scala 529:88] + node _T_3872 = and(_T_3860, _T_3871) @[el2_lsu_bus_buffer.scala 528:68] + buf_error_en[1] <= _T_3872 @[el2_lsu_bus_buffer.scala 528:25] + node _T_3873 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] + node _T_3874 = and(buf_state_en[1], _T_3873) @[el2_lsu_bus_buffer.scala 531:48] + node _T_3875 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] + node _T_3876 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] + node _T_3877 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] + node _T_3878 = mux(_T_3875, _T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 531:72] + node _T_3879 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] + node _T_3880 = mux(_T_3874, _T_3878, _T_3879) @[el2_lsu_bus_buffer.scala 531:30] + buf_data_in[1] <= _T_3880 @[el2_lsu_bus_buffer.scala 531:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3865 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3865 : @[Conditional.scala 39:67] - node _T_3866 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] - node _T_3867 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 534:86] - node _T_3868 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:101] - node _T_3869 = bits(_T_3868, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] - node _T_3870 = or(_T_3867, _T_3869) @[el2_lsu_bus_buffer.scala 534:90] - node _T_3871 = or(_T_3870, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] - node _T_3872 = mux(_T_3871, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] - node _T_3873 = mux(_T_3866, UInt<3>("h00"), _T_3872) @[el2_lsu_bus_buffer.scala 534:31] - buf_nxtstate[1] <= _T_3873 @[el2_lsu_bus_buffer.scala 534:25] - node _T_3874 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:66] - node _T_3875 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 536:21] - node _T_3876 = bits(_T_3875, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] - node _T_3877 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 536:58] - node _T_3878 = and(_T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 536:38] - node _T_3879 = or(_T_3874, _T_3878) @[el2_lsu_bus_buffer.scala 535:95] - node _T_3880 = and(bus_rsp_read, _T_3879) @[el2_lsu_bus_buffer.scala 535:45] - buf_state_bus_en[1] <= _T_3880 @[el2_lsu_bus_buffer.scala 535:29] - node _T_3881 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] - node _T_3882 = or(_T_3881, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] - buf_state_en[1] <= _T_3882 @[el2_lsu_bus_buffer.scala 537:25] + node _T_3881 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3881 : @[Conditional.scala 39:67] + node _T_3882 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] + node _T_3883 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 534:86] + node _T_3884 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:101] + node _T_3885 = bits(_T_3884, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] + node _T_3886 = or(_T_3883, _T_3885) @[el2_lsu_bus_buffer.scala 534:90] + node _T_3887 = or(_T_3886, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] + node _T_3888 = mux(_T_3887, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] + node _T_3889 = mux(_T_3882, UInt<3>("h00"), _T_3888) @[el2_lsu_bus_buffer.scala 534:31] + buf_nxtstate[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3890 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:66] + node _T_3891 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 536:21] + node _T_3892 = bits(_T_3891, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] + node _T_3893 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 536:58] + node _T_3894 = and(_T_3892, _T_3893) @[el2_lsu_bus_buffer.scala 536:38] + node _T_3895 = or(_T_3890, _T_3894) @[el2_lsu_bus_buffer.scala 535:95] + node _T_3896 = and(bus_rsp_read, _T_3895) @[el2_lsu_bus_buffer.scala 535:45] + buf_state_bus_en[1] <= _T_3896 @[el2_lsu_bus_buffer.scala 535:29] + node _T_3897 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] + node _T_3898 = or(_T_3897, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] + buf_state_en[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 537:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3883 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3883 : @[Conditional.scala 39:67] - node _T_3884 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] - node _T_3885 = mux(_T_3884, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] - buf_nxtstate[1] <= _T_3885 @[el2_lsu_bus_buffer.scala 540:25] - node _T_3886 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 541:37] - node _T_3887 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] - node _T_3888 = and(buf_dual[1], _T_3887) @[el2_lsu_bus_buffer.scala 541:80] - node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 541:65] - node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] - buf_state_en[1] <= _T_3890 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3899 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3899 : @[Conditional.scala 39:67] + node _T_3900 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] + node _T_3901 = mux(_T_3900, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] + buf_nxtstate[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 540:25] + node _T_3902 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 541:37] + node _T_3903 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] + node _T_3904 = and(buf_dual[1], _T_3903) @[el2_lsu_bus_buffer.scala 541:80] + node _T_3905 = or(_T_3902, _T_3904) @[el2_lsu_bus_buffer.scala 541:65] + node _T_3906 = or(_T_3905, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] + buf_state_en[1] <= _T_3906 @[el2_lsu_bus_buffer.scala 541:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3891 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3891 : @[Conditional.scala 39:67] + node _T_3907 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3907 : @[Conditional.scala 39:67] buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] - node _T_3892 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] - reg _T_3893 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3892 : @[Reg.scala 28:19] - _T_3893 <= buf_nxtstate[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state[1] <= _T_3893 @[el2_lsu_bus_buffer.scala 551:18] - reg _T_3894 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] - _T_3894 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 552:60] - buf_ageQ[1] <= _T_3894 @[el2_lsu_bus_buffer.scala 552:17] - reg _T_3895 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] - _T_3895 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 553:63] - buf_rspageQ[1] <= _T_3895 @[el2_lsu_bus_buffer.scala 553:20] - node _T_3896 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] - reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3896 : @[Reg.scala 28:19] - _T_3897 <= buf_dualtag_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3897 @[el2_lsu_bus_buffer.scala 554:20] - node _T_3898 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:74] - node _T_3899 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] - reg _T_3900 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3899 : @[Reg.scala 28:19] - _T_3900 <= _T_3898 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3900 @[el2_lsu_bus_buffer.scala 555:17] - node _T_3901 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:78] - node _T_3902 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3902 : @[Reg.scala 28:19] - _T_3903 <= _T_3901 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3903 @[el2_lsu_bus_buffer.scala 556:19] - node _T_3904 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:80] - node _T_3905 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] - reg _T_3906 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3905 : @[Reg.scala 28:19] - _T_3906 <= _T_3904 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3906 @[el2_lsu_bus_buffer.scala 557:20] - node _T_3907 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 558:78] - node _T_3908 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] - reg _T_3909 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_3908 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] + reg _T_3909 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3908 : @[Reg.scala 28:19] - _T_3909 <= _T_3907 @[Reg.scala 28:23] + _T_3909 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 558:19] - node _T_3910 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3910 : @[Conditional.scala 40:58] - node _T_3911 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] - node _T_3912 = mux(_T_3911, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] - buf_nxtstate[2] <= _T_3912 @[el2_lsu_bus_buffer.scala 495:25] - node _T_3913 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] - node _T_3914 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3915 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] - node _T_3916 = and(_T_3914, _T_3915) @[el2_lsu_bus_buffer.scala 496:95] - node _T_3917 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] - node _T_3918 = and(_T_3916, _T_3917) @[el2_lsu_bus_buffer.scala 496:112] - node _T_3919 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] - node _T_3920 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] - node _T_3921 = and(_T_3919, _T_3920) @[el2_lsu_bus_buffer.scala 496:161] - node _T_3922 = or(_T_3918, _T_3921) @[el2_lsu_bus_buffer.scala 496:132] - node _T_3923 = and(_T_3913, _T_3922) @[el2_lsu_bus_buffer.scala 496:63] - node _T_3924 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] - node _T_3925 = and(ibuf_drain_vld, _T_3924) @[el2_lsu_bus_buffer.scala 496:201] - node _T_3926 = or(_T_3923, _T_3925) @[el2_lsu_bus_buffer.scala 496:183] - buf_state_en[2] <= _T_3926 @[el2_lsu_bus_buffer.scala 496:25] + buf_state[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 551:18] + reg _T_3910 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] + _T_3910 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 552:60] + buf_ageQ[1] <= _T_3910 @[el2_lsu_bus_buffer.scala 552:17] + reg _T_3911 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] + _T_3911 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 553:63] + buf_rspageQ[1] <= _T_3911 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3912 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] + reg _T_3913 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3912 : @[Reg.scala 28:19] + _T_3913 <= buf_dualtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 554:20] + node _T_3914 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:74] + node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] + reg _T_3916 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3915 : @[Reg.scala 28:19] + _T_3916 <= _T_3914 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 555:17] + node _T_3917 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:78] + node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + reg _T_3919 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3918 : @[Reg.scala 28:19] + _T_3919 <= _T_3917 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 556:19] + node _T_3920 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:80] + node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] + reg _T_3922 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3921 : @[Reg.scala 28:19] + _T_3922 <= _T_3920 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 557:20] + node _T_3923 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 558:78] + node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] + reg _T_3925 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3924 : @[Reg.scala 28:19] + _T_3925 <= _T_3923 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 558:19] + node _T_3926 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3926 : @[Conditional.scala 40:58] + node _T_3927 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] + node _T_3928 = mux(_T_3927, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] + buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 495:25] + node _T_3929 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] + node _T_3930 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] + node _T_3931 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] + node _T_3932 = and(_T_3930, _T_3931) @[el2_lsu_bus_buffer.scala 496:95] + node _T_3933 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] + node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 496:112] + node _T_3935 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] + node _T_3936 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] + node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 496:161] + node _T_3938 = or(_T_3934, _T_3937) @[el2_lsu_bus_buffer.scala 496:132] + node _T_3939 = and(_T_3929, _T_3938) @[el2_lsu_bus_buffer.scala 496:63] + node _T_3940 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] + node _T_3941 = and(ibuf_drain_vld, _T_3940) @[el2_lsu_bus_buffer.scala 496:201] + node _T_3942 = or(_T_3939, _T_3941) @[el2_lsu_bus_buffer.scala 496:183] + buf_state_en[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 496:25] buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 497:22] buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 498:24] - node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] - node _T_3928 = and(ibuf_drain_vld, _T_3927) @[el2_lsu_bus_buffer.scala 499:47] - node _T_3929 = bits(_T_3928, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] - node _T_3930 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3931 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] - node _T_3932 = mux(_T_3929, _T_3930, _T_3931) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[2] <= _T_3932 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] + node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 499:47] + node _T_3945 = bits(_T_3944, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] + node _T_3946 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3947 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] + node _T_3948 = mux(_T_3945, _T_3946, _T_3947) @[el2_lsu_bus_buffer.scala 499:30] + buf_data_in[2] <= _T_3948 @[el2_lsu_bus_buffer.scala 499:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3933 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3933 : @[Conditional.scala 39:67] - node _T_3934 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3935 = mux(_T_3934, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[2] <= _T_3935 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3936 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] - buf_state_en[2] <= _T_3936 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3949 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3949 : @[Conditional.scala 39:67] + node _T_3950 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] + node _T_3951 = mux(_T_3950, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3952 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] + buf_state_en[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 503:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3937 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3937 : @[Conditional.scala 39:67] - node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] - node _T_3939 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] - node _T_3940 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] - node _T_3941 = and(_T_3939, _T_3940) @[el2_lsu_bus_buffer.scala 506:104] - node _T_3942 = mux(_T_3941, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] - node _T_3943 = mux(_T_3938, UInt<3>("h00"), _T_3942) @[el2_lsu_bus_buffer.scala 506:31] - buf_nxtstate[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 506:25] - node _T_3944 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:48] - node _T_3945 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:104] - node _T_3946 = and(obuf_merge, _T_3945) @[el2_lsu_bus_buffer.scala 507:91] - node _T_3947 = or(_T_3944, _T_3946) @[el2_lsu_bus_buffer.scala 507:77] - node _T_3948 = and(_T_3947, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] - node _T_3949 = and(_T_3948, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] - buf_cmd_state_bus_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 507:33] + node _T_3953 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3953 : @[Conditional.scala 39:67] + node _T_3954 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] + node _T_3955 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] + node _T_3956 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] + node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3958 = mux(_T_3957, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] + node _T_3959 = mux(_T_3954, UInt<3>("h00"), _T_3958) @[el2_lsu_bus_buffer.scala 506:31] + buf_nxtstate[2] <= _T_3959 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3960 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3961 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:104] + node _T_3962 = and(obuf_merge, _T_3961) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3963 = or(_T_3960, _T_3962) @[el2_lsu_bus_buffer.scala 507:77] + node _T_3964 = and(_T_3963, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] + node _T_3965 = and(_T_3964, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] + buf_cmd_state_bus_en[2] <= _T_3965 @[el2_lsu_bus_buffer.scala 507:33] buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 508:29] - node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] - node _T_3951 = or(_T_3950, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] - buf_state_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] + node _T_3967 = or(_T_3966, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] + buf_state_en[2] <= _T_3967 @[el2_lsu_bus_buffer.scala 509:25] buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - node _T_3952 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 511:56] - node _T_3953 = eq(_T_3952, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] - node _T_3954 = and(buf_state_en[2], _T_3953) @[el2_lsu_bus_buffer.scala 511:44] - node _T_3955 = and(_T_3954, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3956 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] - node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 511:74] - buf_ldfwd_en[2] <= _T_3957 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3958 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] - buf_ldfwdtag_in[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 512:28] - node _T_3959 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] - node _T_3960 = and(_T_3959, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] - node _T_3961 = and(_T_3960, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] - buf_data_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 513:24] - node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] - node _T_3963 = and(_T_3962, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] - node _T_3964 = and(_T_3963, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] - buf_error_en[2] <= _T_3964 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3965 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] - node _T_3966 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] - node _T_3967 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] - node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] - node _T_3969 = mux(_T_3966, _T_3967, _T_3968) @[el2_lsu_bus_buffer.scala 515:73] - node _T_3970 = mux(buf_error_en[2], _T_3965, _T_3969) @[el2_lsu_bus_buffer.scala 515:30] - buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 515:24] + node _T_3968 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 511:56] + node _T_3969 = eq(_T_3968, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] + node _T_3970 = and(buf_state_en[2], _T_3969) @[el2_lsu_bus_buffer.scala 511:44] + node _T_3971 = and(_T_3970, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3972 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] + node _T_3973 = and(_T_3971, _T_3972) @[el2_lsu_bus_buffer.scala 511:74] + buf_ldfwd_en[2] <= _T_3973 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3974 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] + buf_ldfwdtag_in[2] <= _T_3974 @[el2_lsu_bus_buffer.scala 512:28] + node _T_3975 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] + node _T_3976 = and(_T_3975, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] + node _T_3977 = and(_T_3976, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] + buf_data_en[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] + node _T_3980 = and(_T_3979, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] + buf_error_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3981 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] + node _T_3982 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] + node _T_3983 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] + node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] + node _T_3985 = mux(_T_3982, _T_3983, _T_3984) @[el2_lsu_bus_buffer.scala 515:73] + node _T_3986 = mux(buf_error_en[2], _T_3981, _T_3985) @[el2_lsu_bus_buffer.scala 515:30] + buf_data_in[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 515:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3971 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3971 : @[Conditional.scala 39:67] - node _T_3972 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:67] - node _T_3973 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] - node _T_3974 = eq(_T_3973, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_3975 = and(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 518:71] - node _T_3976 = or(io.dec_tlu_force_halt, _T_3975) @[el2_lsu_bus_buffer.scala 518:55] - node _T_3977 = bits(_T_3976, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] - node _T_3978 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] - node _T_3979 = and(buf_dual[2], _T_3978) @[el2_lsu_bus_buffer.scala 519:28] - node _T_3980 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:57] - node _T_3981 = eq(_T_3980, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] - node _T_3982 = and(_T_3979, _T_3981) @[el2_lsu_bus_buffer.scala 519:45] - node _T_3983 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] - node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 519:61] - node _T_3985 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 520:27] - node _T_3986 = or(_T_3985, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] - node _T_3987 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] - node _T_3988 = and(buf_dual[2], _T_3987) @[el2_lsu_bus_buffer.scala 520:68] - node _T_3989 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 520:97] - node _T_3990 = eq(_T_3989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] - node _T_3991 = and(_T_3988, _T_3990) @[el2_lsu_bus_buffer.scala 520:85] - node _T_3992 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3993 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3994 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3995 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3996 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3997 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3998 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3999 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4000 = mux(_T_3992, _T_3993, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4001 = mux(_T_3994, _T_3995, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4002 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4003 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4004 = or(_T_4000, _T_4001) @[Mux.scala 27:72] - node _T_4005 = or(_T_4004, _T_4002) @[Mux.scala 27:72] - node _T_4006 = or(_T_4005, _T_4003) @[Mux.scala 27:72] - wire _T_4007 : UInt<1> @[Mux.scala 27:72] - _T_4007 <= _T_4006 @[Mux.scala 27:72] - node _T_4008 = and(_T_3991, _T_4007) @[el2_lsu_bus_buffer.scala 520:101] - node _T_4009 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] - node _T_4010 = and(_T_4008, _T_4009) @[el2_lsu_bus_buffer.scala 520:138] - node _T_4011 = and(_T_4010, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] - node _T_4012 = or(_T_3986, _T_4011) @[el2_lsu_bus_buffer.scala 520:53] - node _T_4013 = mux(_T_4012, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] - node _T_4014 = mux(_T_3984, UInt<3>("h04"), _T_4013) @[el2_lsu_bus_buffer.scala 519:14] - node _T_4015 = mux(_T_3977, UInt<3>("h00"), _T_4014) @[el2_lsu_bus_buffer.scala 518:31] - buf_nxtstate[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 518:25] - node _T_4016 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 521:73] - node _T_4017 = and(bus_rsp_write, _T_4016) @[el2_lsu_bus_buffer.scala 521:52] - node _T_4018 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 522:46] - node _T_4019 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 523:23] - node _T_4020 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 523:47] - node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 523:27] - node _T_4022 = or(_T_4018, _T_4021) @[el2_lsu_bus_buffer.scala 522:77] - node _T_4023 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 524:26] - node _T_4024 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 524:54] - node _T_4025 = not(_T_4024) @[el2_lsu_bus_buffer.scala 524:44] - node _T_4026 = and(_T_4023, _T_4025) @[el2_lsu_bus_buffer.scala 524:42] - node _T_4027 = and(_T_4026, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 524:58] - node _T_4028 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 524:94] - node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 524:74] - node _T_4030 = or(_T_4022, _T_4029) @[el2_lsu_bus_buffer.scala 523:71] - node _T_4031 = and(bus_rsp_read, _T_4030) @[el2_lsu_bus_buffer.scala 522:25] - node _T_4032 = or(_T_4017, _T_4031) @[el2_lsu_bus_buffer.scala 521:105] - buf_resp_state_bus_en[2] <= _T_4032 @[el2_lsu_bus_buffer.scala 521:34] + node _T_3987 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3987 : @[Conditional.scala 39:67] + node _T_3988 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:67] + node _T_3989 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] + node _T_3990 = eq(_T_3989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_3991 = and(_T_3988, _T_3990) @[el2_lsu_bus_buffer.scala 518:71] + node _T_3992 = or(io.dec_tlu_force_halt, _T_3991) @[el2_lsu_bus_buffer.scala 518:55] + node _T_3993 = bits(_T_3992, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] + node _T_3994 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] + node _T_3995 = and(buf_dual[2], _T_3994) @[el2_lsu_bus_buffer.scala 519:28] + node _T_3996 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:57] + node _T_3997 = eq(_T_3996, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] + node _T_3998 = and(_T_3995, _T_3997) @[el2_lsu_bus_buffer.scala 519:45] + node _T_3999 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] + node _T_4000 = and(_T_3998, _T_3999) @[el2_lsu_bus_buffer.scala 519:61] + node _T_4001 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 520:27] + node _T_4002 = or(_T_4001, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] + node _T_4003 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] + node _T_4004 = and(buf_dual[2], _T_4003) @[el2_lsu_bus_buffer.scala 520:68] + node _T_4005 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 520:97] + node _T_4006 = eq(_T_4005, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] + node _T_4007 = and(_T_4004, _T_4006) @[el2_lsu_bus_buffer.scala 520:85] + node _T_4008 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4009 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4010 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4011 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4012 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4013 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4014 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4015 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4016 = mux(_T_4008, _T_4009, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4017 = mux(_T_4010, _T_4011, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4018 = mux(_T_4012, _T_4013, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4019 = mux(_T_4014, _T_4015, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4020 = or(_T_4016, _T_4017) @[Mux.scala 27:72] + node _T_4021 = or(_T_4020, _T_4018) @[Mux.scala 27:72] + node _T_4022 = or(_T_4021, _T_4019) @[Mux.scala 27:72] + wire _T_4023 : UInt<1> @[Mux.scala 27:72] + _T_4023 <= _T_4022 @[Mux.scala 27:72] + node _T_4024 = and(_T_4007, _T_4023) @[el2_lsu_bus_buffer.scala 520:101] + node _T_4025 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] + node _T_4026 = and(_T_4024, _T_4025) @[el2_lsu_bus_buffer.scala 520:138] + node _T_4027 = and(_T_4026, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] + node _T_4028 = or(_T_4002, _T_4027) @[el2_lsu_bus_buffer.scala 520:53] + node _T_4029 = mux(_T_4028, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] + node _T_4030 = mux(_T_4000, UInt<3>("h04"), _T_4029) @[el2_lsu_bus_buffer.scala 519:14] + node _T_4031 = mux(_T_3993, UInt<3>("h00"), _T_4030) @[el2_lsu_bus_buffer.scala 518:31] + buf_nxtstate[2] <= _T_4031 @[el2_lsu_bus_buffer.scala 518:25] + node _T_4032 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 521:73] + node _T_4033 = and(bus_rsp_write, _T_4032) @[el2_lsu_bus_buffer.scala 521:52] + node _T_4034 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 522:46] + node _T_4035 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 523:23] + node _T_4036 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 523:47] + node _T_4037 = and(_T_4035, _T_4036) @[el2_lsu_bus_buffer.scala 523:27] + node _T_4038 = or(_T_4034, _T_4037) @[el2_lsu_bus_buffer.scala 522:77] + node _T_4039 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 524:26] + node _T_4040 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 524:54] + node _T_4041 = not(_T_4040) @[el2_lsu_bus_buffer.scala 524:44] + node _T_4042 = and(_T_4039, _T_4041) @[el2_lsu_bus_buffer.scala 524:42] + node _T_4043 = and(_T_4042, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 524:58] + node _T_4044 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 524:94] + node _T_4045 = and(_T_4043, _T_4044) @[el2_lsu_bus_buffer.scala 524:74] + node _T_4046 = or(_T_4038, _T_4045) @[el2_lsu_bus_buffer.scala 523:71] + node _T_4047 = and(bus_rsp_read, _T_4046) @[el2_lsu_bus_buffer.scala 522:25] + node _T_4048 = or(_T_4033, _T_4047) @[el2_lsu_bus_buffer.scala 521:105] + buf_resp_state_bus_en[2] <= _T_4048 @[el2_lsu_bus_buffer.scala 521:34] buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 525:29] - node _T_4033 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] - node _T_4034 = or(_T_4033, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] - buf_state_en[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4035 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] - node _T_4036 = and(_T_4035, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] - buf_data_en[2] <= _T_4036 @[el2_lsu_bus_buffer.scala 527:24] - node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] - node _T_4038 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:111] - node _T_4039 = and(bus_rsp_read_error, _T_4038) @[el2_lsu_bus_buffer.scala 528:91] - node _T_4040 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 529:42] - node _T_4041 = and(bus_rsp_read_error, _T_4040) @[el2_lsu_bus_buffer.scala 529:31] - node _T_4042 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 529:66] - node _T_4043 = and(_T_4041, _T_4042) @[el2_lsu_bus_buffer.scala 529:46] - node _T_4044 = or(_T_4039, _T_4043) @[el2_lsu_bus_buffer.scala 528:143] - node _T_4045 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] - node _T_4046 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:74] - node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 530:53] - node _T_4048 = or(_T_4044, _T_4047) @[el2_lsu_bus_buffer.scala 529:88] - node _T_4049 = and(_T_4037, _T_4048) @[el2_lsu_bus_buffer.scala 528:68] - buf_error_en[2] <= _T_4049 @[el2_lsu_bus_buffer.scala 528:25] - node _T_4050 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] - node _T_4051 = and(buf_state_en[2], _T_4050) @[el2_lsu_bus_buffer.scala 531:48] - node _T_4052 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] - node _T_4053 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] - node _T_4054 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] - node _T_4055 = mux(_T_4052, _T_4053, _T_4054) @[el2_lsu_bus_buffer.scala 531:72] - node _T_4056 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] - node _T_4057 = mux(_T_4051, _T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 531:30] - buf_data_in[2] <= _T_4057 @[el2_lsu_bus_buffer.scala 531:24] + node _T_4049 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] + node _T_4050 = or(_T_4049, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] + buf_state_en[2] <= _T_4050 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4051 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] + node _T_4052 = and(_T_4051, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] + buf_data_en[2] <= _T_4052 @[el2_lsu_bus_buffer.scala 527:24] + node _T_4053 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] + node _T_4054 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:111] + node _T_4055 = and(bus_rsp_read_error, _T_4054) @[el2_lsu_bus_buffer.scala 528:91] + node _T_4056 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 529:42] + node _T_4057 = and(bus_rsp_read_error, _T_4056) @[el2_lsu_bus_buffer.scala 529:31] + node _T_4058 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 529:66] + node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 529:46] + node _T_4060 = or(_T_4055, _T_4059) @[el2_lsu_bus_buffer.scala 528:143] + node _T_4061 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] + node _T_4062 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:74] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 530:53] + node _T_4064 = or(_T_4060, _T_4063) @[el2_lsu_bus_buffer.scala 529:88] + node _T_4065 = and(_T_4053, _T_4064) @[el2_lsu_bus_buffer.scala 528:68] + buf_error_en[2] <= _T_4065 @[el2_lsu_bus_buffer.scala 528:25] + node _T_4066 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] + node _T_4067 = and(buf_state_en[2], _T_4066) @[el2_lsu_bus_buffer.scala 531:48] + node _T_4068 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] + node _T_4069 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] + node _T_4070 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] + node _T_4071 = mux(_T_4068, _T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 531:72] + node _T_4072 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] + node _T_4073 = mux(_T_4067, _T_4071, _T_4072) @[el2_lsu_bus_buffer.scala 531:30] + buf_data_in[2] <= _T_4073 @[el2_lsu_bus_buffer.scala 531:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4058 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4058 : @[Conditional.scala 39:67] - node _T_4059 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] - node _T_4060 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4061 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:101] - node _T_4062 = bits(_T_4061, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] - node _T_4063 = or(_T_4060, _T_4062) @[el2_lsu_bus_buffer.scala 534:90] - node _T_4064 = or(_T_4063, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] - node _T_4065 = mux(_T_4064, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] - node _T_4066 = mux(_T_4059, UInt<3>("h00"), _T_4065) @[el2_lsu_bus_buffer.scala 534:31] - buf_nxtstate[2] <= _T_4066 @[el2_lsu_bus_buffer.scala 534:25] - node _T_4067 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:66] - node _T_4068 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 536:21] - node _T_4069 = bits(_T_4068, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] - node _T_4070 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 536:58] - node _T_4071 = and(_T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 536:38] - node _T_4072 = or(_T_4067, _T_4071) @[el2_lsu_bus_buffer.scala 535:95] - node _T_4073 = and(bus_rsp_read, _T_4072) @[el2_lsu_bus_buffer.scala 535:45] - buf_state_bus_en[2] <= _T_4073 @[el2_lsu_bus_buffer.scala 535:29] - node _T_4074 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] - node _T_4075 = or(_T_4074, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] - buf_state_en[2] <= _T_4075 @[el2_lsu_bus_buffer.scala 537:25] + node _T_4074 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4074 : @[Conditional.scala 39:67] + node _T_4075 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] + node _T_4076 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4077 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:101] + node _T_4078 = bits(_T_4077, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] + node _T_4079 = or(_T_4076, _T_4078) @[el2_lsu_bus_buffer.scala 534:90] + node _T_4080 = or(_T_4079, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] + node _T_4081 = mux(_T_4080, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] + node _T_4082 = mux(_T_4075, UInt<3>("h00"), _T_4081) @[el2_lsu_bus_buffer.scala 534:31] + buf_nxtstate[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4083 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:66] + node _T_4084 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 536:21] + node _T_4085 = bits(_T_4084, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] + node _T_4086 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 536:58] + node _T_4087 = and(_T_4085, _T_4086) @[el2_lsu_bus_buffer.scala 536:38] + node _T_4088 = or(_T_4083, _T_4087) @[el2_lsu_bus_buffer.scala 535:95] + node _T_4089 = and(bus_rsp_read, _T_4088) @[el2_lsu_bus_buffer.scala 535:45] + buf_state_bus_en[2] <= _T_4089 @[el2_lsu_bus_buffer.scala 535:29] + node _T_4090 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] + node _T_4091 = or(_T_4090, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] + buf_state_en[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 537:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4076 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4076 : @[Conditional.scala 39:67] - node _T_4077 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4078 = mux(_T_4077, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] - buf_nxtstate[2] <= _T_4078 @[el2_lsu_bus_buffer.scala 540:25] - node _T_4079 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 541:37] - node _T_4080 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] - node _T_4081 = and(buf_dual[2], _T_4080) @[el2_lsu_bus_buffer.scala 541:80] - node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 541:65] - node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] - buf_state_en[2] <= _T_4083 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4092 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4092 : @[Conditional.scala 39:67] + node _T_4093 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] + node _T_4094 = mux(_T_4093, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] + buf_nxtstate[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 540:25] + node _T_4095 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 541:37] + node _T_4096 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] + node _T_4097 = and(buf_dual[2], _T_4096) @[el2_lsu_bus_buffer.scala 541:80] + node _T_4098 = or(_T_4095, _T_4097) @[el2_lsu_bus_buffer.scala 541:65] + node _T_4099 = or(_T_4098, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] + buf_state_en[2] <= _T_4099 @[el2_lsu_bus_buffer.scala 541:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4084 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4084 : @[Conditional.scala 39:67] + node _T_4100 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4100 : @[Conditional.scala 39:67] buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] - node _T_4085 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] - reg _T_4086 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4085 : @[Reg.scala 28:19] - _T_4086 <= buf_nxtstate[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state[2] <= _T_4086 @[el2_lsu_bus_buffer.scala 551:18] - reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] - _T_4087 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 552:60] - buf_ageQ[2] <= _T_4087 @[el2_lsu_bus_buffer.scala 552:17] - reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] - _T_4088 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 553:63] - buf_rspageQ[2] <= _T_4088 @[el2_lsu_bus_buffer.scala 553:20] - node _T_4089 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] - reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4089 : @[Reg.scala 28:19] - _T_4090 <= buf_dualtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4090 @[el2_lsu_bus_buffer.scala 554:20] - node _T_4091 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:74] - node _T_4092 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] - reg _T_4093 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4092 : @[Reg.scala 28:19] - _T_4093 <= _T_4091 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4093 @[el2_lsu_bus_buffer.scala 555:17] - node _T_4094 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:78] - node _T_4095 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_4096 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4095 : @[Reg.scala 28:19] - _T_4096 <= _T_4094 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4096 @[el2_lsu_bus_buffer.scala 556:19] - node _T_4097 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4098 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] - reg _T_4099 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4098 : @[Reg.scala 28:19] - _T_4099 <= _T_4097 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4099 @[el2_lsu_bus_buffer.scala 557:20] - node _T_4100 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4101 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] - reg _T_4102 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4101 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] + reg _T_4102 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4101 : @[Reg.scala 28:19] - _T_4102 <= _T_4100 @[Reg.scala 28:23] + _T_4102 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 558:19] - node _T_4103 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4103 : @[Conditional.scala 40:58] - node _T_4104 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] - node _T_4105 = mux(_T_4104, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] - buf_nxtstate[3] <= _T_4105 @[el2_lsu_bus_buffer.scala 495:25] - node _T_4106 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] - node _T_4107 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] - node _T_4108 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] - node _T_4109 = and(_T_4107, _T_4108) @[el2_lsu_bus_buffer.scala 496:95] - node _T_4110 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] - node _T_4111 = and(_T_4109, _T_4110) @[el2_lsu_bus_buffer.scala 496:112] - node _T_4112 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] - node _T_4113 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] - node _T_4114 = and(_T_4112, _T_4113) @[el2_lsu_bus_buffer.scala 496:161] - node _T_4115 = or(_T_4111, _T_4114) @[el2_lsu_bus_buffer.scala 496:132] - node _T_4116 = and(_T_4106, _T_4115) @[el2_lsu_bus_buffer.scala 496:63] - node _T_4117 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] - node _T_4118 = and(ibuf_drain_vld, _T_4117) @[el2_lsu_bus_buffer.scala 496:201] - node _T_4119 = or(_T_4116, _T_4118) @[el2_lsu_bus_buffer.scala 496:183] - buf_state_en[3] <= _T_4119 @[el2_lsu_bus_buffer.scala 496:25] + buf_state[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 551:18] + reg _T_4103 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] + _T_4103 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 552:60] + buf_ageQ[2] <= _T_4103 @[el2_lsu_bus_buffer.scala 552:17] + reg _T_4104 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] + _T_4104 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 553:63] + buf_rspageQ[2] <= _T_4104 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4105 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] + reg _T_4106 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4105 : @[Reg.scala 28:19] + _T_4106 <= buf_dualtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 554:20] + node _T_4107 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] + reg _T_4109 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4108 : @[Reg.scala 28:19] + _T_4109 <= _T_4107 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 555:17] + node _T_4110 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:78] + node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + reg _T_4112 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4111 : @[Reg.scala 28:19] + _T_4112 <= _T_4110 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 556:19] + node _T_4113 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] + reg _T_4115 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4114 : @[Reg.scala 28:19] + _T_4115 <= _T_4113 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 557:20] + node _T_4116 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 558:78] + node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] + reg _T_4118 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4117 : @[Reg.scala 28:19] + _T_4118 <= _T_4116 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 558:19] + node _T_4119 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4119 : @[Conditional.scala 40:58] + node _T_4120 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] + node _T_4121 = mux(_T_4120, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] + buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 495:25] + node _T_4122 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] + node _T_4123 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] + node _T_4124 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] + node _T_4125 = and(_T_4123, _T_4124) @[el2_lsu_bus_buffer.scala 496:95] + node _T_4126 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] + node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 496:112] + node _T_4128 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] + node _T_4129 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] + node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 496:161] + node _T_4131 = or(_T_4127, _T_4130) @[el2_lsu_bus_buffer.scala 496:132] + node _T_4132 = and(_T_4122, _T_4131) @[el2_lsu_bus_buffer.scala 496:63] + node _T_4133 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] + node _T_4134 = and(ibuf_drain_vld, _T_4133) @[el2_lsu_bus_buffer.scala 496:201] + node _T_4135 = or(_T_4132, _T_4134) @[el2_lsu_bus_buffer.scala 496:183] + buf_state_en[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 496:25] buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 497:22] buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 498:24] - node _T_4120 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] - node _T_4121 = and(ibuf_drain_vld, _T_4120) @[el2_lsu_bus_buffer.scala 499:47] - node _T_4122 = bits(_T_4121, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] - node _T_4123 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] - node _T_4124 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] - node _T_4125 = mux(_T_4122, _T_4123, _T_4124) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[3] <= _T_4125 @[el2_lsu_bus_buffer.scala 499:24] + node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] + node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 499:47] + node _T_4138 = bits(_T_4137, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] + node _T_4139 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] + node _T_4140 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] + node _T_4141 = mux(_T_4138, _T_4139, _T_4140) @[el2_lsu_bus_buffer.scala 499:30] + buf_data_in[3] <= _T_4141 @[el2_lsu_bus_buffer.scala 499:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4126 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4126 : @[Conditional.scala 39:67] - node _T_4127 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_4128 = mux(_T_4127, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[3] <= _T_4128 @[el2_lsu_bus_buffer.scala 502:25] - node _T_4129 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] - buf_state_en[3] <= _T_4129 @[el2_lsu_bus_buffer.scala 503:25] + node _T_4142 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4142 : @[Conditional.scala 39:67] + node _T_4143 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] + node _T_4144 = mux(_T_4143, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 502:25] + node _T_4145 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] + buf_state_en[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 503:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4130 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4130 : @[Conditional.scala 39:67] - node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] - node _T_4132 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] - node _T_4133 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] - node _T_4134 = and(_T_4132, _T_4133) @[el2_lsu_bus_buffer.scala 506:104] - node _T_4135 = mux(_T_4134, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] - node _T_4136 = mux(_T_4131, UInt<3>("h00"), _T_4135) @[el2_lsu_bus_buffer.scala 506:31] - buf_nxtstate[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 506:25] - node _T_4137 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:48] - node _T_4138 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:104] - node _T_4139 = and(obuf_merge, _T_4138) @[el2_lsu_bus_buffer.scala 507:91] - node _T_4140 = or(_T_4137, _T_4139) @[el2_lsu_bus_buffer.scala 507:77] - node _T_4141 = and(_T_4140, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] - node _T_4142 = and(_T_4141, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] - buf_cmd_state_bus_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 507:33] + node _T_4146 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4146 : @[Conditional.scala 39:67] + node _T_4147 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] + node _T_4148 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] + node _T_4149 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] + node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 506:104] + node _T_4151 = mux(_T_4150, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] + node _T_4152 = mux(_T_4147, UInt<3>("h00"), _T_4151) @[el2_lsu_bus_buffer.scala 506:31] + buf_nxtstate[3] <= _T_4152 @[el2_lsu_bus_buffer.scala 506:25] + node _T_4153 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:48] + node _T_4154 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:104] + node _T_4155 = and(obuf_merge, _T_4154) @[el2_lsu_bus_buffer.scala 507:91] + node _T_4156 = or(_T_4153, _T_4155) @[el2_lsu_bus_buffer.scala 507:77] + node _T_4157 = and(_T_4156, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] + node _T_4158 = and(_T_4157, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] + buf_cmd_state_bus_en[3] <= _T_4158 @[el2_lsu_bus_buffer.scala 507:33] buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 508:29] - node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] - node _T_4144 = or(_T_4143, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] - buf_state_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 509:25] + node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] + node _T_4160 = or(_T_4159, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] + buf_state_en[3] <= _T_4160 @[el2_lsu_bus_buffer.scala 509:25] buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - node _T_4145 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 511:56] - node _T_4146 = eq(_T_4145, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] - node _T_4147 = and(buf_state_en[3], _T_4146) @[el2_lsu_bus_buffer.scala 511:44] - node _T_4148 = and(_T_4147, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] - node _T_4149 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] - node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 511:74] - buf_ldfwd_en[3] <= _T_4150 @[el2_lsu_bus_buffer.scala 511:25] - node _T_4151 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] - buf_ldfwdtag_in[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 512:28] - node _T_4152 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] - node _T_4153 = and(_T_4152, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] - node _T_4154 = and(_T_4153, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] - buf_data_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 513:24] - node _T_4155 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] - node _T_4156 = and(_T_4155, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] - node _T_4157 = and(_T_4156, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] - buf_error_en[3] <= _T_4157 @[el2_lsu_bus_buffer.scala 514:25] - node _T_4158 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] - node _T_4159 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] - node _T_4160 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] - node _T_4161 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] - node _T_4162 = mux(_T_4159, _T_4160, _T_4161) @[el2_lsu_bus_buffer.scala 515:73] - node _T_4163 = mux(buf_error_en[3], _T_4158, _T_4162) @[el2_lsu_bus_buffer.scala 515:30] - buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 515:24] + node _T_4161 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 511:56] + node _T_4162 = eq(_T_4161, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] + node _T_4163 = and(buf_state_en[3], _T_4162) @[el2_lsu_bus_buffer.scala 511:44] + node _T_4164 = and(_T_4163, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] + node _T_4165 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] + node _T_4166 = and(_T_4164, _T_4165) @[el2_lsu_bus_buffer.scala 511:74] + buf_ldfwd_en[3] <= _T_4166 @[el2_lsu_bus_buffer.scala 511:25] + node _T_4167 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] + buf_ldfwdtag_in[3] <= _T_4167 @[el2_lsu_bus_buffer.scala 512:28] + node _T_4168 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] + node _T_4169 = and(_T_4168, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] + node _T_4170 = and(_T_4169, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] + buf_data_en[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 513:24] + node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] + node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] + node _T_4173 = and(_T_4172, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] + buf_error_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 514:25] + node _T_4174 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] + node _T_4175 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] + node _T_4176 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] + node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] + node _T_4178 = mux(_T_4175, _T_4176, _T_4177) @[el2_lsu_bus_buffer.scala 515:73] + node _T_4179 = mux(buf_error_en[3], _T_4174, _T_4178) @[el2_lsu_bus_buffer.scala 515:30] + buf_data_in[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 515:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4164 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4164 : @[Conditional.scala 39:67] - node _T_4165 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:67] - node _T_4166 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] - node _T_4167 = eq(_T_4166, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_4168 = and(_T_4165, _T_4167) @[el2_lsu_bus_buffer.scala 518:71] - node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[el2_lsu_bus_buffer.scala 518:55] - node _T_4170 = bits(_T_4169, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] - node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] - node _T_4172 = and(buf_dual[3], _T_4171) @[el2_lsu_bus_buffer.scala 519:28] - node _T_4173 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:57] - node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] - node _T_4175 = and(_T_4172, _T_4174) @[el2_lsu_bus_buffer.scala 519:45] - node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] - node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 519:61] - node _T_4178 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 520:27] - node _T_4179 = or(_T_4178, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] - node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] - node _T_4181 = and(buf_dual[3], _T_4180) @[el2_lsu_bus_buffer.scala 520:68] - node _T_4182 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 520:97] - node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] - node _T_4184 = and(_T_4181, _T_4183) @[el2_lsu_bus_buffer.scala 520:85] - node _T_4185 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4186 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4187 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4188 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4189 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4190 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4191 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4192 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4193 = mux(_T_4185, _T_4186, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4194 = mux(_T_4187, _T_4188, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4195 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4196 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4197 = or(_T_4193, _T_4194) @[Mux.scala 27:72] - node _T_4198 = or(_T_4197, _T_4195) @[Mux.scala 27:72] - node _T_4199 = or(_T_4198, _T_4196) @[Mux.scala 27:72] - wire _T_4200 : UInt<1> @[Mux.scala 27:72] - _T_4200 <= _T_4199 @[Mux.scala 27:72] - node _T_4201 = and(_T_4184, _T_4200) @[el2_lsu_bus_buffer.scala 520:101] - node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] - node _T_4203 = and(_T_4201, _T_4202) @[el2_lsu_bus_buffer.scala 520:138] - node _T_4204 = and(_T_4203, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] - node _T_4205 = or(_T_4179, _T_4204) @[el2_lsu_bus_buffer.scala 520:53] - node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] - node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[el2_lsu_bus_buffer.scala 519:14] - node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[el2_lsu_bus_buffer.scala 518:31] - buf_nxtstate[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 518:25] - node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 521:73] - node _T_4210 = and(bus_rsp_write, _T_4209) @[el2_lsu_bus_buffer.scala 521:52] - node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 522:46] - node _T_4212 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 523:23] - node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 523:47] - node _T_4214 = and(_T_4212, _T_4213) @[el2_lsu_bus_buffer.scala 523:27] - node _T_4215 = or(_T_4211, _T_4214) @[el2_lsu_bus_buffer.scala 522:77] - node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 524:26] - node _T_4217 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 524:54] - node _T_4218 = not(_T_4217) @[el2_lsu_bus_buffer.scala 524:44] - node _T_4219 = and(_T_4216, _T_4218) @[el2_lsu_bus_buffer.scala 524:42] - node _T_4220 = and(_T_4219, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 524:58] - node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 524:94] - node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 524:74] - node _T_4223 = or(_T_4215, _T_4222) @[el2_lsu_bus_buffer.scala 523:71] - node _T_4224 = and(bus_rsp_read, _T_4223) @[el2_lsu_bus_buffer.scala 522:25] - node _T_4225 = or(_T_4210, _T_4224) @[el2_lsu_bus_buffer.scala 521:105] - buf_resp_state_bus_en[3] <= _T_4225 @[el2_lsu_bus_buffer.scala 521:34] + node _T_4180 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4180 : @[Conditional.scala 39:67] + node _T_4181 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:67] + node _T_4182 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_4184 = and(_T_4181, _T_4183) @[el2_lsu_bus_buffer.scala 518:71] + node _T_4185 = or(io.dec_tlu_force_halt, _T_4184) @[el2_lsu_bus_buffer.scala 518:55] + node _T_4186 = bits(_T_4185, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] + node _T_4187 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] + node _T_4188 = and(buf_dual[3], _T_4187) @[el2_lsu_bus_buffer.scala 519:28] + node _T_4189 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:57] + node _T_4190 = eq(_T_4189, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] + node _T_4191 = and(_T_4188, _T_4190) @[el2_lsu_bus_buffer.scala 519:45] + node _T_4192 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] + node _T_4193 = and(_T_4191, _T_4192) @[el2_lsu_bus_buffer.scala 519:61] + node _T_4194 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 520:27] + node _T_4195 = or(_T_4194, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] + node _T_4196 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] + node _T_4197 = and(buf_dual[3], _T_4196) @[el2_lsu_bus_buffer.scala 520:68] + node _T_4198 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 520:97] + node _T_4199 = eq(_T_4198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] + node _T_4200 = and(_T_4197, _T_4199) @[el2_lsu_bus_buffer.scala 520:85] + node _T_4201 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4202 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4203 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4204 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4205 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4206 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4207 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4208 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4209 = mux(_T_4201, _T_4202, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4210 = mux(_T_4203, _T_4204, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4211 = mux(_T_4205, _T_4206, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4212 = mux(_T_4207, _T_4208, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4213 = or(_T_4209, _T_4210) @[Mux.scala 27:72] + node _T_4214 = or(_T_4213, _T_4211) @[Mux.scala 27:72] + node _T_4215 = or(_T_4214, _T_4212) @[Mux.scala 27:72] + wire _T_4216 : UInt<1> @[Mux.scala 27:72] + _T_4216 <= _T_4215 @[Mux.scala 27:72] + node _T_4217 = and(_T_4200, _T_4216) @[el2_lsu_bus_buffer.scala 520:101] + node _T_4218 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] + node _T_4219 = and(_T_4217, _T_4218) @[el2_lsu_bus_buffer.scala 520:138] + node _T_4220 = and(_T_4219, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] + node _T_4221 = or(_T_4195, _T_4220) @[el2_lsu_bus_buffer.scala 520:53] + node _T_4222 = mux(_T_4221, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] + node _T_4223 = mux(_T_4193, UInt<3>("h04"), _T_4222) @[el2_lsu_bus_buffer.scala 519:14] + node _T_4224 = mux(_T_4186, UInt<3>("h00"), _T_4223) @[el2_lsu_bus_buffer.scala 518:31] + buf_nxtstate[3] <= _T_4224 @[el2_lsu_bus_buffer.scala 518:25] + node _T_4225 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 521:73] + node _T_4226 = and(bus_rsp_write, _T_4225) @[el2_lsu_bus_buffer.scala 521:52] + node _T_4227 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 522:46] + node _T_4228 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 523:23] + node _T_4229 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 523:47] + node _T_4230 = and(_T_4228, _T_4229) @[el2_lsu_bus_buffer.scala 523:27] + node _T_4231 = or(_T_4227, _T_4230) @[el2_lsu_bus_buffer.scala 522:77] + node _T_4232 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 524:26] + node _T_4233 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 524:54] + node _T_4234 = not(_T_4233) @[el2_lsu_bus_buffer.scala 524:44] + node _T_4235 = and(_T_4232, _T_4234) @[el2_lsu_bus_buffer.scala 524:42] + node _T_4236 = and(_T_4235, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 524:58] + node _T_4237 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 524:94] + node _T_4238 = and(_T_4236, _T_4237) @[el2_lsu_bus_buffer.scala 524:74] + node _T_4239 = or(_T_4231, _T_4238) @[el2_lsu_bus_buffer.scala 523:71] + node _T_4240 = and(bus_rsp_read, _T_4239) @[el2_lsu_bus_buffer.scala 522:25] + node _T_4241 = or(_T_4226, _T_4240) @[el2_lsu_bus_buffer.scala 521:105] + buf_resp_state_bus_en[3] <= _T_4241 @[el2_lsu_bus_buffer.scala 521:34] buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 525:29] - node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] - node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] - buf_state_en[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] - node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] - buf_data_en[3] <= _T_4229 @[el2_lsu_bus_buffer.scala 527:24] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] - node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:111] - node _T_4232 = and(bus_rsp_read_error, _T_4231) @[el2_lsu_bus_buffer.scala 528:91] - node _T_4233 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 529:42] - node _T_4234 = and(bus_rsp_read_error, _T_4233) @[el2_lsu_bus_buffer.scala 529:31] - node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 529:66] - node _T_4236 = and(_T_4234, _T_4235) @[el2_lsu_bus_buffer.scala 529:46] - node _T_4237 = or(_T_4232, _T_4236) @[el2_lsu_bus_buffer.scala 528:143] - node _T_4238 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] - node _T_4239 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:74] - node _T_4240 = and(_T_4238, _T_4239) @[el2_lsu_bus_buffer.scala 530:53] - node _T_4241 = or(_T_4237, _T_4240) @[el2_lsu_bus_buffer.scala 529:88] - node _T_4242 = and(_T_4230, _T_4241) @[el2_lsu_bus_buffer.scala 528:68] - buf_error_en[3] <= _T_4242 @[el2_lsu_bus_buffer.scala 528:25] - node _T_4243 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] - node _T_4244 = and(buf_state_en[3], _T_4243) @[el2_lsu_bus_buffer.scala 531:48] - node _T_4245 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] - node _T_4246 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] - node _T_4247 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] - node _T_4248 = mux(_T_4245, _T_4246, _T_4247) @[el2_lsu_bus_buffer.scala 531:72] - node _T_4249 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] - node _T_4250 = mux(_T_4244, _T_4248, _T_4249) @[el2_lsu_bus_buffer.scala 531:30] - buf_data_in[3] <= _T_4250 @[el2_lsu_bus_buffer.scala 531:24] + node _T_4242 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] + node _T_4243 = or(_T_4242, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] + buf_state_en[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4244 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] + node _T_4245 = and(_T_4244, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] + buf_data_en[3] <= _T_4245 @[el2_lsu_bus_buffer.scala 527:24] + node _T_4246 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] + node _T_4247 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:111] + node _T_4248 = and(bus_rsp_read_error, _T_4247) @[el2_lsu_bus_buffer.scala 528:91] + node _T_4249 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 529:42] + node _T_4250 = and(bus_rsp_read_error, _T_4249) @[el2_lsu_bus_buffer.scala 529:31] + node _T_4251 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 529:66] + node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 529:46] + node _T_4253 = or(_T_4248, _T_4252) @[el2_lsu_bus_buffer.scala 528:143] + node _T_4254 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] + node _T_4255 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:74] + node _T_4256 = and(_T_4254, _T_4255) @[el2_lsu_bus_buffer.scala 530:53] + node _T_4257 = or(_T_4253, _T_4256) @[el2_lsu_bus_buffer.scala 529:88] + node _T_4258 = and(_T_4246, _T_4257) @[el2_lsu_bus_buffer.scala 528:68] + buf_error_en[3] <= _T_4258 @[el2_lsu_bus_buffer.scala 528:25] + node _T_4259 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] + node _T_4260 = and(buf_state_en[3], _T_4259) @[el2_lsu_bus_buffer.scala 531:48] + node _T_4261 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] + node _T_4262 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] + node _T_4263 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] + node _T_4264 = mux(_T_4261, _T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 531:72] + node _T_4265 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] + node _T_4266 = mux(_T_4260, _T_4264, _T_4265) @[el2_lsu_bus_buffer.scala 531:30] + buf_data_in[3] <= _T_4266 @[el2_lsu_bus_buffer.scala 531:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4251 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4251 : @[Conditional.scala 39:67] - node _T_4252 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] - node _T_4253 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4254 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:101] - node _T_4255 = bits(_T_4254, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] - node _T_4256 = or(_T_4253, _T_4255) @[el2_lsu_bus_buffer.scala 534:90] - node _T_4257 = or(_T_4256, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] - node _T_4258 = mux(_T_4257, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] - node _T_4259 = mux(_T_4252, UInt<3>("h00"), _T_4258) @[el2_lsu_bus_buffer.scala 534:31] - buf_nxtstate[3] <= _T_4259 @[el2_lsu_bus_buffer.scala 534:25] - node _T_4260 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:66] - node _T_4261 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 536:21] - node _T_4262 = bits(_T_4261, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] - node _T_4263 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 536:58] - node _T_4264 = and(_T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 536:38] - node _T_4265 = or(_T_4260, _T_4264) @[el2_lsu_bus_buffer.scala 535:95] - node _T_4266 = and(bus_rsp_read, _T_4265) @[el2_lsu_bus_buffer.scala 535:45] - buf_state_bus_en[3] <= _T_4266 @[el2_lsu_bus_buffer.scala 535:29] - node _T_4267 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] - node _T_4268 = or(_T_4267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] - buf_state_en[3] <= _T_4268 @[el2_lsu_bus_buffer.scala 537:25] + node _T_4267 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4267 : @[Conditional.scala 39:67] + node _T_4268 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] + node _T_4269 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4270 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:101] + node _T_4271 = bits(_T_4270, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] + node _T_4272 = or(_T_4269, _T_4271) @[el2_lsu_bus_buffer.scala 534:90] + node _T_4273 = or(_T_4272, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] + node _T_4274 = mux(_T_4273, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] + node _T_4275 = mux(_T_4268, UInt<3>("h00"), _T_4274) @[el2_lsu_bus_buffer.scala 534:31] + buf_nxtstate[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4276 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:66] + node _T_4277 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 536:21] + node _T_4278 = bits(_T_4277, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] + node _T_4279 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 536:58] + node _T_4280 = and(_T_4278, _T_4279) @[el2_lsu_bus_buffer.scala 536:38] + node _T_4281 = or(_T_4276, _T_4280) @[el2_lsu_bus_buffer.scala 535:95] + node _T_4282 = and(bus_rsp_read, _T_4281) @[el2_lsu_bus_buffer.scala 535:45] + buf_state_bus_en[3] <= _T_4282 @[el2_lsu_bus_buffer.scala 535:29] + node _T_4283 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] + node _T_4284 = or(_T_4283, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] + buf_state_en[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 537:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4269 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4269 : @[Conditional.scala 39:67] - node _T_4270 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4271 = mux(_T_4270, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] - buf_nxtstate[3] <= _T_4271 @[el2_lsu_bus_buffer.scala 540:25] - node _T_4272 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 541:37] - node _T_4273 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] - node _T_4274 = and(buf_dual[3], _T_4273) @[el2_lsu_bus_buffer.scala 541:80] - node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 541:65] - node _T_4276 = or(_T_4275, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] - buf_state_en[3] <= _T_4276 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4285 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4285 : @[Conditional.scala 39:67] + node _T_4286 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] + node _T_4287 = mux(_T_4286, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] + buf_nxtstate[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 540:25] + node _T_4288 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 541:37] + node _T_4289 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] + node _T_4290 = and(buf_dual[3], _T_4289) @[el2_lsu_bus_buffer.scala 541:80] + node _T_4291 = or(_T_4288, _T_4290) @[el2_lsu_bus_buffer.scala 541:65] + node _T_4292 = or(_T_4291, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] + buf_state_en[3] <= _T_4292 @[el2_lsu_bus_buffer.scala 541:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4277 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4277 : @[Conditional.scala 39:67] + node _T_4293 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4293 : @[Conditional.scala 39:67] buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] - node _T_4278 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] - reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4278 : @[Reg.scala 28:19] - _T_4279 <= buf_nxtstate[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state[3] <= _T_4279 @[el2_lsu_bus_buffer.scala 551:18] - reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] - _T_4280 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 552:60] - buf_ageQ[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 552:17] - reg _T_4281 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] - _T_4281 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 553:63] - buf_rspageQ[3] <= _T_4281 @[el2_lsu_bus_buffer.scala 553:20] - node _T_4282 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] - reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4282 : @[Reg.scala 28:19] - _T_4283 <= buf_dualtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4283 @[el2_lsu_bus_buffer.scala 554:20] - node _T_4284 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:74] - node _T_4285 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] - reg _T_4286 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4285 : @[Reg.scala 28:19] - _T_4286 <= _T_4284 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4286 @[el2_lsu_bus_buffer.scala 555:17] - node _T_4287 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:78] - node _T_4288 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_4289 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4288 : @[Reg.scala 28:19] - _T_4289 <= _T_4287 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4289 @[el2_lsu_bus_buffer.scala 556:19] - node _T_4290 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4291 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] - reg _T_4292 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4291 : @[Reg.scala 28:19] - _T_4292 <= _T_4290 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4292 @[el2_lsu_bus_buffer.scala 557:20] - node _T_4293 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4294 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] - reg _T_4295 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4294 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] + reg _T_4295 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4294 : @[Reg.scala 28:19] - _T_4295 <= _T_4293 @[Reg.scala 28:23] + _T_4295 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 558:19] - node _T_4296 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] - reg _T_4297 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4296 : @[Reg.scala 28:19] - _T_4297 <= buf_ldfwd_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4298 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] - reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 551:18] + reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] + _T_4296 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 552:60] + buf_ageQ[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 552:17] + reg _T_4297 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] + _T_4297 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 553:63] + buf_rspageQ[3] <= _T_4297 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4298 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] + reg _T_4299 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4298 : @[Reg.scala 28:19] - _T_4299 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + _T_4299 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4300 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] - reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4300 : @[Reg.scala 28:19] - _T_4301 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + buf_dualtag[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 554:20] + node _T_4300 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] + reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4301 : @[Reg.scala 28:19] + _T_4302 <= _T_4300 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4302 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] - reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4302 : @[Reg.scala 28:19] - _T_4303 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + buf_dual[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 555:17] + node _T_4303 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:78] + node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4304 : @[Reg.scala 28:19] + _T_4305 <= _T_4303 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4304 = cat(_T_4303, _T_4301) @[Cat.scala 29:58] - node _T_4305 = cat(_T_4304, _T_4299) @[Cat.scala 29:58] - node _T_4306 = cat(_T_4305, _T_4297) @[Cat.scala 29:58] - buf_ldfwd <= _T_4306 @[el2_lsu_bus_buffer.scala 561:15] - node _T_4307 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] - reg _T_4308 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_samedw[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 556:19] + node _T_4306 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] + reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4307 : @[Reg.scala 28:19] - _T_4308 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + _T_4308 <= _T_4306 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4309 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] - reg _T_4310 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4309 : @[Reg.scala 28:19] - _T_4310 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + buf_nomerge[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 557:20] + node _T_4309 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 558:78] + node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] + reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4310 : @[Reg.scala 28:19] + _T_4311 <= _T_4309 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4311 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] - reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4311 : @[Reg.scala 28:19] - _T_4312 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 558:19] + node _T_4312 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] + reg _T_4313 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4312 : @[Reg.scala 28:19] + _T_4313 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4313 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] - reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4313 : @[Reg.scala 28:19] - _T_4314 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + node _T_4314 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4314 : @[Reg.scala 28:19] + _T_4315 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4308 @[el2_lsu_bus_buffer.scala 562:18] - buf_ldfwdtag[1] <= _T_4310 @[el2_lsu_bus_buffer.scala 562:18] - buf_ldfwdtag[2] <= _T_4312 @[el2_lsu_bus_buffer.scala 562:18] - buf_ldfwdtag[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 562:18] - node _T_4315 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:107] - node _T_4316 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] + node _T_4316 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4316 : @[Reg.scala 28:19] - _T_4317 <= _T_4315 @[Reg.scala 28:23] + _T_4317 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4318 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:107] - node _T_4319 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] - reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4319 : @[Reg.scala 28:19] - _T_4320 <= _T_4318 @[Reg.scala 28:23] + node _T_4318 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] + reg _T_4319 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4318 : @[Reg.scala 28:19] + _T_4319 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4321 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:107] - node _T_4322 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] - reg _T_4323 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4322 : @[Reg.scala 28:19] - _T_4323 <= _T_4321 @[Reg.scala 28:23] + node _T_4320 = cat(_T_4319, _T_4317) @[Cat.scala 29:58] + node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] + node _T_4322 = cat(_T_4321, _T_4313) @[Cat.scala 29:58] + buf_ldfwd <= _T_4322 @[el2_lsu_bus_buffer.scala 561:15] + node _T_4323 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] + reg _T_4324 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4323 : @[Reg.scala 28:19] + _T_4324 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4324 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:107] - node _T_4325 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] - reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4325 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] + reg _T_4326 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4325 : @[Reg.scala 28:19] - _T_4326 <= _T_4324 @[Reg.scala 28:23] + _T_4326 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4327 = cat(_T_4326, _T_4323) @[Cat.scala 29:58] - node _T_4328 = cat(_T_4327, _T_4320) @[Cat.scala 29:58] - node _T_4329 = cat(_T_4328, _T_4317) @[Cat.scala 29:58] - buf_sideeffect <= _T_4329 @[el2_lsu_bus_buffer.scala 563:20] - node _T_4330 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:99] - node _T_4331 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] - reg _T_4332 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4331 : @[Reg.scala 28:19] - _T_4332 <= _T_4330 @[Reg.scala 28:23] + node _T_4327 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] + reg _T_4328 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4327 : @[Reg.scala 28:19] + _T_4328 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4333 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:99] - node _T_4334 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] - reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4334 : @[Reg.scala 28:19] - _T_4335 <= _T_4333 @[Reg.scala 28:23] + node _T_4329 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] + reg _T_4330 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4329 : @[Reg.scala 28:19] + _T_4330 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4336 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:99] - node _T_4337 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] - reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4337 : @[Reg.scala 28:19] - _T_4338 <= _T_4336 @[Reg.scala 28:23] + buf_ldfwdtag[0] <= _T_4324 @[el2_lsu_bus_buffer.scala 562:18] + buf_ldfwdtag[1] <= _T_4326 @[el2_lsu_bus_buffer.scala 562:18] + buf_ldfwdtag[2] <= _T_4328 @[el2_lsu_bus_buffer.scala 562:18] + buf_ldfwdtag[3] <= _T_4330 @[el2_lsu_bus_buffer.scala 562:18] + node _T_4331 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + node _T_4332 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] + reg _T_4333 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4332 : @[Reg.scala 28:19] + _T_4333 <= _T_4331 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4339 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:99] - node _T_4340 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] - reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4340 : @[Reg.scala 28:19] - _T_4341 <= _T_4339 @[Reg.scala 28:23] + node _T_4334 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:107] + node _T_4335 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] + reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4335 : @[Reg.scala 28:19] + _T_4336 <= _T_4334 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4342 = cat(_T_4341, _T_4338) @[Cat.scala 29:58] - node _T_4343 = cat(_T_4342, _T_4335) @[Cat.scala 29:58] - node _T_4344 = cat(_T_4343, _T_4332) @[Cat.scala 29:58] - buf_unsign <= _T_4344 @[el2_lsu_bus_buffer.scala 564:16] - node _T_4345 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:97] - node _T_4346 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] - reg _T_4347 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4346 : @[Reg.scala 28:19] - _T_4347 <= _T_4345 @[Reg.scala 28:23] + node _T_4337 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:107] + node _T_4338 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] + reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4338 : @[Reg.scala 28:19] + _T_4339 <= _T_4337 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4348 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:97] - node _T_4349 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] - reg _T_4350 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4349 : @[Reg.scala 28:19] - _T_4350 <= _T_4348 @[Reg.scala 28:23] + node _T_4340 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:107] + node _T_4341 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] + reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4341 : @[Reg.scala 28:19] + _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4351 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:97] - node _T_4352 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] - reg _T_4353 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4352 : @[Reg.scala 28:19] - _T_4353 <= _T_4351 @[Reg.scala 28:23] + node _T_4343 = cat(_T_4342, _T_4339) @[Cat.scala 29:58] + node _T_4344 = cat(_T_4343, _T_4336) @[Cat.scala 29:58] + node _T_4345 = cat(_T_4344, _T_4333) @[Cat.scala 29:58] + buf_sideeffect <= _T_4345 @[el2_lsu_bus_buffer.scala 563:20] + node _T_4346 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:99] + node _T_4347 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] + reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4347 : @[Reg.scala 28:19] + _T_4348 <= _T_4346 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4354 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:97] - node _T_4355 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] - reg _T_4356 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4355 : @[Reg.scala 28:19] - _T_4356 <= _T_4354 @[Reg.scala 28:23] + node _T_4349 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:99] + node _T_4350 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] + reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4350 : @[Reg.scala 28:19] + _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4357 = cat(_T_4356, _T_4353) @[Cat.scala 29:58] - node _T_4358 = cat(_T_4357, _T_4350) @[Cat.scala 29:58] - node _T_4359 = cat(_T_4358, _T_4347) @[Cat.scala 29:58] - buf_write <= _T_4359 @[el2_lsu_bus_buffer.scala 565:15] - node _T_4360 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] - reg _T_4361 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4360 : @[Reg.scala 28:19] - _T_4361 <= buf_sz_in[0] @[Reg.scala 28:23] + node _T_4352 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:99] + node _T_4353 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] + reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4353 : @[Reg.scala 28:19] + _T_4354 <= _T_4352 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4362 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] - reg _T_4363 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4355 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:99] + node _T_4356 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] + reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4356 : @[Reg.scala 28:19] + _T_4357 <= _T_4355 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4358 = cat(_T_4357, _T_4354) @[Cat.scala 29:58] + node _T_4359 = cat(_T_4358, _T_4351) @[Cat.scala 29:58] + node _T_4360 = cat(_T_4359, _T_4348) @[Cat.scala 29:58] + buf_unsign <= _T_4360 @[el2_lsu_bus_buffer.scala 564:16] + node _T_4361 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:97] + node _T_4362 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] + reg _T_4363 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4362 : @[Reg.scala 28:19] - _T_4363 <= buf_sz_in[1] @[Reg.scala 28:23] + _T_4363 <= _T_4361 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4364 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] - reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4364 : @[Reg.scala 28:19] - _T_4365 <= buf_sz_in[2] @[Reg.scala 28:23] + node _T_4364 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:97] + node _T_4365 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] + reg _T_4366 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4365 : @[Reg.scala 28:19] + _T_4366 <= _T_4364 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4366 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] - reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4366 : @[Reg.scala 28:19] - _T_4367 <= buf_sz_in[3] @[Reg.scala 28:23] + node _T_4367 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:97] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] + reg _T_4369 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4368 : @[Reg.scala 28:19] + _T_4369 <= _T_4367 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4361 @[el2_lsu_bus_buffer.scala 566:12] - buf_sz[1] <= _T_4363 @[el2_lsu_bus_buffer.scala 566:12] - buf_sz[2] <= _T_4365 @[el2_lsu_bus_buffer.scala 566:12] - buf_sz[3] <= _T_4367 @[el2_lsu_bus_buffer.scala 566:12] - node _T_4368 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] + node _T_4370 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:97] + node _T_4371 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] + reg _T_4372 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4371 : @[Reg.scala 28:19] + _T_4372 <= _T_4370 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4373 = cat(_T_4372, _T_4369) @[Cat.scala 29:58] + node _T_4374 = cat(_T_4373, _T_4366) @[Cat.scala 29:58] + node _T_4375 = cat(_T_4374, _T_4363) @[Cat.scala 29:58] + buf_write <= _T_4375 @[el2_lsu_bus_buffer.scala 565:15] + node _T_4376 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] + reg _T_4377 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4376 : @[Reg.scala 28:19] + _T_4377 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4378 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] + reg _T_4379 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4378 : @[Reg.scala 28:19] + _T_4379 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] + reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4380 : @[Reg.scala 28:19] + _T_4381 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4382 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] + reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4382 : @[Reg.scala 28:19] + _T_4383 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4377 @[el2_lsu_bus_buffer.scala 566:12] + buf_sz[1] <= _T_4379 @[el2_lsu_bus_buffer.scala 566:12] + buf_sz[2] <= _T_4381 @[el2_lsu_bus_buffer.scala 566:12] + buf_sz[3] <= _T_4383 @[el2_lsu_bus_buffer.scala 566:12] + node _T_4384 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4368 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4384 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4369 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4369 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4370 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] + reg _T_4385 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4385 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4386 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4370 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4386 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4371 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4371 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4372 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] + reg _T_4387 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4387 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4388 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4372 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4388 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4373 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4373 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] + reg _T_4389 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4389 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4390 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4374 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4390 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4375 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4375 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4369 @[el2_lsu_bus_buffer.scala 567:14] - buf_addr[1] <= _T_4371 @[el2_lsu_bus_buffer.scala 567:14] - buf_addr[2] <= _T_4373 @[el2_lsu_bus_buffer.scala 567:14] - buf_addr[3] <= _T_4375 @[el2_lsu_bus_buffer.scala 567:14] - node _T_4376 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] - reg _T_4377 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4376 : @[Reg.scala 28:19] - _T_4377 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4391 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4391 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4385 @[el2_lsu_bus_buffer.scala 567:14] + buf_addr[1] <= _T_4387 @[el2_lsu_bus_buffer.scala 567:14] + buf_addr[2] <= _T_4389 @[el2_lsu_bus_buffer.scala 567:14] + buf_addr[3] <= _T_4391 @[el2_lsu_bus_buffer.scala 567:14] + node _T_4392 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] + reg _T_4393 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4392 : @[Reg.scala 28:19] + _T_4393 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4378 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] - reg _T_4379 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4378 : @[Reg.scala 28:19] - _T_4379 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4394 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] + reg _T_4395 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4394 : @[Reg.scala 28:19] + _T_4395 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] - reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4380 : @[Reg.scala 28:19] - _T_4381 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4396 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] + reg _T_4397 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4396 : @[Reg.scala 28:19] + _T_4397 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4382 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] - reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4382 : @[Reg.scala 28:19] - _T_4383 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4398 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] + reg _T_4399 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4398 : @[Reg.scala 28:19] + _T_4399 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4377 @[el2_lsu_bus_buffer.scala 568:16] - buf_byteen[1] <= _T_4379 @[el2_lsu_bus_buffer.scala 568:16] - buf_byteen[2] <= _T_4381 @[el2_lsu_bus_buffer.scala 568:16] - buf_byteen[3] <= _T_4383 @[el2_lsu_bus_buffer.scala 568:16] + buf_byteen[0] <= _T_4393 @[el2_lsu_bus_buffer.scala 568:16] + buf_byteen[1] <= _T_4395 @[el2_lsu_bus_buffer.scala 568:16] + buf_byteen[2] <= _T_4397 @[el2_lsu_bus_buffer.scala 568:16] + buf_byteen[3] <= _T_4399 @[el2_lsu_bus_buffer.scala 568:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4384 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4384 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4400 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4400 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4385 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4385 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4401 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4401 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4386 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4386 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4402 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4402 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4387 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4387 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4384 @[el2_lsu_bus_buffer.scala 569:14] - buf_data[1] <= _T_4385 @[el2_lsu_bus_buffer.scala 569:14] - buf_data[2] <= _T_4386 @[el2_lsu_bus_buffer.scala 569:14] - buf_data[3] <= _T_4387 @[el2_lsu_bus_buffer.scala 569:14] - node _T_4388 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 570:121] - node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[el2_lsu_bus_buffer.scala 570:86] - node _T_4390 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] - node _T_4391 = and(_T_4389, _T_4390) @[el2_lsu_bus_buffer.scala 570:126] - reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] - _T_4392 <= _T_4391 @[el2_lsu_bus_buffer.scala 570:82] - node _T_4393 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 570:121] - node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[el2_lsu_bus_buffer.scala 570:86] - node _T_4395 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] - node _T_4396 = and(_T_4394, _T_4395) @[el2_lsu_bus_buffer.scala 570:126] - reg _T_4397 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] - _T_4397 <= _T_4396 @[el2_lsu_bus_buffer.scala 570:82] - node _T_4398 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 570:121] - node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[el2_lsu_bus_buffer.scala 570:86] - node _T_4400 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] - node _T_4401 = and(_T_4399, _T_4400) @[el2_lsu_bus_buffer.scala 570:126] - reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] - _T_4402 <= _T_4401 @[el2_lsu_bus_buffer.scala 570:82] - node _T_4403 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 570:121] - node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[el2_lsu_bus_buffer.scala 570:86] - node _T_4405 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] - node _T_4406 = and(_T_4404, _T_4405) @[el2_lsu_bus_buffer.scala 570:126] - reg _T_4407 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] - _T_4407 <= _T_4406 @[el2_lsu_bus_buffer.scala 570:82] - node _T_4408 = cat(_T_4407, _T_4402) @[Cat.scala 29:58] - node _T_4409 = cat(_T_4408, _T_4397) @[Cat.scala 29:58] - node _T_4410 = cat(_T_4409, _T_4392) @[Cat.scala 29:58] - buf_error <= _T_4410 @[el2_lsu_bus_buffer.scala 570:15] - node _T_4411 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] - node _T_4412 = cat(_T_4411, buf_data_en[1]) @[Cat.scala 29:58] - node _T_4413 = cat(_T_4412, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4413 @[el2_lsu_bus_buffer.scala 571:14] - node _T_4414 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4415 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4416 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4417 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4418 = add(_T_4417, _T_4416) @[el2_lsu_bus_buffer.scala 573:96] - node _T_4419 = add(_T_4418, _T_4415) @[el2_lsu_bus_buffer.scala 573:96] - node buf_numvld_any = add(_T_4419, _T_4414) @[el2_lsu_bus_buffer.scala 573:96] - node _T_4420 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4421 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] - node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 574:64] - node _T_4423 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] - node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 574:89] - node _T_4425 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4426 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] - node _T_4427 = and(_T_4425, _T_4426) @[el2_lsu_bus_buffer.scala 574:64] - node _T_4428 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] - node _T_4429 = and(_T_4427, _T_4428) @[el2_lsu_bus_buffer.scala 574:89] - node _T_4430 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4431 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] - node _T_4432 = and(_T_4430, _T_4431) @[el2_lsu_bus_buffer.scala 574:64] - node _T_4433 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] - node _T_4434 = and(_T_4432, _T_4433) @[el2_lsu_bus_buffer.scala 574:89] - node _T_4435 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] - node _T_4437 = and(_T_4435, _T_4436) @[el2_lsu_bus_buffer.scala 574:64] - node _T_4438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] - node _T_4439 = and(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 574:89] - node _T_4440 = add(_T_4439, _T_4434) @[el2_lsu_bus_buffer.scala 574:142] - node _T_4441 = add(_T_4440, _T_4429) @[el2_lsu_bus_buffer.scala 574:142] - node _T_4442 = add(_T_4441, _T_4424) @[el2_lsu_bus_buffer.scala 574:142] - buf_numvld_wrcmd_any <= _T_4442 @[el2_lsu_bus_buffer.scala 574:24] - node _T_4443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4444 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] - node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 575:73] - node _T_4446 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] - node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 575:73] - node _T_4449 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4450 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] - node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 575:73] - node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4453 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] - node _T_4454 = and(_T_4452, _T_4453) @[el2_lsu_bus_buffer.scala 575:73] - node _T_4455 = add(_T_4454, _T_4451) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4456 = add(_T_4455, _T_4448) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4457 = add(_T_4456, _T_4445) @[el2_lsu_bus_buffer.scala 575:126] - buf_numvld_cmd_any <= _T_4457 @[el2_lsu_bus_buffer.scala 575:22] - node _T_4458 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] - node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] - node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 576:100] - node _T_4462 = or(_T_4458, _T_4461) @[el2_lsu_bus_buffer.scala 576:74] - node _T_4463 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4464 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] - node _T_4465 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] - node _T_4466 = and(_T_4464, _T_4465) @[el2_lsu_bus_buffer.scala 576:100] - node _T_4467 = or(_T_4463, _T_4466) @[el2_lsu_bus_buffer.scala 576:74] - node _T_4468 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4469 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] - node _T_4470 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] - node _T_4471 = and(_T_4469, _T_4470) @[el2_lsu_bus_buffer.scala 576:100] - node _T_4472 = or(_T_4468, _T_4471) @[el2_lsu_bus_buffer.scala 576:74] - node _T_4473 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] - node _T_4475 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] - node _T_4476 = and(_T_4474, _T_4475) @[el2_lsu_bus_buffer.scala 576:100] - node _T_4477 = or(_T_4473, _T_4476) @[el2_lsu_bus_buffer.scala 576:74] - node _T_4478 = add(_T_4477, _T_4472) @[el2_lsu_bus_buffer.scala 576:154] - node _T_4479 = add(_T_4478, _T_4467) @[el2_lsu_bus_buffer.scala 576:154] - node _T_4480 = add(_T_4479, _T_4462) @[el2_lsu_bus_buffer.scala 576:154] - buf_numvld_pend_any <= _T_4480 @[el2_lsu_bus_buffer.scala 576:23] - node _T_4481 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] - node _T_4482 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] - node _T_4483 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] - node _T_4484 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] - node _T_4485 = or(_T_4484, _T_4483) @[el2_lsu_bus_buffer.scala 577:93] - node _T_4486 = or(_T_4485, _T_4482) @[el2_lsu_bus_buffer.scala 577:93] - node _T_4487 = or(_T_4486, _T_4481) @[el2_lsu_bus_buffer.scala 577:93] - any_done_wait_state <= _T_4487 @[el2_lsu_bus_buffer.scala 577:23] - node _T_4488 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 578:53] - io.lsu_bus_buffer_pend_any <= _T_4488 @[el2_lsu_bus_buffer.scala 578:30] - node _T_4489 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 579:52] - node _T_4490 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:92] - node _T_4491 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:119] - node _T_4492 = mux(_T_4489, _T_4490, _T_4491) @[el2_lsu_bus_buffer.scala 579:36] - io.lsu_bus_buffer_full_any <= _T_4492 @[el2_lsu_bus_buffer.scala 579:30] - node _T_4493 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4494 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4495 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4496 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4497 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 580:65] - node _T_4498 = or(_T_4497, _T_4495) @[el2_lsu_bus_buffer.scala 580:65] - node _T_4499 = or(_T_4498, _T_4496) @[el2_lsu_bus_buffer.scala 580:65] - node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:34] - node _T_4501 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:72] - node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 580:70] - node _T_4503 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:86] - node _T_4504 = and(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 580:84] - io.lsu_bus_buffer_empty_any <= _T_4504 @[el2_lsu_bus_buffer.scala 580:31] - node _T_4505 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 582:51] - node _T_4506 = and(_T_4505, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 582:72] - node _T_4507 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:94] - node _T_4508 = and(_T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 582:92] - node _T_4509 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:111] - node _T_4510 = and(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 582:109] - io.lsu_nonblock_load_valid_m <= _T_4510 @[el2_lsu_bus_buffer.scala 582:32] + reg _T_4403 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4403 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4400 @[el2_lsu_bus_buffer.scala 569:14] + buf_data[1] <= _T_4401 @[el2_lsu_bus_buffer.scala 569:14] + buf_data[2] <= _T_4402 @[el2_lsu_bus_buffer.scala 569:14] + buf_data[3] <= _T_4403 @[el2_lsu_bus_buffer.scala 569:14] + node _T_4404 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 570:121] + node _T_4405 = mux(buf_error_en[0], UInt<1>("h01"), _T_4404) @[el2_lsu_bus_buffer.scala 570:86] + node _T_4406 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] + node _T_4407 = and(_T_4405, _T_4406) @[el2_lsu_bus_buffer.scala 570:126] + reg _T_4408 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] + _T_4408 <= _T_4407 @[el2_lsu_bus_buffer.scala 570:82] + node _T_4409 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 570:121] + node _T_4410 = mux(buf_error_en[1], UInt<1>("h01"), _T_4409) @[el2_lsu_bus_buffer.scala 570:86] + node _T_4411 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] + node _T_4412 = and(_T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 570:126] + reg _T_4413 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] + _T_4413 <= _T_4412 @[el2_lsu_bus_buffer.scala 570:82] + node _T_4414 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 570:121] + node _T_4415 = mux(buf_error_en[2], UInt<1>("h01"), _T_4414) @[el2_lsu_bus_buffer.scala 570:86] + node _T_4416 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] + node _T_4417 = and(_T_4415, _T_4416) @[el2_lsu_bus_buffer.scala 570:126] + reg _T_4418 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] + _T_4418 <= _T_4417 @[el2_lsu_bus_buffer.scala 570:82] + node _T_4419 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 570:121] + node _T_4420 = mux(buf_error_en[3], UInt<1>("h01"), _T_4419) @[el2_lsu_bus_buffer.scala 570:86] + node _T_4421 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] + node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 570:126] + reg _T_4423 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] + _T_4423 <= _T_4422 @[el2_lsu_bus_buffer.scala 570:82] + node _T_4424 = cat(_T_4423, _T_4418) @[Cat.scala 29:58] + node _T_4425 = cat(_T_4424, _T_4413) @[Cat.scala 29:58] + node _T_4426 = cat(_T_4425, _T_4408) @[Cat.scala 29:58] + buf_error <= _T_4426 @[el2_lsu_bus_buffer.scala 570:15] + node _T_4427 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] + node _T_4428 = cat(_T_4427, buf_data_en[1]) @[Cat.scala 29:58] + node _T_4429 = cat(_T_4428, buf_data_en[0]) @[Cat.scala 29:58] + io.data_en <= _T_4429 @[el2_lsu_bus_buffer.scala 571:14] + node _T_4430 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4431 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4432 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4433 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4434 = add(_T_4433, _T_4432) @[el2_lsu_bus_buffer.scala 573:96] + node _T_4435 = add(_T_4434, _T_4431) @[el2_lsu_bus_buffer.scala 573:96] + node buf_numvld_any = add(_T_4435, _T_4430) @[el2_lsu_bus_buffer.scala 573:96] + node _T_4436 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4437 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] + node _T_4438 = and(_T_4436, _T_4437) @[el2_lsu_bus_buffer.scala 574:64] + node _T_4439 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] + node _T_4440 = and(_T_4438, _T_4439) @[el2_lsu_bus_buffer.scala 574:89] + node _T_4441 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4442 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] + node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 574:64] + node _T_4444 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] + node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 574:89] + node _T_4446 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4447 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] + node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 574:64] + node _T_4449 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] + node _T_4450 = and(_T_4448, _T_4449) @[el2_lsu_bus_buffer.scala 574:89] + node _T_4451 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] + node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 574:64] + node _T_4454 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] + node _T_4455 = and(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 574:89] + node _T_4456 = add(_T_4455, _T_4450) @[el2_lsu_bus_buffer.scala 574:142] + node _T_4457 = add(_T_4456, _T_4445) @[el2_lsu_bus_buffer.scala 574:142] + node _T_4458 = add(_T_4457, _T_4440) @[el2_lsu_bus_buffer.scala 574:142] + buf_numvld_wrcmd_any <= _T_4458 @[el2_lsu_bus_buffer.scala 574:24] + node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] + node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 575:73] + node _T_4462 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] + node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 575:73] + node _T_4465 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4466 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] + node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 575:73] + node _T_4468 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4469 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] + node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 575:73] + node _T_4471 = add(_T_4470, _T_4467) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4472 = add(_T_4471, _T_4464) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4473 = add(_T_4472, _T_4461) @[el2_lsu_bus_buffer.scala 575:126] + buf_numvld_cmd_any <= _T_4473 @[el2_lsu_bus_buffer.scala 575:22] + node _T_4474 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4475 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] + node _T_4476 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] + node _T_4477 = and(_T_4475, _T_4476) @[el2_lsu_bus_buffer.scala 576:100] + node _T_4478 = or(_T_4474, _T_4477) @[el2_lsu_bus_buffer.scala 576:74] + node _T_4479 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4480 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] + node _T_4481 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] + node _T_4482 = and(_T_4480, _T_4481) @[el2_lsu_bus_buffer.scala 576:100] + node _T_4483 = or(_T_4479, _T_4482) @[el2_lsu_bus_buffer.scala 576:74] + node _T_4484 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4485 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] + node _T_4486 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] + node _T_4487 = and(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 576:100] + node _T_4488 = or(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 576:74] + node _T_4489 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4490 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] + node _T_4491 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] + node _T_4492 = and(_T_4490, _T_4491) @[el2_lsu_bus_buffer.scala 576:100] + node _T_4493 = or(_T_4489, _T_4492) @[el2_lsu_bus_buffer.scala 576:74] + node _T_4494 = add(_T_4493, _T_4488) @[el2_lsu_bus_buffer.scala 576:154] + node _T_4495 = add(_T_4494, _T_4483) @[el2_lsu_bus_buffer.scala 576:154] + node _T_4496 = add(_T_4495, _T_4478) @[el2_lsu_bus_buffer.scala 576:154] + buf_numvld_pend_any <= _T_4496 @[el2_lsu_bus_buffer.scala 576:23] + node _T_4497 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] + node _T_4498 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] + node _T_4499 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] + node _T_4500 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] + node _T_4501 = or(_T_4500, _T_4499) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4502 = or(_T_4501, _T_4498) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4503 = or(_T_4502, _T_4497) @[el2_lsu_bus_buffer.scala 577:93] + any_done_wait_state <= _T_4503 @[el2_lsu_bus_buffer.scala 577:23] + node _T_4504 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 578:53] + io.lsu_bus_buffer_pend_any <= _T_4504 @[el2_lsu_bus_buffer.scala 578:30] + node _T_4505 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4506 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:92] + node _T_4507 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:119] + node _T_4508 = mux(_T_4505, _T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 579:36] + io.lsu_bus_buffer_full_any <= _T_4508 @[el2_lsu_bus_buffer.scala 579:30] + node _T_4509 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4510 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4511 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4512 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4513 = or(_T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 580:65] + node _T_4514 = or(_T_4513, _T_4511) @[el2_lsu_bus_buffer.scala 580:65] + node _T_4515 = or(_T_4514, _T_4512) @[el2_lsu_bus_buffer.scala 580:65] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:34] + node _T_4517 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:72] + node _T_4518 = and(_T_4516, _T_4517) @[el2_lsu_bus_buffer.scala 580:70] + node _T_4519 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:86] + node _T_4520 = and(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 580:84] + io.lsu_bus_buffer_empty_any <= _T_4520 @[el2_lsu_bus_buffer.scala 580:31] + node _T_4521 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 582:51] + node _T_4522 = and(_T_4521, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 582:72] + node _T_4523 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:94] + node _T_4524 = and(_T_4522, _T_4523) @[el2_lsu_bus_buffer.scala 582:92] + node _T_4525 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:111] + node _T_4526 = and(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 582:109] + io.lsu_nonblock_load_valid_m <= _T_4526 @[el2_lsu_bus_buffer.scala 582:32] io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 583:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4511 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:61] - node _T_4512 = and(lsu_nonblock_load_valid_r, _T_4511) @[el2_lsu_bus_buffer.scala 585:59] - io.lsu_nonblock_load_inv_r <= _T_4512 @[el2_lsu_bus_buffer.scala 585:30] + node _T_4527 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4528 = and(lsu_nonblock_load_valid_r, _T_4527) @[el2_lsu_bus_buffer.scala 585:59] + io.lsu_nonblock_load_inv_r <= _T_4528 @[el2_lsu_bus_buffer.scala 585:30] io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 586:34] - node _T_4513 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4514 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:127] - node _T_4515 = and(UInt<1>("h01"), _T_4514) @[el2_lsu_bus_buffer.scala 587:116] - node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] - node _T_4517 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4518 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:127] - node _T_4519 = and(UInt<1>("h01"), _T_4518) @[el2_lsu_bus_buffer.scala 587:116] - node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] - node _T_4521 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4522 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:127] - node _T_4523 = and(UInt<1>("h01"), _T_4522) @[el2_lsu_bus_buffer.scala 587:116] - node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] - node _T_4525 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4526 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:127] - node _T_4527 = and(UInt<1>("h01"), _T_4526) @[el2_lsu_bus_buffer.scala 587:116] - node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] - node _T_4529 = mux(_T_4513, _T_4516, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4530 = mux(_T_4517, _T_4520, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4531 = mux(_T_4521, _T_4524, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4532 = mux(_T_4525, _T_4528, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4533 = or(_T_4529, _T_4530) @[Mux.scala 27:72] - node _T_4534 = or(_T_4533, _T_4531) @[Mux.scala 27:72] - node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] + node _T_4529 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4530 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:127] + node _T_4531 = and(UInt<1>("h01"), _T_4530) @[el2_lsu_bus_buffer.scala 587:116] + node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] + node _T_4533 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4534 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:127] + node _T_4535 = and(UInt<1>("h01"), _T_4534) @[el2_lsu_bus_buffer.scala 587:116] + node _T_4536 = eq(_T_4535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] + node _T_4537 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4538 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:127] + node _T_4539 = and(UInt<1>("h01"), _T_4538) @[el2_lsu_bus_buffer.scala 587:116] + node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] + node _T_4541 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4542 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:127] + node _T_4543 = and(UInt<1>("h01"), _T_4542) @[el2_lsu_bus_buffer.scala 587:116] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] + node _T_4545 = mux(_T_4529, _T_4532, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4546 = mux(_T_4533, _T_4536, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4547 = mux(_T_4537, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4548 = mux(_T_4541, _T_4544, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4549 = or(_T_4545, _T_4546) @[Mux.scala 27:72] + node _T_4550 = or(_T_4549, _T_4547) @[Mux.scala 27:72] + node _T_4551 = or(_T_4550, _T_4548) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] - node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4537 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 588:104] - node _T_4538 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] - node _T_4540 = and(_T_4537, _T_4539) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4542 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 588:104] - node _T_4543 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] - node _T_4545 = and(_T_4542, _T_4544) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4547 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 588:104] - node _T_4548 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] - node _T_4550 = and(_T_4547, _T_4549) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4552 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 588:104] - node _T_4553 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] - node _T_4555 = and(_T_4552, _T_4554) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4559 = mux(_T_4551, _T_4555, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] - node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] - node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] - wire _T_4563 : UInt<1> @[Mux.scala 27:72] - _T_4563 <= _T_4562 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4563 @[el2_lsu_bus_buffer.scala 588:35] - node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] - node _T_4565 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:102] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4567 = and(_T_4564, _T_4566) @[el2_lsu_bus_buffer.scala 589:90] - node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] - node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] - node _T_4570 = or(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 589:122] - node _T_4571 = and(_T_4567, _T_4570) @[el2_lsu_bus_buffer.scala 589:106] - node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] - node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:102] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 589:90] - node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] - node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] - node _T_4578 = or(_T_4576, _T_4577) @[el2_lsu_bus_buffer.scala 589:122] - node _T_4579 = and(_T_4575, _T_4578) @[el2_lsu_bus_buffer.scala 589:106] - node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] - node _T_4581 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:102] + lsu_nonblock_load_data_ready <= _T_4551 @[Mux.scala 27:72] + node _T_4552 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4553 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 588:104] + node _T_4554 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] + node _T_4556 = and(_T_4553, _T_4555) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4557 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4558 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 588:104] + node _T_4559 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4560 = eq(_T_4559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] + node _T_4561 = and(_T_4558, _T_4560) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4562 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4563 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 588:104] + node _T_4564 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4565 = eq(_T_4564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] + node _T_4566 = and(_T_4563, _T_4565) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4567 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4568 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 588:104] + node _T_4569 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] + node _T_4571 = and(_T_4568, _T_4570) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4572 = mux(_T_4552, _T_4556, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4573 = mux(_T_4557, _T_4561, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4574 = mux(_T_4562, _T_4566, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4575 = mux(_T_4567, _T_4571, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4576 = or(_T_4572, _T_4573) @[Mux.scala 27:72] + node _T_4577 = or(_T_4576, _T_4574) @[Mux.scala 27:72] + node _T_4578 = or(_T_4577, _T_4575) @[Mux.scala 27:72] + wire _T_4579 : UInt<1> @[Mux.scala 27:72] + _T_4579 <= _T_4578 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4579 @[el2_lsu_bus_buffer.scala 588:35] + node _T_4580 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] + node _T_4581 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:102] node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 589:90] - node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] - node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] + node _T_4584 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] + node _T_4585 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 589:122] node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 589:106] - node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] - node _T_4589 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:102] + node _T_4588 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] + node _T_4589 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:102] node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] node _T_4591 = and(_T_4588, _T_4590) @[el2_lsu_bus_buffer.scala 589:90] - node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] - node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] + node _T_4592 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] + node _T_4593 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] node _T_4594 = or(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 589:122] node _T_4595 = and(_T_4591, _T_4594) @[el2_lsu_bus_buffer.scala 589:106] - node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4599 = mux(_T_4595, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4600 = or(_T_4596, _T_4597) @[Mux.scala 27:72] - node _T_4601 = or(_T_4600, _T_4598) @[Mux.scala 27:72] - node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] - wire _T_4603 : UInt<2> @[Mux.scala 27:72] - _T_4603 <= _T_4602 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4603 @[el2_lsu_bus_buffer.scala 589:33] - node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] - node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] - node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 590:121] - node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4613 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4615 = and(_T_4612, _T_4614) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] - node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] - node _T_4618 = or(_T_4616, _T_4617) @[el2_lsu_bus_buffer.scala 590:121] - node _T_4619 = and(_T_4615, _T_4618) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4621 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4596 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] + node _T_4597 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:102] + node _T_4598 = eq(_T_4597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4599 = and(_T_4596, _T_4598) @[el2_lsu_bus_buffer.scala 589:90] + node _T_4600 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] + node _T_4601 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] + node _T_4602 = or(_T_4600, _T_4601) @[el2_lsu_bus_buffer.scala 589:122] + node _T_4603 = and(_T_4599, _T_4602) @[el2_lsu_bus_buffer.scala 589:106] + node _T_4604 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] + node _T_4605 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:102] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 589:90] + node _T_4608 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] + node _T_4609 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] + node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 589:122] + node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 589:106] + node _T_4612 = mux(_T_4587, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4613 = mux(_T_4595, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4614 = mux(_T_4603, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4615 = mux(_T_4611, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4616 = or(_T_4612, _T_4613) @[Mux.scala 27:72] + node _T_4617 = or(_T_4616, _T_4614) @[Mux.scala 27:72] + node _T_4618 = or(_T_4617, _T_4615) @[Mux.scala 27:72] + wire _T_4619 : UInt<2> @[Mux.scala 27:72] + _T_4619 <= _T_4618 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4619 @[el2_lsu_bus_buffer.scala 589:33] + node _T_4620 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4621 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:101] node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] - node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] + node _T_4624 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] + node _T_4625 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 590:121] node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4629 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4628 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4629 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:101] node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] node _T_4631 = and(_T_4628, _T_4630) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] - node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] + node _T_4632 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] + node _T_4633 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] node _T_4634 = or(_T_4632, _T_4633) @[el2_lsu_bus_buffer.scala 590:121] node _T_4635 = and(_T_4631, _T_4634) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4639 = mux(_T_4635, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4640 = or(_T_4636, _T_4637) @[Mux.scala 27:72] - node _T_4641 = or(_T_4640, _T_4638) @[Mux.scala 27:72] - node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] + node _T_4636 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4637 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4638 = eq(_T_4637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4639 = and(_T_4636, _T_4638) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4640 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] + node _T_4641 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] + node _T_4642 = or(_T_4640, _T_4641) @[el2_lsu_bus_buffer.scala 590:121] + node _T_4643 = and(_T_4639, _T_4642) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4644 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4645 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4646 = eq(_T_4645, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4647 = and(_T_4644, _T_4646) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4648 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] + node _T_4649 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] + node _T_4650 = or(_T_4648, _T_4649) @[el2_lsu_bus_buffer.scala 590:121] + node _T_4651 = and(_T_4647, _T_4650) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4652 = mux(_T_4627, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4653 = mux(_T_4635, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4654 = mux(_T_4643, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4655 = mux(_T_4651, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4656 = or(_T_4652, _T_4653) @[Mux.scala 27:72] + node _T_4657 = or(_T_4656, _T_4654) @[Mux.scala 27:72] + node _T_4658 = or(_T_4657, _T_4655) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] - node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4644 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4646 = and(_T_4643, _T_4645) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4647 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 591:120] - node _T_4648 = and(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4650 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4652 = and(_T_4649, _T_4651) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4653 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 591:120] - node _T_4654 = and(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4656 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4658 = and(_T_4655, _T_4657) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4659 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 591:120] - node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4662 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4664 = and(_T_4661, _T_4663) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4665 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 591:120] - node _T_4666 = and(_T_4664, _T_4665) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4670 = mux(_T_4666, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] - node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] - node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4658 @[Mux.scala 27:72] + node _T_4659 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4660 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4661 = eq(_T_4660, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4662 = and(_T_4659, _T_4661) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4663 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 591:120] + node _T_4664 = and(_T_4662, _T_4663) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4665 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4666 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4667 = eq(_T_4666, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4668 = and(_T_4665, _T_4667) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4669 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 591:120] + node _T_4670 = and(_T_4668, _T_4669) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4671 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4672 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4673 = eq(_T_4672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4674 = and(_T_4671, _T_4673) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4675 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 591:120] + node _T_4676 = and(_T_4674, _T_4675) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4677 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4678 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4679 = eq(_T_4678, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4680 = and(_T_4677, _T_4679) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4681 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 591:120] + node _T_4682 = and(_T_4680, _T_4681) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4683 = mux(_T_4664, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4684 = mux(_T_4670, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4685 = mux(_T_4676, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4686 = mux(_T_4682, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4687 = or(_T_4683, _T_4684) @[Mux.scala 27:72] + node _T_4688 = or(_T_4687, _T_4685) @[Mux.scala 27:72] + node _T_4689 = or(_T_4688, _T_4686) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4673 @[Mux.scala 27:72] - node _T_4674 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4675 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4676 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4677 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4678 = mux(_T_4674, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4679 = mux(_T_4675, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4680 = mux(_T_4676, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4681 = mux(_T_4677, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4682 = or(_T_4678, _T_4679) @[Mux.scala 27:72] - node _T_4683 = or(_T_4682, _T_4680) @[Mux.scala 27:72] - node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] - wire _T_4685 : UInt<32> @[Mux.scala 27:72] - _T_4685 <= _T_4684 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[el2_lsu_bus_buffer.scala 592:83] - node _T_4686 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4687 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4688 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4689 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4690 = mux(_T_4686, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4691 = mux(_T_4687, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4692 = mux(_T_4688, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4693 = mux(_T_4689, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4694 = or(_T_4690, _T_4691) @[Mux.scala 27:72] - node _T_4695 = or(_T_4694, _T_4692) @[Mux.scala 27:72] - node _T_4696 = or(_T_4695, _T_4693) @[Mux.scala 27:72] - wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4696 @[Mux.scala 27:72] - node _T_4697 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4698 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4699 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4700 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4701 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4702 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4703 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4704 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4705 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4706 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4707 = mux(_T_4701, _T_4702, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4708 = mux(_T_4703, _T_4704, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4709 = or(_T_4705, _T_4706) @[Mux.scala 27:72] - node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4689 @[Mux.scala 27:72] + node _T_4690 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4691 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4692 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4693 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4694 = mux(_T_4690, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4695 = mux(_T_4691, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4696 = mux(_T_4692, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4697 = mux(_T_4693, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4698 = or(_T_4694, _T_4695) @[Mux.scala 27:72] + node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] + node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] + wire _T_4701 : UInt<32> @[Mux.scala 27:72] + _T_4701 <= _T_4700 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4701, 1, 0) @[el2_lsu_bus_buffer.scala 592:83] + node _T_4702 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4703 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4704 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4705 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4706 = mux(_T_4702, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4707 = mux(_T_4703, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4708 = mux(_T_4704, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4709 = mux(_T_4705, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4710 = or(_T_4706, _T_4707) @[Mux.scala 27:72] node _T_4711 = or(_T_4710, _T_4708) @[Mux.scala 27:72] + node _T_4712 = or(_T_4711, _T_4709) @[Mux.scala 27:72] + wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4712 @[Mux.scala 27:72] + node _T_4713 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4714 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4715 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4716 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4717 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4718 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4719 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4720 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4721 = mux(_T_4713, _T_4714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4722 = mux(_T_4715, _T_4716, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4723 = mux(_T_4717, _T_4718, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4724 = mux(_T_4719, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4725 = or(_T_4721, _T_4722) @[Mux.scala 27:72] + node _T_4726 = or(_T_4725, _T_4723) @[Mux.scala 27:72] + node _T_4727 = or(_T_4726, _T_4724) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] - node _T_4712 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4713 = cat(_T_4712, buf_dual[1]) @[Cat.scala 29:58] - node _T_4714 = cat(_T_4713, buf_dual[0]) @[Cat.scala 29:58] - node _T_4715 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4716 = bits(_T_4714, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4717 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4718 = bits(_T_4714, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4719 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4720 = bits(_T_4714, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4721 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4722 = bits(_T_4714, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4723 = mux(_T_4715, _T_4716, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4724 = mux(_T_4717, _T_4718, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4725 = mux(_T_4719, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4726 = mux(_T_4721, _T_4722, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4727 = or(_T_4723, _T_4724) @[Mux.scala 27:72] - node _T_4728 = or(_T_4727, _T_4725) @[Mux.scala 27:72] - node _T_4729 = or(_T_4728, _T_4726) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4727 @[Mux.scala 27:72] + node _T_4728 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4729 = cat(_T_4728, buf_dual[1]) @[Cat.scala 29:58] + node _T_4730 = cat(_T_4729, buf_dual[0]) @[Cat.scala 29:58] + node _T_4731 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4732 = bits(_T_4730, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4733 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4734 = bits(_T_4730, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4735 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4736 = bits(_T_4730, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4737 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4738 = bits(_T_4730, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4739 = mux(_T_4731, _T_4732, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4740 = mux(_T_4733, _T_4734, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4741 = mux(_T_4735, _T_4736, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4742 = mux(_T_4737, _T_4738, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4743 = or(_T_4739, _T_4740) @[Mux.scala 27:72] + node _T_4744 = or(_T_4743, _T_4741) @[Mux.scala 27:72] + node _T_4745 = or(_T_4744, _T_4742) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4729 @[Mux.scala 27:72] - node _T_4730 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4731 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 596:121] - node lsu_nonblock_data_unalgn = dshr(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 596:92] + lsu_nonblock_dual <= _T_4745 @[Mux.scala 27:72] + node _T_4746 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4747 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 596:121] + node lsu_nonblock_data_unalgn = dshr(_T_4746, _T_4747) @[el2_lsu_bus_buffer.scala 596:92] io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 597:14] io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 598:14] - node _T_4732 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:69] - node _T_4733 = and(lsu_nonblock_load_data_ready, _T_4732) @[el2_lsu_bus_buffer.scala 599:67] - io.lsu_nonblock_load_data_valid <= _T_4733 @[el2_lsu_bus_buffer.scala 599:35] - node _T_4734 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:81] - node _T_4735 = and(lsu_nonblock_unsign, _T_4734) @[el2_lsu_bus_buffer.scala 600:63] - node _T_4736 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 600:131] - node _T_4737 = cat(UInt<24>("h00"), _T_4736) @[Cat.scala 29:58] - node _T_4738 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 601:45] - node _T_4739 = and(lsu_nonblock_unsign, _T_4738) @[el2_lsu_bus_buffer.scala 601:26] - node _T_4740 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 601:95] - node _T_4741 = cat(UInt<16>("h00"), _T_4740) @[Cat.scala 29:58] - node _T_4742 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:6] - node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:45] - node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 602:27] - node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 602:93] - node _T_4746 = bits(_T_4745, 0, 0) @[Bitwise.scala 72:15] - node _T_4747 = mux(_T_4746, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4748 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 602:123] - node _T_4749 = cat(_T_4747, _T_4748) @[Cat.scala 29:58] - node _T_4750 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:6] - node _T_4751 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 603:45] - node _T_4752 = and(_T_4750, _T_4751) @[el2_lsu_bus_buffer.scala 603:27] - node _T_4753 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4754 = bits(_T_4753, 0, 0) @[Bitwise.scala 72:15] - node _T_4755 = mux(_T_4754, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4756 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 603:124] - node _T_4757 = cat(_T_4755, _T_4756) @[Cat.scala 29:58] - node _T_4758 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 604:21] - node _T_4759 = mux(_T_4735, _T_4737, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4760 = mux(_T_4739, _T_4741, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4761 = mux(_T_4744, _T_4749, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4762 = mux(_T_4752, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4763 = mux(_T_4758, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4764 = or(_T_4759, _T_4760) @[Mux.scala 27:72] - node _T_4765 = or(_T_4764, _T_4761) @[Mux.scala 27:72] - node _T_4766 = or(_T_4765, _T_4762) @[Mux.scala 27:72] - node _T_4767 = or(_T_4766, _T_4763) @[Mux.scala 27:72] - wire _T_4768 : UInt<64> @[Mux.scala 27:72] - _T_4768 <= _T_4767 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4768 @[el2_lsu_bus_buffer.scala 600:29] - node _T_4769 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] - node _T_4770 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 605:89] - node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 605:73] - node _T_4772 = and(_T_4771, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] - node _T_4773 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] - node _T_4774 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 605:89] - node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 605:73] - node _T_4776 = and(_T_4775, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] - node _T_4777 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] - node _T_4778 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 605:89] - node _T_4779 = and(_T_4777, _T_4778) @[el2_lsu_bus_buffer.scala 605:73] - node _T_4780 = and(_T_4779, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] - node _T_4781 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] - node _T_4782 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 605:89] - node _T_4783 = and(_T_4781, _T_4782) @[el2_lsu_bus_buffer.scala 605:73] - node _T_4784 = and(_T_4783, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] - node _T_4785 = or(_T_4772, _T_4776) @[el2_lsu_bus_buffer.scala 605:141] - node _T_4786 = or(_T_4785, _T_4780) @[el2_lsu_bus_buffer.scala 605:141] - node _T_4787 = or(_T_4786, _T_4784) @[el2_lsu_bus_buffer.scala 605:141] - bus_sideeffect_pend <= _T_4787 @[el2_lsu_bus_buffer.scala 605:23] - node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] - node _T_4789 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] - node _T_4790 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4791 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] - node _T_4792 = eq(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 607:56] - node _T_4793 = and(_T_4789, _T_4792) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4794 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:92] - node _T_4795 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:126] - node _T_4796 = and(obuf_merge, _T_4795) @[el2_lsu_bus_buffer.scala 607:114] - node _T_4797 = or(_T_4794, _T_4796) @[el2_lsu_bus_buffer.scala 607:100] - node _T_4798 = eq(_T_4797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] - node _T_4799 = and(_T_4793, _T_4798) @[el2_lsu_bus_buffer.scala 607:78] - node _T_4800 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] - node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] - node _T_4802 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4803 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] - node _T_4804 = eq(_T_4802, _T_4803) @[el2_lsu_bus_buffer.scala 607:56] - node _T_4805 = and(_T_4801, _T_4804) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4806 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 607:92] - node _T_4807 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 607:126] - node _T_4808 = and(obuf_merge, _T_4807) @[el2_lsu_bus_buffer.scala 607:114] - node _T_4809 = or(_T_4806, _T_4808) @[el2_lsu_bus_buffer.scala 607:100] - node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] - node _T_4811 = and(_T_4805, _T_4810) @[el2_lsu_bus_buffer.scala 607:78] - node _T_4812 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] - node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] - node _T_4814 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4815 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] - node _T_4816 = eq(_T_4814, _T_4815) @[el2_lsu_bus_buffer.scala 607:56] - node _T_4817 = and(_T_4813, _T_4816) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4818 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 607:92] - node _T_4819 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 607:126] - node _T_4820 = and(obuf_merge, _T_4819) @[el2_lsu_bus_buffer.scala 607:114] - node _T_4821 = or(_T_4818, _T_4820) @[el2_lsu_bus_buffer.scala 607:100] - node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] - node _T_4823 = and(_T_4817, _T_4822) @[el2_lsu_bus_buffer.scala 607:78] - node _T_4824 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] - node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] - node _T_4826 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4827 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] - node _T_4828 = eq(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 607:56] - node _T_4829 = and(_T_4825, _T_4828) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4830 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 607:92] - node _T_4831 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 607:126] - node _T_4832 = and(obuf_merge, _T_4831) @[el2_lsu_bus_buffer.scala 607:114] - node _T_4833 = or(_T_4830, _T_4832) @[el2_lsu_bus_buffer.scala 607:100] - node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] - node _T_4835 = and(_T_4829, _T_4834) @[el2_lsu_bus_buffer.scala 607:78] - node _T_4836 = mux(_T_4788, _T_4799, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4837 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4838 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4839 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4840 = or(_T_4836, _T_4837) @[Mux.scala 27:72] - node _T_4841 = or(_T_4840, _T_4838) @[Mux.scala 27:72] - node _T_4842 = or(_T_4841, _T_4839) @[Mux.scala 27:72] - wire _T_4843 : UInt<1> @[Mux.scala 27:72] - _T_4843 <= _T_4842 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4843 @[el2_lsu_bus_buffer.scala 606:26] - node _T_4844 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 609:54] - node _T_4845 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:75] - node _T_4846 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:150] - node _T_4847 = mux(_T_4844, _T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 609:39] - node _T_4848 = mux(obuf_write, _T_4847, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 609:23] - bus_cmd_ready <= _T_4848 @[el2_lsu_bus_buffer.scala 609:17] - node _T_4849 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 610:39] - bus_wcmd_sent <= _T_4849 @[el2_lsu_bus_buffer.scala 610:17] - node _T_4850 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 611:39] - bus_wdata_sent <= _T_4850 @[el2_lsu_bus_buffer.scala 611:18] - node _T_4851 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 612:35] - node _T_4852 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 612:70] - node _T_4853 = and(_T_4851, _T_4852) @[el2_lsu_bus_buffer.scala 612:52] - node _T_4854 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 612:111] - node _T_4855 = or(_T_4853, _T_4854) @[el2_lsu_bus_buffer.scala 612:89] - bus_cmd_sent <= _T_4855 @[el2_lsu_bus_buffer.scala 612:16] - node _T_4856 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 613:37] - bus_rsp_read <= _T_4856 @[el2_lsu_bus_buffer.scala 613:16] - node _T_4857 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 614:38] - bus_rsp_write <= _T_4857 @[el2_lsu_bus_buffer.scala 614:17] + node _T_4748 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:69] + node _T_4749 = and(lsu_nonblock_load_data_ready, _T_4748) @[el2_lsu_bus_buffer.scala 599:67] + io.lsu_nonblock_load_data_valid <= _T_4749 @[el2_lsu_bus_buffer.scala 599:35] + node _T_4750 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:81] + node _T_4751 = and(lsu_nonblock_unsign, _T_4750) @[el2_lsu_bus_buffer.scala 600:63] + node _T_4752 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 600:131] + node _T_4753 = cat(UInt<24>("h00"), _T_4752) @[Cat.scala 29:58] + node _T_4754 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 601:45] + node _T_4755 = and(lsu_nonblock_unsign, _T_4754) @[el2_lsu_bus_buffer.scala 601:26] + node _T_4756 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 601:95] + node _T_4757 = cat(UInt<16>("h00"), _T_4756) @[Cat.scala 29:58] + node _T_4758 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:6] + node _T_4759 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:45] + node _T_4760 = and(_T_4758, _T_4759) @[el2_lsu_bus_buffer.scala 602:27] + node _T_4761 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 602:93] + node _T_4762 = bits(_T_4761, 0, 0) @[Bitwise.scala 72:15] + node _T_4763 = mux(_T_4762, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4764 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 602:123] + node _T_4765 = cat(_T_4763, _T_4764) @[Cat.scala 29:58] + node _T_4766 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:6] + node _T_4767 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 603:45] + node _T_4768 = and(_T_4766, _T_4767) @[el2_lsu_bus_buffer.scala 603:27] + node _T_4769 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4770 = bits(_T_4769, 0, 0) @[Bitwise.scala 72:15] + node _T_4771 = mux(_T_4770, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4772 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 603:124] + node _T_4773 = cat(_T_4771, _T_4772) @[Cat.scala 29:58] + node _T_4774 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 604:21] + node _T_4775 = mux(_T_4751, _T_4753, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4776 = mux(_T_4755, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4777 = mux(_T_4760, _T_4765, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4778 = mux(_T_4768, _T_4773, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4779 = mux(_T_4774, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4780 = or(_T_4775, _T_4776) @[Mux.scala 27:72] + node _T_4781 = or(_T_4780, _T_4777) @[Mux.scala 27:72] + node _T_4782 = or(_T_4781, _T_4778) @[Mux.scala 27:72] + node _T_4783 = or(_T_4782, _T_4779) @[Mux.scala 27:72] + wire _T_4784 : UInt<64> @[Mux.scala 27:72] + _T_4784 <= _T_4783 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4784 @[el2_lsu_bus_buffer.scala 600:29] + node _T_4785 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] + node _T_4786 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 605:89] + node _T_4787 = and(_T_4785, _T_4786) @[el2_lsu_bus_buffer.scala 605:73] + node _T_4788 = and(_T_4787, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] + node _T_4789 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] + node _T_4790 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 605:89] + node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 605:73] + node _T_4792 = and(_T_4791, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] + node _T_4793 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] + node _T_4794 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 605:89] + node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 605:73] + node _T_4796 = and(_T_4795, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] + node _T_4797 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] + node _T_4798 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 605:89] + node _T_4799 = and(_T_4797, _T_4798) @[el2_lsu_bus_buffer.scala 605:73] + node _T_4800 = and(_T_4799, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] + node _T_4801 = or(_T_4788, _T_4792) @[el2_lsu_bus_buffer.scala 605:141] + node _T_4802 = or(_T_4801, _T_4796) @[el2_lsu_bus_buffer.scala 605:141] + node _T_4803 = or(_T_4802, _T_4800) @[el2_lsu_bus_buffer.scala 605:141] + bus_sideeffect_pend <= _T_4803 @[el2_lsu_bus_buffer.scala 605:23] + node _T_4804 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] + node _T_4805 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] + node _T_4806 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4807 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] + node _T_4808 = eq(_T_4806, _T_4807) @[el2_lsu_bus_buffer.scala 607:56] + node _T_4809 = and(_T_4805, _T_4808) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4810 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:92] + node _T_4811 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:126] + node _T_4812 = and(obuf_merge, _T_4811) @[el2_lsu_bus_buffer.scala 607:114] + node _T_4813 = or(_T_4810, _T_4812) @[el2_lsu_bus_buffer.scala 607:100] + node _T_4814 = eq(_T_4813, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] + node _T_4815 = and(_T_4809, _T_4814) @[el2_lsu_bus_buffer.scala 607:78] + node _T_4816 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] + node _T_4817 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] + node _T_4818 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4819 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] + node _T_4820 = eq(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 607:56] + node _T_4821 = and(_T_4817, _T_4820) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4822 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 607:92] + node _T_4823 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 607:126] + node _T_4824 = and(obuf_merge, _T_4823) @[el2_lsu_bus_buffer.scala 607:114] + node _T_4825 = or(_T_4822, _T_4824) @[el2_lsu_bus_buffer.scala 607:100] + node _T_4826 = eq(_T_4825, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] + node _T_4827 = and(_T_4821, _T_4826) @[el2_lsu_bus_buffer.scala 607:78] + node _T_4828 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] + node _T_4829 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] + node _T_4830 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4831 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] + node _T_4832 = eq(_T_4830, _T_4831) @[el2_lsu_bus_buffer.scala 607:56] + node _T_4833 = and(_T_4829, _T_4832) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4834 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 607:92] + node _T_4835 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 607:126] + node _T_4836 = and(obuf_merge, _T_4835) @[el2_lsu_bus_buffer.scala 607:114] + node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 607:100] + node _T_4838 = eq(_T_4837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] + node _T_4839 = and(_T_4833, _T_4838) @[el2_lsu_bus_buffer.scala 607:78] + node _T_4840 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] + node _T_4841 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] + node _T_4842 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4843 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] + node _T_4844 = eq(_T_4842, _T_4843) @[el2_lsu_bus_buffer.scala 607:56] + node _T_4845 = and(_T_4841, _T_4844) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4846 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 607:92] + node _T_4847 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 607:126] + node _T_4848 = and(obuf_merge, _T_4847) @[el2_lsu_bus_buffer.scala 607:114] + node _T_4849 = or(_T_4846, _T_4848) @[el2_lsu_bus_buffer.scala 607:100] + node _T_4850 = eq(_T_4849, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] + node _T_4851 = and(_T_4845, _T_4850) @[el2_lsu_bus_buffer.scala 607:78] + node _T_4852 = mux(_T_4804, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4853 = mux(_T_4816, _T_4827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4854 = mux(_T_4828, _T_4839, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4855 = mux(_T_4840, _T_4851, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4856 = or(_T_4852, _T_4853) @[Mux.scala 27:72] + node _T_4857 = or(_T_4856, _T_4854) @[Mux.scala 27:72] + node _T_4858 = or(_T_4857, _T_4855) @[Mux.scala 27:72] + wire _T_4859 : UInt<1> @[Mux.scala 27:72] + _T_4859 <= _T_4858 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4859 @[el2_lsu_bus_buffer.scala 606:26] + node _T_4860 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 609:54] + node _T_4861 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:75] + node _T_4862 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:150] + node _T_4863 = mux(_T_4860, _T_4861, _T_4862) @[el2_lsu_bus_buffer.scala 609:39] + node _T_4864 = mux(obuf_write, _T_4863, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 609:23] + bus_cmd_ready <= _T_4864 @[el2_lsu_bus_buffer.scala 609:17] + node _T_4865 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 610:39] + bus_wcmd_sent <= _T_4865 @[el2_lsu_bus_buffer.scala 610:17] + node _T_4866 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 611:39] + bus_wdata_sent <= _T_4866 @[el2_lsu_bus_buffer.scala 611:18] + node _T_4867 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 612:35] + node _T_4868 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 612:70] + node _T_4869 = and(_T_4867, _T_4868) @[el2_lsu_bus_buffer.scala 612:52] + node _T_4870 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 612:111] + node _T_4871 = or(_T_4869, _T_4870) @[el2_lsu_bus_buffer.scala 612:89] + bus_cmd_sent <= _T_4871 @[el2_lsu_bus_buffer.scala 612:16] + node _T_4872 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 613:37] + bus_rsp_read <= _T_4872 @[el2_lsu_bus_buffer.scala 613:16] + node _T_4873 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 614:38] + bus_rsp_write <= _T_4873 @[el2_lsu_bus_buffer.scala 614:17] bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 615:20] bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 616:21] - node _T_4858 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:60] - node _T_4859 = and(bus_rsp_write, _T_4858) @[el2_lsu_bus_buffer.scala 617:40] - bus_rsp_write_error <= _T_4859 @[el2_lsu_bus_buffer.scala 617:23] - node _T_4860 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:58] - node _T_4861 = and(bus_rsp_read, _T_4860) @[el2_lsu_bus_buffer.scala 618:38] - bus_rsp_read_error <= _T_4861 @[el2_lsu_bus_buffer.scala 618:22] + node _T_4874 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:60] + node _T_4875 = and(bus_rsp_write, _T_4874) @[el2_lsu_bus_buffer.scala 617:40] + bus_rsp_write_error <= _T_4875 @[el2_lsu_bus_buffer.scala 617:23] + node _T_4876 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:58] + node _T_4877 = and(bus_rsp_read, _T_4876) @[el2_lsu_bus_buffer.scala 618:38] + bus_rsp_read_error <= _T_4877 @[el2_lsu_bus_buffer.scala 618:22] bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 619:17] - node _T_4862 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 622:36] - node _T_4863 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:51] - node _T_4864 = and(_T_4862, _T_4863) @[el2_lsu_bus_buffer.scala 622:49] - node _T_4865 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:68] - node _T_4866 = and(_T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 622:66] - io.lsu_axi_awvalid <= _T_4866 @[el2_lsu_bus_buffer.scala 622:22] + node _T_4878 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 622:36] + node _T_4879 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:51] + node _T_4880 = and(_T_4878, _T_4879) @[el2_lsu_bus_buffer.scala 622:49] + node _T_4881 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:68] + node _T_4882 = and(_T_4880, _T_4881) @[el2_lsu_bus_buffer.scala 622:66] + io.lsu_axi_awvalid <= _T_4882 @[el2_lsu_bus_buffer.scala 622:22] io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 623:19] - node _T_4867 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 624:69] - node _T_4868 = cat(_T_4867, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4869 = mux(obuf_sideeffect, obuf_addr, _T_4868) @[el2_lsu_bus_buffer.scala 624:27] - io.lsu_axi_awaddr <= _T_4869 @[el2_lsu_bus_buffer.scala 624:21] - node _T_4870 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4871 = mux(obuf_sideeffect, _T_4870, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 625:27] - io.lsu_axi_awsize <= _T_4871 @[el2_lsu_bus_buffer.scala 625:21] + node _T_4883 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 624:69] + node _T_4884 = cat(_T_4883, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4885 = mux(obuf_sideeffect, obuf_addr, _T_4884) @[el2_lsu_bus_buffer.scala 624:27] + io.lsu_axi_awaddr <= _T_4885 @[el2_lsu_bus_buffer.scala 624:21] + node _T_4886 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4887 = mux(obuf_sideeffect, _T_4886, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 625:27] + io.lsu_axi_awsize <= _T_4887 @[el2_lsu_bus_buffer.scala 625:21] io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 626:21] - node _T_4872 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 627:28] - io.lsu_axi_awcache <= _T_4872 @[el2_lsu_bus_buffer.scala 627:22] - node _T_4873 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 628:35] - io.lsu_axi_awregion <= _T_4873 @[el2_lsu_bus_buffer.scala 628:23] + node _T_4888 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 627:28] + io.lsu_axi_awcache <= _T_4888 @[el2_lsu_bus_buffer.scala 627:22] + node _T_4889 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 628:35] + io.lsu_axi_awregion <= _T_4889 @[el2_lsu_bus_buffer.scala 628:23] io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 629:20] io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 630:22] io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:20] io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 632:21] - node _T_4874 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 634:35] - node _T_4875 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:50] - node _T_4876 = and(_T_4874, _T_4875) @[el2_lsu_bus_buffer.scala 634:48] - node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:68] - node _T_4878 = and(_T_4876, _T_4877) @[el2_lsu_bus_buffer.scala 634:66] - io.lsu_axi_wvalid <= _T_4878 @[el2_lsu_bus_buffer.scala 634:21] - node _T_4879 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4880 = mux(_T_4879, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4881 = and(obuf_byteen, _T_4880) @[el2_lsu_bus_buffer.scala 635:35] - io.lsu_axi_wstrb <= _T_4881 @[el2_lsu_bus_buffer.scala 635:20] + node _T_4890 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 634:35] + node _T_4891 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:50] + node _T_4892 = and(_T_4890, _T_4891) @[el2_lsu_bus_buffer.scala 634:48] + node _T_4893 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:68] + node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 634:66] + io.lsu_axi_wvalid <= _T_4894 @[el2_lsu_bus_buffer.scala 634:21] + node _T_4895 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4896 = mux(_T_4895, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4897 = and(obuf_byteen, _T_4896) @[el2_lsu_bus_buffer.scala 635:35] + io.lsu_axi_wstrb <= _T_4897 @[el2_lsu_bus_buffer.scala 635:20] io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 636:20] io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 637:20] - node _T_4882 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:38] - node _T_4883 = and(obuf_valid, _T_4882) @[el2_lsu_bus_buffer.scala 639:36] - node _T_4884 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:52] - node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 639:50] - node _T_4886 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:67] - node _T_4887 = and(_T_4885, _T_4886) @[el2_lsu_bus_buffer.scala 639:65] - io.lsu_axi_arvalid <= _T_4887 @[el2_lsu_bus_buffer.scala 639:22] + node _T_4898 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:38] + node _T_4899 = and(obuf_valid, _T_4898) @[el2_lsu_bus_buffer.scala 639:36] + node _T_4900 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:52] + node _T_4901 = and(_T_4899, _T_4900) @[el2_lsu_bus_buffer.scala 639:50] + node _T_4902 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:67] + node _T_4903 = and(_T_4901, _T_4902) @[el2_lsu_bus_buffer.scala 639:65] + io.lsu_axi_arvalid <= _T_4903 @[el2_lsu_bus_buffer.scala 639:22] io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 640:19] - node _T_4888 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 641:69] - node _T_4889 = cat(_T_4888, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4890 = mux(obuf_sideeffect, obuf_addr, _T_4889) @[el2_lsu_bus_buffer.scala 641:27] - io.lsu_axi_araddr <= _T_4890 @[el2_lsu_bus_buffer.scala 641:21] - node _T_4891 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4892 = mux(obuf_sideeffect, _T_4891, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 642:27] - io.lsu_axi_arsize <= _T_4892 @[el2_lsu_bus_buffer.scala 642:21] + node _T_4904 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 641:69] + node _T_4905 = cat(_T_4904, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4906 = mux(obuf_sideeffect, obuf_addr, _T_4905) @[el2_lsu_bus_buffer.scala 641:27] + io.lsu_axi_araddr <= _T_4906 @[el2_lsu_bus_buffer.scala 641:21] + node _T_4907 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4908 = mux(obuf_sideeffect, _T_4907, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 642:27] + io.lsu_axi_arsize <= _T_4908 @[el2_lsu_bus_buffer.scala 642:21] io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 643:21] - node _T_4893 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 644:28] - io.lsu_axi_arcache <= _T_4893 @[el2_lsu_bus_buffer.scala 644:22] - node _T_4894 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 645:35] - io.lsu_axi_arregion <= _T_4894 @[el2_lsu_bus_buffer.scala 645:23] + node _T_4909 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 644:28] + io.lsu_axi_arcache <= _T_4909 @[el2_lsu_bus_buffer.scala 644:22] + node _T_4910 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 645:35] + io.lsu_axi_arregion <= _T_4910 @[el2_lsu_bus_buffer.scala 645:23] io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 646:20] io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 647:22] io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 648:20] io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 649:21] io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 650:21] io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 651:21] - node _T_4895 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] - node _T_4896 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 652:125] - node _T_4897 = and(io.lsu_bus_clk_en_q, _T_4896) @[el2_lsu_bus_buffer.scala 652:114] - node _T_4898 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 652:140] - node _T_4899 = and(_T_4897, _T_4898) @[el2_lsu_bus_buffer.scala 652:129] - node _T_4900 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] - node _T_4901 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 652:125] - node _T_4902 = and(io.lsu_bus_clk_en_q, _T_4901) @[el2_lsu_bus_buffer.scala 652:114] - node _T_4903 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 652:140] - node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 652:129] - node _T_4905 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] - node _T_4906 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 652:125] - node _T_4907 = and(io.lsu_bus_clk_en_q, _T_4906) @[el2_lsu_bus_buffer.scala 652:114] - node _T_4908 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 652:140] - node _T_4909 = and(_T_4907, _T_4908) @[el2_lsu_bus_buffer.scala 652:129] - node _T_4910 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] - node _T_4911 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 652:125] - node _T_4912 = and(io.lsu_bus_clk_en_q, _T_4911) @[el2_lsu_bus_buffer.scala 652:114] - node _T_4913 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 652:140] - node _T_4914 = and(_T_4912, _T_4913) @[el2_lsu_bus_buffer.scala 652:129] - node _T_4915 = mux(_T_4895, _T_4899, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4916 = mux(_T_4900, _T_4904, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4917 = mux(_T_4905, _T_4909, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4918 = mux(_T_4910, _T_4914, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4919 = or(_T_4915, _T_4916) @[Mux.scala 27:72] - node _T_4920 = or(_T_4919, _T_4917) @[Mux.scala 27:72] - node _T_4921 = or(_T_4920, _T_4918) @[Mux.scala 27:72] - wire _T_4922 : UInt<1> @[Mux.scala 27:72] - _T_4922 <= _T_4921 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4922 @[el2_lsu_bus_buffer.scala 652:36] - node _T_4923 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:87] - node _T_4924 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 653:109] - node _T_4925 = and(_T_4923, _T_4924) @[el2_lsu_bus_buffer.scala 653:98] - node _T_4926 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 653:124] - node _T_4927 = and(_T_4925, _T_4926) @[el2_lsu_bus_buffer.scala 653:113] - node _T_4928 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:87] - node _T_4929 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 653:109] - node _T_4930 = and(_T_4928, _T_4929) @[el2_lsu_bus_buffer.scala 653:98] - node _T_4931 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 653:124] - node _T_4932 = and(_T_4930, _T_4931) @[el2_lsu_bus_buffer.scala 653:113] - node _T_4933 = mux(_T_4927, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4934 = mux(_T_4932, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4935 = or(_T_4933, _T_4934) @[Mux.scala 27:72] + node _T_4911 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] + node _T_4912 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 652:125] + node _T_4913 = and(io.lsu_bus_clk_en_q, _T_4912) @[el2_lsu_bus_buffer.scala 652:114] + node _T_4914 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 652:140] + node _T_4915 = and(_T_4913, _T_4914) @[el2_lsu_bus_buffer.scala 652:129] + node _T_4916 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] + node _T_4917 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 652:125] + node _T_4918 = and(io.lsu_bus_clk_en_q, _T_4917) @[el2_lsu_bus_buffer.scala 652:114] + node _T_4919 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 652:140] + node _T_4920 = and(_T_4918, _T_4919) @[el2_lsu_bus_buffer.scala 652:129] + node _T_4921 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] + node _T_4922 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 652:125] + node _T_4923 = and(io.lsu_bus_clk_en_q, _T_4922) @[el2_lsu_bus_buffer.scala 652:114] + node _T_4924 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 652:140] + node _T_4925 = and(_T_4923, _T_4924) @[el2_lsu_bus_buffer.scala 652:129] + node _T_4926 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] + node _T_4927 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 652:125] + node _T_4928 = and(io.lsu_bus_clk_en_q, _T_4927) @[el2_lsu_bus_buffer.scala 652:114] + node _T_4929 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 652:140] + node _T_4930 = and(_T_4928, _T_4929) @[el2_lsu_bus_buffer.scala 652:129] + node _T_4931 = mux(_T_4911, _T_4915, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4932 = mux(_T_4916, _T_4920, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4933 = mux(_T_4921, _T_4925, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4934 = mux(_T_4926, _T_4930, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4935 = or(_T_4931, _T_4932) @[Mux.scala 27:72] + node _T_4936 = or(_T_4935, _T_4933) @[Mux.scala 27:72] + node _T_4937 = or(_T_4936, _T_4934) @[Mux.scala 27:72] + wire _T_4938 : UInt<1> @[Mux.scala 27:72] + _T_4938 <= _T_4937 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4938 @[el2_lsu_bus_buffer.scala 652:36] + node _T_4939 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:87] + node _T_4940 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 653:109] + node _T_4941 = and(_T_4939, _T_4940) @[el2_lsu_bus_buffer.scala 653:98] + node _T_4942 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 653:124] + node _T_4943 = and(_T_4941, _T_4942) @[el2_lsu_bus_buffer.scala 653:113] + node _T_4944 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:87] + node _T_4945 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 653:109] + node _T_4946 = and(_T_4944, _T_4945) @[el2_lsu_bus_buffer.scala 653:98] + node _T_4947 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 653:124] + node _T_4948 = and(_T_4946, _T_4947) @[el2_lsu_bus_buffer.scala 653:113] + node _T_4949 = mux(_T_4943, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4950 = mux(_T_4948, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4951 = or(_T_4949, _T_4950) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4935 @[Mux.scala 27:72] - node _T_4936 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 655:72] - node _T_4937 = and(io.lsu_nonblock_load_data_error, _T_4936) @[el2_lsu_bus_buffer.scala 655:70] - io.lsu_imprecise_error_load_any <= _T_4937 @[el2_lsu_bus_buffer.scala 655:35] - node _T_4938 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4939 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4940 = mux(_T_4938, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4941 = mux(_T_4939, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4942 = or(_T_4940, _T_4941) @[Mux.scala 27:72] - wire _T_4943 : UInt<32> @[Mux.scala 27:72] - _T_4943 <= _T_4942 @[Mux.scala 27:72] - node _T_4944 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4945 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4946 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4947 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4948 = mux(_T_4944, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4949 = mux(_T_4945, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4950 = mux(_T_4946, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4951 = mux(_T_4947, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4952 = or(_T_4948, _T_4949) @[Mux.scala 27:72] - node _T_4953 = or(_T_4952, _T_4950) @[Mux.scala 27:72] - node _T_4954 = or(_T_4953, _T_4951) @[Mux.scala 27:72] - wire _T_4955 : UInt<32> @[Mux.scala 27:72] - _T_4955 <= _T_4954 @[Mux.scala 27:72] - node _T_4956 = mux(io.lsu_imprecise_error_store_any, _T_4943, _T_4955) @[el2_lsu_bus_buffer.scala 656:41] - io.lsu_imprecise_error_addr_any <= _T_4956 @[el2_lsu_bus_buffer.scala 656:35] + lsu_imprecise_error_store_tag <= _T_4951 @[Mux.scala 27:72] + node _T_4952 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 655:72] + node _T_4953 = and(io.lsu_nonblock_load_data_error, _T_4952) @[el2_lsu_bus_buffer.scala 655:70] + io.lsu_imprecise_error_load_any <= _T_4953 @[el2_lsu_bus_buffer.scala 655:35] + node _T_4954 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4955 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4956 = mux(_T_4954, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4957 = mux(_T_4955, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4958 = or(_T_4956, _T_4957) @[Mux.scala 27:72] + wire _T_4959 : UInt<32> @[Mux.scala 27:72] + _T_4959 <= _T_4958 @[Mux.scala 27:72] + node _T_4960 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4961 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4962 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4963 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4964 = mux(_T_4960, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4965 = mux(_T_4961, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4966 = mux(_T_4962, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4967 = mux(_T_4963, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4968 = or(_T_4964, _T_4965) @[Mux.scala 27:72] + node _T_4969 = or(_T_4968, _T_4966) @[Mux.scala 27:72] + node _T_4970 = or(_T_4969, _T_4967) @[Mux.scala 27:72] + wire _T_4971 : UInt<32> @[Mux.scala 27:72] + _T_4971 <= _T_4970 @[Mux.scala 27:72] + node _T_4972 = mux(io.lsu_imprecise_error_store_any, _T_4959, _T_4971) @[el2_lsu_bus_buffer.scala 656:41] + io.lsu_imprecise_error_addr_any <= _T_4972 @[el2_lsu_bus_buffer.scala 656:35] lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 657:25] io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 659:23] - node _T_4957 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 662:46] - node _T_4958 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 662:89] - node _T_4959 = or(_T_4957, _T_4958) @[el2_lsu_bus_buffer.scala 662:68] - node _T_4960 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 662:132] - node _T_4961 = or(_T_4959, _T_4960) @[el2_lsu_bus_buffer.scala 662:110] - io.lsu_pmu_bus_trxn <= _T_4961 @[el2_lsu_bus_buffer.scala 662:23] - node _T_4962 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 663:48] - node _T_4963 = and(_T_4962, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 663:65] - io.lsu_pmu_bus_misaligned <= _T_4963 @[el2_lsu_bus_buffer.scala 663:29] - node _T_4964 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 664:59] - io.lsu_pmu_bus_error <= _T_4964 @[el2_lsu_bus_buffer.scala 664:24] - node _T_4965 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:48] - node _T_4966 = and(io.lsu_axi_awvalid, _T_4965) @[el2_lsu_bus_buffer.scala 666:46] - node _T_4967 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:92] - node _T_4968 = and(io.lsu_axi_wvalid, _T_4967) @[el2_lsu_bus_buffer.scala 666:90] - node _T_4969 = or(_T_4966, _T_4968) @[el2_lsu_bus_buffer.scala 666:69] - node _T_4970 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:136] - node _T_4971 = and(io.lsu_axi_arvalid, _T_4970) @[el2_lsu_bus_buffer.scala 666:134] - node _T_4972 = or(_T_4969, _T_4971) @[el2_lsu_bus_buffer.scala 666:112] - io.lsu_pmu_bus_busy <= _T_4972 @[el2_lsu_bus_buffer.scala 666:23] - reg _T_4973 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:49] - _T_4973 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 668:49] - WrPtr0_r <= _T_4973 @[el2_lsu_bus_buffer.scala 668:12] - reg _T_4974 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:49] - _T_4974 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 669:49] - WrPtr1_r <= _T_4974 @[el2_lsu_bus_buffer.scala 669:12] - node _T_4975 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 670:75] - node _T_4976 = and(io.lsu_busreq_m, _T_4975) @[el2_lsu_bus_buffer.scala 670:73] - node _T_4977 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 670:89] - node _T_4978 = and(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 670:87] - reg _T_4979 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 670:56] - _T_4979 <= _T_4978 @[el2_lsu_bus_buffer.scala 670:56] - io.lsu_busreq_r <= _T_4979 @[el2_lsu_bus_buffer.scala 670:19] - reg _T_4980 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 671:66] - _T_4980 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 671:66] - lsu_nonblock_load_valid_r <= _T_4980 @[el2_lsu_bus_buffer.scala 671:29] + node _T_4973 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 662:46] + node _T_4974 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 662:89] + node _T_4975 = or(_T_4973, _T_4974) @[el2_lsu_bus_buffer.scala 662:68] + node _T_4976 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 662:132] + node _T_4977 = or(_T_4975, _T_4976) @[el2_lsu_bus_buffer.scala 662:110] + io.lsu_pmu_bus_trxn <= _T_4977 @[el2_lsu_bus_buffer.scala 662:23] + node _T_4978 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 663:48] + node _T_4979 = and(_T_4978, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 663:65] + io.lsu_pmu_bus_misaligned <= _T_4979 @[el2_lsu_bus_buffer.scala 663:29] + node _T_4980 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 664:59] + io.lsu_pmu_bus_error <= _T_4980 @[el2_lsu_bus_buffer.scala 664:24] + node _T_4981 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:48] + node _T_4982 = and(io.lsu_axi_awvalid, _T_4981) @[el2_lsu_bus_buffer.scala 666:46] + node _T_4983 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:92] + node _T_4984 = and(io.lsu_axi_wvalid, _T_4983) @[el2_lsu_bus_buffer.scala 666:90] + node _T_4985 = or(_T_4982, _T_4984) @[el2_lsu_bus_buffer.scala 666:69] + node _T_4986 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:136] + node _T_4987 = and(io.lsu_axi_arvalid, _T_4986) @[el2_lsu_bus_buffer.scala 666:134] + node _T_4988 = or(_T_4985, _T_4987) @[el2_lsu_bus_buffer.scala 666:112] + io.lsu_pmu_bus_busy <= _T_4988 @[el2_lsu_bus_buffer.scala 666:23] + reg _T_4989 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:49] + _T_4989 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 668:49] + WrPtr0_r <= _T_4989 @[el2_lsu_bus_buffer.scala 668:12] + reg _T_4990 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:49] + _T_4990 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 669:49] + WrPtr1_r <= _T_4990 @[el2_lsu_bus_buffer.scala 669:12] + node _T_4991 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 670:75] + node _T_4992 = and(io.lsu_busreq_m, _T_4991) @[el2_lsu_bus_buffer.scala 670:73] + node _T_4993 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 670:89] + node _T_4994 = and(_T_4992, _T_4993) @[el2_lsu_bus_buffer.scala 670:87] + reg _T_4995 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 670:56] + _T_4995 <= _T_4994 @[el2_lsu_bus_buffer.scala 670:56] + io.lsu_busreq_r <= _T_4995 @[el2_lsu_bus_buffer.scala 670:19] + reg _T_4996 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 671:66] + _T_4996 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 671:66] + lsu_nonblock_load_valid_r <= _T_4996 @[el2_lsu_bus_buffer.scala 671:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 4163af5e..f859dbcf 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -312,11 +312,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 134:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] - reg _T_4356; // @[Reg.scala 27:20] - reg _T_4353; // @[Reg.scala 27:20] - reg _T_4350; // @[Reg.scala 27:20] - reg _T_4347; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4356,_T_4353,_T_4350,_T_4347}; // @[Cat.scala 29:58] + reg _T_4372; // @[Reg.scala 27:20] + reg _T_4369; // @[Reg.scala 27:20] + reg _T_4366; // @[Reg.scala 27:20] + reg _T_4363; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4372,_T_4369,_T_4366,_T_4363}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 136:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] @@ -373,81 +373,85 @@ module el2_lsu_bus_buffer( wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 552:60] - wire _T_2618 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] - wire _T_4103 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4126 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4130 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_2633 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:95] + wire _T_4119 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4142 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4146 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1861; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 409:13] - wire _T_4137 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 507:48] + wire _T_4153 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 507:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_4138 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_4139 = obuf_merge & _T_4138; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_4140 = _T_4137 | _T_4139; // @[el2_lsu_bus_buffer.scala 507:77] + wire _T_4154 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_4155 = obuf_merge & _T_4154; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_4156 = _T_4153 | _T_4155; // @[el2_lsu_bus_buffer.scala 507:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 403:54] - wire _T_4141 = _T_4140 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] + wire _T_4157 = _T_4156 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 402:55] - wire _T_4142 = _T_4141 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] - wire _GEN_280 = _T_4130 & _T_4142; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4126 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2619 = _T_2618 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 464:104] - wire _T_2620 = buf_ageQ_3[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2614 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] - wire _T_3910 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3933 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3937 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3944 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 507:48] - wire _T_3945 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_3946 = obuf_merge & _T_3945; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_3947 = _T_3944 | _T_3946; // @[el2_lsu_bus_buffer.scala 507:77] - wire _T_3948 = _T_3947 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] - wire _T_3949 = _T_3948 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] - wire _GEN_204 = _T_3937 & _T_3949; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3933 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2615 = _T_2614 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 464:104] - wire _T_2616 = buf_ageQ_3[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2610 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] - wire _T_3717 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3740 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3744 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3751 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 507:48] - wire _T_3752 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_3753 = obuf_merge & _T_3752; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_3754 = _T_3751 | _T_3753; // @[el2_lsu_bus_buffer.scala 507:77] - wire _T_3755 = _T_3754 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] - wire _T_3756 = _T_3755 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] - wire _GEN_128 = _T_3744 & _T_3756; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3740 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2611 = _T_2610 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 464:104] - wire _T_2612 = buf_ageQ_3[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2606 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] - wire _T_3524 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3547 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3551 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3558 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 507:48] - wire _T_3559 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_3560 = obuf_merge & _T_3559; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_3561 = _T_3558 | _T_3560; // @[el2_lsu_bus_buffer.scala 507:77] - wire _T_3562 = _T_3561 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] - wire _T_3563 = _T_3562 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] - wire _GEN_52 = _T_3551 & _T_3563; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3547 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 464:104] - wire _T_2608 = buf_ageQ_3[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] - wire [3:0] buf_age_3 = {_T_2620,_T_2616,_T_2612,_T_2608}; // @[Cat.scala 29:58] - wire _T_2719 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2721 = _T_2719 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2713 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2715 = _T_2713 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2707 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2709 = _T_2707 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2721,_T_2715,_T_2709}; // @[Cat.scala 29:58] + wire _T_4158 = _T_4157 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] + wire _GEN_280 = _T_4146 & _T_4158; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4142 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4119 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2634 = _T_2633 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 464:105] + wire _T_2635 = ~_T_2634; // @[el2_lsu_bus_buffer.scala 464:80] + wire _T_2636 = buf_ageQ_3[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2628 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:95] + wire _T_3926 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3949 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3953 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3960 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 507:48] + wire _T_3961 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_3962 = obuf_merge & _T_3961; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3963 = _T_3960 | _T_3962; // @[el2_lsu_bus_buffer.scala 507:77] + wire _T_3964 = _T_3963 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] + wire _T_3965 = _T_3964 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] + wire _GEN_204 = _T_3953 & _T_3965; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3949 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3926 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2629 = _T_2628 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 464:105] + wire _T_2630 = ~_T_2629; // @[el2_lsu_bus_buffer.scala 464:80] + wire _T_2631 = buf_ageQ_3[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2623 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:95] + wire _T_3733 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3756 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3760 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3767 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 507:48] + wire _T_3768 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_3769 = obuf_merge & _T_3768; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3770 = _T_3767 | _T_3769; // @[el2_lsu_bus_buffer.scala 507:77] + wire _T_3771 = _T_3770 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] + wire _T_3772 = _T_3771 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] + wire _GEN_128 = _T_3760 & _T_3772; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3756 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3733 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2624 = _T_2623 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 464:105] + wire _T_2625 = ~_T_2624; // @[el2_lsu_bus_buffer.scala 464:80] + wire _T_2626 = buf_ageQ_3[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2618 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:95] + wire _T_3540 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3563 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3567 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3574 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 507:48] + wire _T_3575 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_3576 = obuf_merge & _T_3575; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3577 = _T_3574 | _T_3576; // @[el2_lsu_bus_buffer.scala 507:77] + wire _T_3578 = _T_3577 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] + wire _T_3579 = _T_3578 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] + wire _GEN_52 = _T_3567 & _T_3579; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3563 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3540 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2619 = _T_2618 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 464:105] + wire _T_2620 = ~_T_2619; // @[el2_lsu_bus_buffer.scala 464:80] + wire _T_2621 = buf_ageQ_3[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_3 = {_T_2636,_T_2631,_T_2626,_T_2621}; // @[Cat.scala 29:58] + wire _T_2735 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2737 = _T_2735 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2729 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2731 = _T_2729 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2723 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2725 = _T_2723 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2737,_T_2731,_T_2725}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 204:99] @@ -466,54 +470,54 @@ module el2_lsu_bus_buffer( wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 204:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 552:60] - wire _T_2601 = buf_ageQ_2[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2597 = buf_ageQ_2[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2593 = buf_ageQ_2[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2589 = buf_ageQ_2[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] - wire [3:0] buf_age_2 = {_T_2601,_T_2597,_T_2593,_T_2589}; // @[Cat.scala 29:58] - wire _T_2698 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2700 = _T_2698 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2686 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2688 = _T_2686 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2680 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2682 = _T_2680 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] - wire [3:0] buf_age_younger_2 = {_T_2700,1'h0,_T_2688,_T_2682}; // @[Cat.scala 29:58] + wire _T_2613 = buf_ageQ_2[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2608 = buf_ageQ_2[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2603 = buf_ageQ_2[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2598 = buf_ageQ_2[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_2 = {_T_2613,_T_2608,_T_2603,_T_2598}; // @[Cat.scala 29:58] + wire _T_2714 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2716 = _T_2714 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2702 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2704 = _T_2702 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2696 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2698 = _T_2696 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_2 = {_T_2716,1'h0,_T_2704,_T_2698}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 552:60] - wire _T_2582 = buf_ageQ_1[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2578 = buf_ageQ_1[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2574 = buf_ageQ_1[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2570 = buf_ageQ_1[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] - wire [3:0] buf_age_1 = {_T_2582,_T_2578,_T_2574,_T_2570}; // @[Cat.scala 29:58] - wire _T_2671 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2673 = _T_2671 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2665 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2667 = _T_2665 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2653 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2655 = _T_2653 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] - wire [3:0] buf_age_younger_1 = {_T_2673,_T_2667,1'h0,_T_2655}; // @[Cat.scala 29:58] + wire _T_2590 = buf_ageQ_1[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2585 = buf_ageQ_1[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2580 = buf_ageQ_1[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2575 = buf_ageQ_1[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_1 = {_T_2590,_T_2585,_T_2580,_T_2575}; // @[Cat.scala 29:58] + wire _T_2687 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2689 = _T_2687 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2681 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2683 = _T_2681 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2669 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2671 = _T_2669 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_1 = {_T_2689,_T_2683,1'h0,_T_2671}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 552:60] - wire _T_2563 = buf_ageQ_0[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2559 = buf_ageQ_0[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2555 = buf_ageQ_0[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2551 = buf_ageQ_0[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] - wire [3:0] buf_age_0 = {_T_2563,_T_2559,_T_2555,_T_2551}; // @[Cat.scala 29:58] - wire _T_2644 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2646 = _T_2644 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2638 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2640 = _T_2638 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2632 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2634 = _T_2632 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] - wire [3:0] buf_age_younger_0 = {_T_2646,_T_2640,_T_2634,1'h0}; // @[Cat.scala 29:58] + wire _T_2567 = buf_ageQ_0[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2562 = buf_ageQ_0[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2557 = buf_ageQ_0[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2552 = buf_ageQ_0[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_0 = {_T_2567,_T_2562,_T_2557,_T_2552}; // @[Cat.scala 29:58] + wire _T_2660 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2662 = _T_2660 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2654 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2656 = _T_2654 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2648 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2650 = _T_2648 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_0 = {_T_2662,_T_2656,_T_2650,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 204:99] @@ -1001,33 +1005,33 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4437 = buf_write[3] & _T_2618; // @[el2_lsu_bus_buffer.scala 574:64] - wire _T_4438 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 574:91] - wire _T_4439 = _T_4437 & _T_4438; // @[el2_lsu_bus_buffer.scala 574:89] - wire _T_4432 = buf_write[2] & _T_2614; // @[el2_lsu_bus_buffer.scala 574:64] - wire _T_4433 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 574:91] - wire _T_4434 = _T_4432 & _T_4433; // @[el2_lsu_bus_buffer.scala 574:89] - wire [1:0] _T_4440 = _T_4439 + _T_4434; // @[el2_lsu_bus_buffer.scala 574:142] - wire _T_4427 = buf_write[1] & _T_2610; // @[el2_lsu_bus_buffer.scala 574:64] - wire _T_4428 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 574:91] - wire _T_4429 = _T_4427 & _T_4428; // @[el2_lsu_bus_buffer.scala 574:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4429}; // @[el2_lsu_bus_buffer.scala 574:142] - wire [2:0] _T_4441 = _T_4440 + _GEN_362; // @[el2_lsu_bus_buffer.scala 574:142] - wire _T_4422 = buf_write[0] & _T_2606; // @[el2_lsu_bus_buffer.scala 574:64] - wire _T_4423 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 574:91] - wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 574:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4424}; // @[el2_lsu_bus_buffer.scala 574:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4441 + _GEN_363; // @[el2_lsu_bus_buffer.scala 574:142] + wire _T_4453 = buf_write[3] & _T_2633; // @[el2_lsu_bus_buffer.scala 574:64] + wire _T_4454 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 574:91] + wire _T_4455 = _T_4453 & _T_4454; // @[el2_lsu_bus_buffer.scala 574:89] + wire _T_4448 = buf_write[2] & _T_2628; // @[el2_lsu_bus_buffer.scala 574:64] + wire _T_4449 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 574:91] + wire _T_4450 = _T_4448 & _T_4449; // @[el2_lsu_bus_buffer.scala 574:89] + wire [1:0] _T_4456 = _T_4455 + _T_4450; // @[el2_lsu_bus_buffer.scala 574:142] + wire _T_4443 = buf_write[1] & _T_2623; // @[el2_lsu_bus_buffer.scala 574:64] + wire _T_4444 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 574:91] + wire _T_4445 = _T_4443 & _T_4444; // @[el2_lsu_bus_buffer.scala 574:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4445}; // @[el2_lsu_bus_buffer.scala 574:142] + wire [2:0] _T_4457 = _T_4456 + _GEN_362; // @[el2_lsu_bus_buffer.scala 574:142] + wire _T_4438 = buf_write[0] & _T_2618; // @[el2_lsu_bus_buffer.scala 574:64] + wire _T_4439 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 574:91] + wire _T_4440 = _T_4438 & _T_4439; // @[el2_lsu_bus_buffer.scala 574:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4440}; // @[el2_lsu_bus_buffer.scala 574:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4457 + _GEN_363; // @[el2_lsu_bus_buffer.scala 574:142] wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:43] - wire _T_4454 = _T_2618 & _T_4438; // @[el2_lsu_bus_buffer.scala 575:73] - wire _T_4451 = _T_2614 & _T_4433; // @[el2_lsu_bus_buffer.scala 575:73] - wire [1:0] _T_4455 = _T_4454 + _T_4451; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4448 = _T_2610 & _T_4428; // @[el2_lsu_bus_buffer.scala 575:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 575:126] - wire [2:0] _T_4456 = _T_4455 + _GEN_364; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4445 = _T_2606 & _T_4423; // @[el2_lsu_bus_buffer.scala 575:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4445}; // @[el2_lsu_bus_buffer.scala 575:126] - wire [3:0] buf_numvld_cmd_any = _T_4456 + _GEN_365; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4470 = _T_2633 & _T_4454; // @[el2_lsu_bus_buffer.scala 575:73] + wire _T_4467 = _T_2628 & _T_4449; // @[el2_lsu_bus_buffer.scala 575:73] + wire [1:0] _T_4471 = _T_4470 + _T_4467; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4464 = _T_2623 & _T_4444; // @[el2_lsu_bus_buffer.scala 575:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4464}; // @[el2_lsu_bus_buffer.scala 575:126] + wire [2:0] _T_4472 = _T_4471 + _GEN_364; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4461 = _T_2618 & _T_4439; // @[el2_lsu_bus_buffer.scala 575:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4461}; // @[el2_lsu_bus_buffer.scala 575:126] + wire [3:0] buf_numvld_cmd_any = _T_4472 + _GEN_365; // @[el2_lsu_bus_buffer.scala 575:126] wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:72] wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 319:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 418:54] @@ -1040,11 +1044,11 @@ module el2_lsu_bus_buffer( reg buf_nomerge_3; // @[Reg.scala 27:20] wire _T_1048 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 320:31] wire _T_1049 = _T_1035 & _T_1048; // @[el2_lsu_bus_buffer.scala 320:29] - reg _T_4326; // @[Reg.scala 27:20] - reg _T_4323; // @[Reg.scala 27:20] - reg _T_4320; // @[Reg.scala 27:20] - reg _T_4317; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4326,_T_4323,_T_4320,_T_4317}; // @[Cat.scala 29:58] + reg _T_4342; // @[Reg.scala 27:20] + reg _T_4339; // @[Reg.scala 27:20] + reg _T_4336; // @[Reg.scala 27:20] + reg _T_4333; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4342,_T_4339,_T_4336,_T_4333}; // @[Cat.scala 29:58] wire _T_1066 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 321:5] wire _T_1067 = _T_1049 & _T_1066; // @[el2_lsu_bus_buffer.scala 320:140] wire _T_1078 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 323:58] @@ -1057,62 +1061,62 @@ module el2_lsu_bus_buffer( wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 322:95] wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 322:79] wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 322:121] - wire _T_4473 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] - wire _T_4477 = _T_4473 | _T_4454; // @[el2_lsu_bus_buffer.scala 576:74] - wire _T_4468 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] - wire _T_4472 = _T_4468 | _T_4451; // @[el2_lsu_bus_buffer.scala 576:74] - wire [1:0] _T_4478 = _T_4477 + _T_4472; // @[el2_lsu_bus_buffer.scala 576:154] - wire _T_4463 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] - wire _T_4467 = _T_4463 | _T_4448; // @[el2_lsu_bus_buffer.scala 576:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 576:154] - wire [2:0] _T_4479 = _T_4478 + _GEN_366; // @[el2_lsu_bus_buffer.scala 576:154] - wire _T_4458 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] - wire _T_4462 = _T_4458 | _T_4445; // @[el2_lsu_bus_buffer.scala 576:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4462}; // @[el2_lsu_bus_buffer.scala 576:154] - wire [3:0] buf_numvld_pend_any = _T_4479 + _GEN_367; // @[el2_lsu_bus_buffer.scala 576:154] + wire _T_4489 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] + wire _T_4493 = _T_4489 | _T_4470; // @[el2_lsu_bus_buffer.scala 576:74] + wire _T_4484 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] + wire _T_4488 = _T_4484 | _T_4467; // @[el2_lsu_bus_buffer.scala 576:74] + wire [1:0] _T_4494 = _T_4493 + _T_4488; // @[el2_lsu_bus_buffer.scala 576:154] + wire _T_4479 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] + wire _T_4483 = _T_4479 | _T_4464; // @[el2_lsu_bus_buffer.scala 576:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4483}; // @[el2_lsu_bus_buffer.scala 576:154] + wire [2:0] _T_4495 = _T_4494 + _GEN_366; // @[el2_lsu_bus_buffer.scala 576:154] + wire _T_4474 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] + wire _T_4478 = _T_4474 | _T_4461; // @[el2_lsu_bus_buffer.scala 576:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4478}; // @[el2_lsu_bus_buffer.scala 576:154] + wire [3:0] buf_numvld_pend_any = _T_4495 + _GEN_367; // @[el2_lsu_bus_buffer.scala 576:154] wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 325:53] wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 325:31] wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 325:64] wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 325:84] wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 325:61] wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 340:32] - wire _T_4769 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] - wire _T_4771 = _T_4769 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 605:73] - wire _T_4772 = _T_4771 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] - wire _T_4773 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] - wire _T_4775 = _T_4773 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 605:73] - wire _T_4776 = _T_4775 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] - wire _T_4785 = _T_4772 | _T_4776; // @[el2_lsu_bus_buffer.scala 605:141] - wire _T_4777 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] - wire _T_4779 = _T_4777 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 605:73] - wire _T_4780 = _T_4779 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] - wire _T_4786 = _T_4785 | _T_4780; // @[el2_lsu_bus_buffer.scala 605:141] - wire _T_4781 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] - wire _T_4783 = _T_4781 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 605:73] - wire _T_4784 = _T_4783 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] - wire bus_sideeffect_pend = _T_4786 | _T_4784; // @[el2_lsu_bus_buffer.scala 605:141] + wire _T_4785 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] + wire _T_4787 = _T_4785 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 605:73] + wire _T_4788 = _T_4787 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] + wire _T_4789 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] + wire _T_4791 = _T_4789 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 605:73] + wire _T_4792 = _T_4791 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] + wire _T_4801 = _T_4788 | _T_4792; // @[el2_lsu_bus_buffer.scala 605:141] + wire _T_4793 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] + wire _T_4795 = _T_4793 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 605:73] + wire _T_4796 = _T_4795 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] + wire _T_4802 = _T_4801 | _T_4796; // @[el2_lsu_bus_buffer.scala 605:141] + wire _T_4797 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] + wire _T_4799 = _T_4797 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 605:73] + wire _T_4800 = _T_4799 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] + wire bus_sideeffect_pend = _T_4802 | _T_4800; // @[el2_lsu_bus_buffer.scala 605:141] wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 340:74] wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 340:52] wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 340:50] wire _T_1991 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 430:58] wire _T_1992 = ~_T_1991; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1994 = _T_1992 & _T_2618; // @[el2_lsu_bus_buffer.scala 430:63] - wire _T_1996 = _T_1994 & _T_4438; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1994 = _T_1992 & _T_2633; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1996 = _T_1994 & _T_4454; // @[el2_lsu_bus_buffer.scala 430:88] wire _T_1985 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 430:58] wire _T_1986 = ~_T_1985; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1988 = _T_1986 & _T_2614; // @[el2_lsu_bus_buffer.scala 430:63] - wire _T_1990 = _T_1988 & _T_4433; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1988 = _T_1986 & _T_2628; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1990 = _T_1988 & _T_4449; // @[el2_lsu_bus_buffer.scala 430:88] wire _T_1979 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 430:58] wire _T_1980 = ~_T_1979; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1982 = _T_1980 & _T_2610; // @[el2_lsu_bus_buffer.scala 430:63] - wire _T_1984 = _T_1982 & _T_4428; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1982 = _T_1980 & _T_2623; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1984 = _T_1982 & _T_4444; // @[el2_lsu_bus_buffer.scala 430:88] wire _T_1973 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 430:58] wire _T_1974 = ~_T_1973; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1976 = _T_1974 & _T_2606; // @[el2_lsu_bus_buffer.scala 430:63] - wire _T_1978 = _T_1976 & _T_4423; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1976 = _T_1974 & _T_2618; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1978 = _T_1976 & _T_4439; // @[el2_lsu_bus_buffer.scala 430:88] wire [3:0] CmdPtr0Dec = {_T_1996,_T_1990,_T_1984,_T_1978}; // @[Cat.scala 29:58] wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 435:31] - wire _T_1121 = _T_2606 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 341:47] + wire _T_1121 = _T_2618 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 341:47] wire [3:0] _T_1124 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1141 = ~_T_1124[0]; // @[el2_lsu_bus_buffer.scala 342:23] wire _T_1142 = _T_1121 & _T_1141; // @[el2_lsu_bus_buffer.scala 342:21] @@ -1139,29 +1143,29 @@ module el2_lsu_bus_buffer( wire _T_2035 = ~_T_2034; // @[el2_lsu_bus_buffer.scala 431:45] wire _T_2037 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 431:83] wire _T_2038 = _T_2035 & _T_2037; // @[el2_lsu_bus_buffer.scala 431:81] - wire _T_2040 = _T_2038 & _T_2618; // @[el2_lsu_bus_buffer.scala 431:98] - wire _T_2042 = _T_2040 & _T_4438; // @[el2_lsu_bus_buffer.scala 431:123] + wire _T_2040 = _T_2038 & _T_2633; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2042 = _T_2040 & _T_4454; // @[el2_lsu_bus_buffer.scala 431:123] wire [3:0] _T_2022 = buf_age_2 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] wire _T_2023 = |_T_2022; // @[el2_lsu_bus_buffer.scala 431:76] wire _T_2024 = ~_T_2023; // @[el2_lsu_bus_buffer.scala 431:45] wire _T_2026 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 431:83] wire _T_2027 = _T_2024 & _T_2026; // @[el2_lsu_bus_buffer.scala 431:81] - wire _T_2029 = _T_2027 & _T_2614; // @[el2_lsu_bus_buffer.scala 431:98] - wire _T_2031 = _T_2029 & _T_4433; // @[el2_lsu_bus_buffer.scala 431:123] + wire _T_2029 = _T_2027 & _T_2628; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2031 = _T_2029 & _T_4449; // @[el2_lsu_bus_buffer.scala 431:123] wire [3:0] _T_2011 = buf_age_1 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] wire _T_2012 = |_T_2011; // @[el2_lsu_bus_buffer.scala 431:76] wire _T_2013 = ~_T_2012; // @[el2_lsu_bus_buffer.scala 431:45] wire _T_2015 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 431:83] wire _T_2016 = _T_2013 & _T_2015; // @[el2_lsu_bus_buffer.scala 431:81] - wire _T_2018 = _T_2016 & _T_2610; // @[el2_lsu_bus_buffer.scala 431:98] - wire _T_2020 = _T_2018 & _T_4428; // @[el2_lsu_bus_buffer.scala 431:123] + wire _T_2018 = _T_2016 & _T_2623; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2020 = _T_2018 & _T_4444; // @[el2_lsu_bus_buffer.scala 431:123] wire [3:0] _T_2000 = buf_age_0 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] wire _T_2001 = |_T_2000; // @[el2_lsu_bus_buffer.scala 431:76] wire _T_2002 = ~_T_2001; // @[el2_lsu_bus_buffer.scala 431:45] wire _T_2004 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 431:83] wire _T_2005 = _T_2002 & _T_2004; // @[el2_lsu_bus_buffer.scala 431:81] - wire _T_2007 = _T_2005 & _T_2606; // @[el2_lsu_bus_buffer.scala 431:98] - wire _T_2009 = _T_2007 & _T_4423; // @[el2_lsu_bus_buffer.scala 431:123] + wire _T_2007 = _T_2005 & _T_2618; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2009 = _T_2007 & _T_4439; // @[el2_lsu_bus_buffer.scala 431:123] wire [3:0] CmdPtr1Dec = {_T_2042,_T_2031,_T_2020,_T_2009}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 436:31] wire _T_1220 = _T_1219 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 343:181] @@ -1173,10 +1177,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 405:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 406:55] - wire _T_4844 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 609:54] - wire _T_4845 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:75] - wire _T_4847 = _T_4844 ? _T_4845 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:39] - wire bus_cmd_ready = obuf_write ? _T_4847 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 609:23] + wire _T_4860 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 609:54] + wire _T_4861 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:75] + wire _T_4863 = _T_4860 ? _T_4861 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:39] + wire bus_cmd_ready = obuf_write ? _T_4863 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 609:23] wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 344:48] wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 344:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1185,52 +1189,52 @@ module el2_lsu_bus_buffer( wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 344:77] wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 344:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4792 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] - wire _T_4793 = obuf_valid & _T_4792; // @[el2_lsu_bus_buffer.scala 607:38] - wire _T_4795 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 607:126] - wire _T_4796 = obuf_merge & _T_4795; // @[el2_lsu_bus_buffer.scala 607:114] - wire _T_4797 = _T_3558 | _T_4796; // @[el2_lsu_bus_buffer.scala 607:100] - wire _T_4798 = ~_T_4797; // @[el2_lsu_bus_buffer.scala 607:80] - wire _T_4799 = _T_4793 & _T_4798; // @[el2_lsu_bus_buffer.scala 607:78] - wire _T_4836 = _T_4769 & _T_4799; // @[Mux.scala 27:72] - wire _T_4804 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] - wire _T_4805 = obuf_valid & _T_4804; // @[el2_lsu_bus_buffer.scala 607:38] - wire _T_4807 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 607:126] - wire _T_4808 = obuf_merge & _T_4807; // @[el2_lsu_bus_buffer.scala 607:114] - wire _T_4809 = _T_3751 | _T_4808; // @[el2_lsu_bus_buffer.scala 607:100] - wire _T_4810 = ~_T_4809; // @[el2_lsu_bus_buffer.scala 607:80] - wire _T_4811 = _T_4805 & _T_4810; // @[el2_lsu_bus_buffer.scala 607:78] - wire _T_4837 = _T_4773 & _T_4811; // @[Mux.scala 27:72] - wire _T_4840 = _T_4836 | _T_4837; // @[Mux.scala 27:72] - wire _T_4816 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] - wire _T_4817 = obuf_valid & _T_4816; // @[el2_lsu_bus_buffer.scala 607:38] - wire _T_4819 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 607:126] - wire _T_4820 = obuf_merge & _T_4819; // @[el2_lsu_bus_buffer.scala 607:114] - wire _T_4821 = _T_3944 | _T_4820; // @[el2_lsu_bus_buffer.scala 607:100] - wire _T_4822 = ~_T_4821; // @[el2_lsu_bus_buffer.scala 607:80] - wire _T_4823 = _T_4817 & _T_4822; // @[el2_lsu_bus_buffer.scala 607:78] - wire _T_4838 = _T_4777 & _T_4823; // @[Mux.scala 27:72] - wire _T_4841 = _T_4840 | _T_4838; // @[Mux.scala 27:72] - wire _T_4828 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] - wire _T_4829 = obuf_valid & _T_4828; // @[el2_lsu_bus_buffer.scala 607:38] - wire _T_4831 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 607:126] - wire _T_4832 = obuf_merge & _T_4831; // @[el2_lsu_bus_buffer.scala 607:114] - wire _T_4833 = _T_4137 | _T_4832; // @[el2_lsu_bus_buffer.scala 607:100] - wire _T_4834 = ~_T_4833; // @[el2_lsu_bus_buffer.scala 607:80] - wire _T_4835 = _T_4829 & _T_4834; // @[el2_lsu_bus_buffer.scala 607:78] - wire _T_4839 = _T_4781 & _T_4835; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4841 | _T_4839; // @[Mux.scala 27:72] + wire _T_4808 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] + wire _T_4809 = obuf_valid & _T_4808; // @[el2_lsu_bus_buffer.scala 607:38] + wire _T_4811 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 607:126] + wire _T_4812 = obuf_merge & _T_4811; // @[el2_lsu_bus_buffer.scala 607:114] + wire _T_4813 = _T_3574 | _T_4812; // @[el2_lsu_bus_buffer.scala 607:100] + wire _T_4814 = ~_T_4813; // @[el2_lsu_bus_buffer.scala 607:80] + wire _T_4815 = _T_4809 & _T_4814; // @[el2_lsu_bus_buffer.scala 607:78] + wire _T_4852 = _T_4785 & _T_4815; // @[Mux.scala 27:72] + wire _T_4820 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] + wire _T_4821 = obuf_valid & _T_4820; // @[el2_lsu_bus_buffer.scala 607:38] + wire _T_4823 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 607:126] + wire _T_4824 = obuf_merge & _T_4823; // @[el2_lsu_bus_buffer.scala 607:114] + wire _T_4825 = _T_3767 | _T_4824; // @[el2_lsu_bus_buffer.scala 607:100] + wire _T_4826 = ~_T_4825; // @[el2_lsu_bus_buffer.scala 607:80] + wire _T_4827 = _T_4821 & _T_4826; // @[el2_lsu_bus_buffer.scala 607:78] + wire _T_4853 = _T_4789 & _T_4827; // @[Mux.scala 27:72] + wire _T_4856 = _T_4852 | _T_4853; // @[Mux.scala 27:72] + wire _T_4832 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] + wire _T_4833 = obuf_valid & _T_4832; // @[el2_lsu_bus_buffer.scala 607:38] + wire _T_4835 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 607:126] + wire _T_4836 = obuf_merge & _T_4835; // @[el2_lsu_bus_buffer.scala 607:114] + wire _T_4837 = _T_3960 | _T_4836; // @[el2_lsu_bus_buffer.scala 607:100] + wire _T_4838 = ~_T_4837; // @[el2_lsu_bus_buffer.scala 607:80] + wire _T_4839 = _T_4833 & _T_4838; // @[el2_lsu_bus_buffer.scala 607:78] + wire _T_4854 = _T_4793 & _T_4839; // @[Mux.scala 27:72] + wire _T_4857 = _T_4856 | _T_4854; // @[Mux.scala 27:72] + wire _T_4844 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] + wire _T_4845 = obuf_valid & _T_4844; // @[el2_lsu_bus_buffer.scala 607:38] + wire _T_4847 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 607:126] + wire _T_4848 = obuf_merge & _T_4847; // @[el2_lsu_bus_buffer.scala 607:114] + wire _T_4849 = _T_4153 | _T_4848; // @[el2_lsu_bus_buffer.scala 607:100] + wire _T_4850 = ~_T_4849; // @[el2_lsu_bus_buffer.scala 607:80] + wire _T_4851 = _T_4845 & _T_4850; // @[el2_lsu_bus_buffer.scala 607:78] + wire _T_4855 = _T_4797 & _T_4851; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4857 | _T_4855; // @[Mux.scala 27:72] wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 344:118] wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 344:116] wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 344:142] wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 346:47] wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 610:39] - wire _T_4851 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 612:35] + wire _T_4867 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 612:35] wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 611:39] - wire _T_4852 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 612:70] - wire _T_4853 = _T_4851 & _T_4852; // @[el2_lsu_bus_buffer.scala 612:52] - wire _T_4854 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 612:111] - wire bus_cmd_sent = _T_4853 | _T_4854; // @[el2_lsu_bus_buffer.scala 612:89] + wire _T_4868 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 612:70] + wire _T_4869 = _T_4867 & _T_4868; // @[el2_lsu_bus_buffer.scala 612:52] + wire _T_4870 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 612:111] + wire bus_cmd_sent = _T_4869 | _T_4870; // @[el2_lsu_bus_buffer.scala 612:89] wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 346:33] wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 346:65] wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 346:63] @@ -1424,45 +1428,45 @@ module el2_lsu_bus_buffer( wire [1:0] _T_1971 = _T_1955 ? 2'h2 : _T_1970; // @[Mux.scala 98:16] wire [1:0] _T_1972 = _T_1941 ? 2'h1 : _T_1971; // @[Mux.scala 98:16] reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 553:63] - wire _T_2742 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] - wire _T_2743 = buf_rspageQ_0[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2739 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] - wire _T_2740 = buf_rspageQ_0[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2736 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] - wire _T_2737 = buf_rspageQ_0[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2733 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] - wire _T_2734 = buf_rspageQ_0[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2743,_T_2740,_T_2737,_T_2734}; // @[Cat.scala 29:58] + wire _T_2758 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2759 = buf_rspageQ_0[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2755 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2756 = buf_rspageQ_0[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2752 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2753 = buf_rspageQ_0[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2749 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2750 = buf_rspageQ_0[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2759,_T_2756,_T_2753,_T_2750}; // @[Cat.scala 29:58] wire _T_2045 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 434:44] - wire _T_2048 = _T_2046 & _T_2733; // @[el2_lsu_bus_buffer.scala 434:70] + wire _T_2048 = _T_2046 & _T_2749; // @[el2_lsu_bus_buffer.scala 434:70] reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 553:63] - wire _T_2758 = buf_rspageQ_1[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2755 = buf_rspageQ_1[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2752 = buf_rspageQ_1[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2749 = buf_rspageQ_1[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2758,_T_2755,_T_2752,_T_2749}; // @[Cat.scala 29:58] + wire _T_2774 = buf_rspageQ_1[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2771 = buf_rspageQ_1[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2768 = buf_rspageQ_1[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2765 = buf_rspageQ_1[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2774,_T_2771,_T_2768,_T_2765}; // @[Cat.scala 29:58] wire _T_2049 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2050 = ~_T_2049; // @[el2_lsu_bus_buffer.scala 434:44] - wire _T_2052 = _T_2050 & _T_2736; // @[el2_lsu_bus_buffer.scala 434:70] + wire _T_2052 = _T_2050 & _T_2752; // @[el2_lsu_bus_buffer.scala 434:70] reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 553:63] - wire _T_2773 = buf_rspageQ_2[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2770 = buf_rspageQ_2[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2767 = buf_rspageQ_2[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2764 = buf_rspageQ_2[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2773,_T_2770,_T_2767,_T_2764}; // @[Cat.scala 29:58] + wire _T_2789 = buf_rspageQ_2[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2786 = buf_rspageQ_2[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2783 = buf_rspageQ_2[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2780 = buf_rspageQ_2[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2789,_T_2786,_T_2783,_T_2780}; // @[Cat.scala 29:58] wire _T_2053 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2054 = ~_T_2053; // @[el2_lsu_bus_buffer.scala 434:44] - wire _T_2056 = _T_2054 & _T_2739; // @[el2_lsu_bus_buffer.scala 434:70] + wire _T_2056 = _T_2054 & _T_2755; // @[el2_lsu_bus_buffer.scala 434:70] reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 553:63] - wire _T_2788 = buf_rspageQ_3[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2785 = buf_rspageQ_3[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2782 = buf_rspageQ_3[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2779 = buf_rspageQ_3[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2788,_T_2785,_T_2782,_T_2779}; // @[Cat.scala 29:58] + wire _T_2804 = buf_rspageQ_3[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2801 = buf_rspageQ_3[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2798 = buf_rspageQ_3[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2795 = buf_rspageQ_3[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2804,_T_2801,_T_2798,_T_2795}; // @[Cat.scala 29:58] wire _T_2057 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2058 = ~_T_2057; // @[el2_lsu_bus_buffer.scala 434:44] - wire _T_2060 = _T_2058 & _T_2742; // @[el2_lsu_bus_buffer.scala 434:70] + wire _T_2060 = _T_2058 & _T_2758; // @[el2_lsu_bus_buffer.scala 434:70] wire [7:0] _T_2116 = {4'h0,_T_2060,_T_2056,_T_2052,_T_2048}; // @[Cat.scala 29:58] wire _T_2119 = _T_2116[4] | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:42] wire _T_2121 = _T_2119 | _T_2116[6]; // @[el2_lsu_bus_buffer.scala 438:48] @@ -1474,51 +1478,51 @@ module el2_lsu_bus_buffer( wire _T_2135 = _T_2133 | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:98] wire _T_2137 = _T_2135 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:104] wire [2:0] _T_2139 = {_T_2123,_T_2130,_T_2137}; // @[Cat.scala 29:58] - wire _T_3528 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_3529 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 496:97] - wire _T_3530 = _T_3528 & _T_3529; // @[el2_lsu_bus_buffer.scala 496:95] - wire _T_3531 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] - wire _T_3532 = _T_3530 & _T_3531; // @[el2_lsu_bus_buffer.scala 496:112] - wire _T_3533 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 496:144] - wire _T_3534 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] - wire _T_3535 = _T_3533 & _T_3534; // @[el2_lsu_bus_buffer.scala 496:161] - wire _T_3536 = _T_3532 | _T_3535; // @[el2_lsu_bus_buffer.scala 496:132] - wire _T_3537 = _T_845 & _T_3536; // @[el2_lsu_bus_buffer.scala 496:63] - wire _T_3538 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] - wire _T_3539 = ibuf_drain_vld & _T_3538; // @[el2_lsu_bus_buffer.scala 496:201] - wire _T_3540 = _T_3537 | _T_3539; // @[el2_lsu_bus_buffer.scala 496:183] - wire _T_3550 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 503:46] - wire _T_3585 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3544 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 496:77] + wire _T_3545 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 496:97] + wire _T_3546 = _T_3544 & _T_3545; // @[el2_lsu_bus_buffer.scala 496:95] + wire _T_3547 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] + wire _T_3548 = _T_3546 & _T_3547; // @[el2_lsu_bus_buffer.scala 496:112] + wire _T_3549 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 496:144] + wire _T_3550 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] + wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 496:161] + wire _T_3552 = _T_3548 | _T_3551; // @[el2_lsu_bus_buffer.scala 496:132] + wire _T_3553 = _T_845 & _T_3552; // @[el2_lsu_bus_buffer.scala 496:63] + wire _T_3554 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] + wire _T_3555 = ibuf_drain_vld & _T_3554; // @[el2_lsu_bus_buffer.scala 496:201] + wire _T_3556 = _T_3553 | _T_3555; // @[el2_lsu_bus_buffer.scala 496:183] + wire _T_3566 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 503:46] + wire _T_3601 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 614:38] - wire _T_3630 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 521:73] - wire _T_3631 = bus_rsp_write & _T_3630; // @[el2_lsu_bus_buffer.scala 521:52] - wire _T_3632 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 522:46] - reg _T_4303; // @[Reg.scala 27:20] - reg _T_4301; // @[Reg.scala 27:20] - reg _T_4299; // @[Reg.scala 27:20] - reg _T_4297; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4303,_T_4301,_T_4299,_T_4297}; // @[Cat.scala 29:58] + wire _T_3646 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 521:73] + wire _T_3647 = bus_rsp_write & _T_3646; // @[el2_lsu_bus_buffer.scala 521:52] + wire _T_3648 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 522:46] + reg _T_4319; // @[Reg.scala 27:20] + reg _T_4317; // @[Reg.scala 27:20] + reg _T_4315; // @[Reg.scala 27:20] + reg _T_4313; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4319,_T_4317,_T_4315,_T_4313}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_3634 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_3635 = buf_ldfwd[0] & _T_3634; // @[el2_lsu_bus_buffer.scala 523:27] - wire _T_3636 = _T_3632 | _T_3635; // @[el2_lsu_bus_buffer.scala 522:77] - wire _T_3637 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 524:26] - wire _T_3640 = _T_3637 & _T_1217; // @[el2_lsu_bus_buffer.scala 524:42] - wire _T_3641 = _T_3640 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 524:58] + wire _T_3650 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_3651 = buf_ldfwd[0] & _T_3650; // @[el2_lsu_bus_buffer.scala 523:27] + wire _T_3652 = _T_3648 | _T_3651; // @[el2_lsu_bus_buffer.scala 522:77] + wire _T_3653 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 524:26] + wire _T_3656 = _T_3653 & _T_1217; // @[el2_lsu_bus_buffer.scala 524:42] + wire _T_3657 = _T_3656 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 524:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_3642 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 524:74] - wire _T_3644 = _T_3636 | _T_3643; // @[el2_lsu_bus_buffer.scala 523:71] - wire _T_3645 = bus_rsp_read & _T_3644; // @[el2_lsu_bus_buffer.scala 522:25] - wire _T_3646 = _T_3631 | _T_3645; // @[el2_lsu_bus_buffer.scala 521:105] - wire _GEN_42 = _T_3585 & _T_3646; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3551 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3547 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3672 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3682 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 536:21] + wire _T_3658 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_3659 = _T_3657 & _T_3658; // @[el2_lsu_bus_buffer.scala 524:74] + wire _T_3660 = _T_3652 | _T_3659; // @[el2_lsu_bus_buffer.scala 523:71] + wire _T_3661 = bus_rsp_read & _T_3660; // @[el2_lsu_bus_buffer.scala 522:25] + wire _T_3662 = _T_3647 | _T_3661; // @[el2_lsu_bus_buffer.scala 521:105] + wire _GEN_42 = _T_3601 & _T_3662; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3567 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3563 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3540 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3688 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3698 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 536:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] @@ -1526,37 +1530,37 @@ module el2_lsu_bus_buffer( wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 536:58] wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 536:58] wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_3684 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_3685 = _T_3682[0] & _T_3684; // @[el2_lsu_bus_buffer.scala 536:38] - wire _T_3686 = _T_3642 | _T_3685; // @[el2_lsu_bus_buffer.scala 535:95] - wire _T_3687 = bus_rsp_read & _T_3686; // @[el2_lsu_bus_buffer.scala 535:45] - wire _GEN_36 = _T_3672 & _T_3687; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3585 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3551 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3547 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3564 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] - wire _T_3565 = _T_3564 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] - wire _T_3690 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3700 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_3701 = _T_3698[0] & _T_3700; // @[el2_lsu_bus_buffer.scala 536:38] + wire _T_3702 = _T_3658 | _T_3701; // @[el2_lsu_bus_buffer.scala 535:95] + wire _T_3703 = bus_rsp_read & _T_3702; // @[el2_lsu_bus_buffer.scala 535:45] + wire _GEN_36 = _T_3688 & _T_3703; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3601 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3567 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3563 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3540 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3580 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] + wire _T_3581 = _T_3580 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] + wire _T_3706 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] wire [1:0] RspPtr = _T_2139[1:0]; // @[el2_lsu_bus_buffer.scala 446:10] - wire _T_3693 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 541:37] - wire _T_3694 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] - wire _T_3695 = buf_dual_0 & _T_3694; // @[el2_lsu_bus_buffer.scala 541:80] - wire _T_3696 = _T_3693 | _T_3695; // @[el2_lsu_bus_buffer.scala 541:65] - wire _T_3697 = _T_3696 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] - wire _T_3698 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3690 ? _T_3697 : _T_3698; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3672 ? _T_3565 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3585 ? _T_3565 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3551 ? _T_3565 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3547 ? _T_3550 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3524 ? _T_3540 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_3709 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 541:37] + wire _T_3710 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] + wire _T_3711 = buf_dual_0 & _T_3710; // @[el2_lsu_bus_buffer.scala 541:80] + wire _T_3712 = _T_3709 | _T_3711; // @[el2_lsu_bus_buffer.scala 541:65] + wire _T_3713 = _T_3712 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] + wire _T_3714 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3706 ? _T_3713 : _T_3714; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3688 ? _T_3581 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3601 ? _T_3581 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3567 ? _T_3581 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3563 ? _T_3566 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3540 ? _T_3556 : _GEN_64; // @[Conditional.scala 40:58] wire _T_2141 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 458:94] wire _T_2147 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 460:23] - wire _T_2149 = _T_2147 & _T_3528; // @[el2_lsu_bus_buffer.scala 460:41] + wire _T_2149 = _T_2147 & _T_3544; // @[el2_lsu_bus_buffer.scala 460:41] wire _T_2151 = _T_2149 & _T_1869; // @[el2_lsu_bus_buffer.scala 460:71] wire _T_2153 = _T_2151 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2154 = _T_4462 | _T_2153; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2154 = _T_4478 | _T_2153; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2155 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 461:17] wire _T_2156 = _T_2155 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:35] wire _T_2158 = _T_2156 & _T_1872; // @[el2_lsu_bus_buffer.scala 461:52] @@ -1565,932 +1569,932 @@ module el2_lsu_bus_buffer( wire _T_2162 = _T_2141 & _T_2161; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2164 = _T_2162 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2178 = _T_2151 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2179 = _T_4467 | _T_2178; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2179 = _T_4483 | _T_2178; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2185 = _T_2158 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2186 = _T_2179 | _T_2185; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2187 = _T_2141 & _T_2186; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2189 = _T_2187 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2203 = _T_2151 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2204 = _T_4472 | _T_2203; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2204 = _T_4488 | _T_2203; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2210 = _T_2158 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2211 = _T_2204 | _T_2210; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2212 = _T_2141 & _T_2211; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2214 = _T_2212 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2228 = _T_2151 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2229 = _T_4477 | _T_2228; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2229 = _T_4493 | _T_2228; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2235 = _T_2158 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2236 = _T_2229 | _T_2235; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2237 = _T_2141 & _T_2236; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2239 = _T_2237 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 461:97] wire [2:0] _T_2241 = {_T_2239,_T_2214,_T_2189}; // @[Cat.scala 29:58] - wire _T_3724 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] - wire _T_3725 = _T_3530 & _T_3724; // @[el2_lsu_bus_buffer.scala 496:112] - wire _T_3727 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] - wire _T_3728 = _T_3533 & _T_3727; // @[el2_lsu_bus_buffer.scala 496:161] - wire _T_3729 = _T_3725 | _T_3728; // @[el2_lsu_bus_buffer.scala 496:132] - wire _T_3730 = _T_845 & _T_3729; // @[el2_lsu_bus_buffer.scala 496:63] - wire _T_3731 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] - wire _T_3732 = ibuf_drain_vld & _T_3731; // @[el2_lsu_bus_buffer.scala 496:201] - wire _T_3733 = _T_3730 | _T_3732; // @[el2_lsu_bus_buffer.scala 496:183] - wire _T_3778 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3823 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 521:73] - wire _T_3824 = bus_rsp_write & _T_3823; // @[el2_lsu_bus_buffer.scala 521:52] - wire _T_3825 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 522:46] + wire _T_3740 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] + wire _T_3741 = _T_3546 & _T_3740; // @[el2_lsu_bus_buffer.scala 496:112] + wire _T_3743 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] + wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 496:161] + wire _T_3745 = _T_3741 | _T_3744; // @[el2_lsu_bus_buffer.scala 496:132] + wire _T_3746 = _T_845 & _T_3745; // @[el2_lsu_bus_buffer.scala 496:63] + wire _T_3747 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] + wire _T_3748 = ibuf_drain_vld & _T_3747; // @[el2_lsu_bus_buffer.scala 496:201] + wire _T_3749 = _T_3746 | _T_3748; // @[el2_lsu_bus_buffer.scala 496:183] + wire _T_3794 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3839 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 521:73] + wire _T_3840 = bus_rsp_write & _T_3839; // @[el2_lsu_bus_buffer.scala 521:52] + wire _T_3841 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 522:46] wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_3827 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_3828 = buf_ldfwd[1] & _T_3827; // @[el2_lsu_bus_buffer.scala 523:27] - wire _T_3829 = _T_3825 | _T_3828; // @[el2_lsu_bus_buffer.scala 522:77] - wire _T_3830 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 524:26] - wire _T_3832 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 524:44] - wire _T_3833 = _T_3830 & _T_3832; // @[el2_lsu_bus_buffer.scala 524:42] - wire _T_3834 = _T_3833 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 524:58] + wire _T_3843 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_3844 = buf_ldfwd[1] & _T_3843; // @[el2_lsu_bus_buffer.scala 523:27] + wire _T_3845 = _T_3841 | _T_3844; // @[el2_lsu_bus_buffer.scala 522:77] + wire _T_3846 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 524:26] + wire _T_3848 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 524:44] + wire _T_3849 = _T_3846 & _T_3848; // @[el2_lsu_bus_buffer.scala 524:42] + wire _T_3850 = _T_3849 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 524:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_3835 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 524:74] - wire _T_3837 = _T_3829 | _T_3836; // @[el2_lsu_bus_buffer.scala 523:71] - wire _T_3838 = bus_rsp_read & _T_3837; // @[el2_lsu_bus_buffer.scala 522:25] - wire _T_3839 = _T_3824 | _T_3838; // @[el2_lsu_bus_buffer.scala 521:105] - wire _GEN_118 = _T_3778 & _T_3839; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3744 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3740 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3865 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3875 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 536:21] + wire _T_3851 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_3852 = _T_3850 & _T_3851; // @[el2_lsu_bus_buffer.scala 524:74] + wire _T_3853 = _T_3845 | _T_3852; // @[el2_lsu_bus_buffer.scala 523:71] + wire _T_3854 = bus_rsp_read & _T_3853; // @[el2_lsu_bus_buffer.scala 522:25] + wire _T_3855 = _T_3840 | _T_3854; // @[el2_lsu_bus_buffer.scala 521:105] + wire _GEN_118 = _T_3794 & _T_3855; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3760 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3756 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3733 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3881 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3891 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 536:21] wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 536:58] wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 536:58] wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_3877 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_3878 = _T_3875[0] & _T_3877; // @[el2_lsu_bus_buffer.scala 536:38] - wire _T_3879 = _T_3835 | _T_3878; // @[el2_lsu_bus_buffer.scala 535:95] - wire _T_3880 = bus_rsp_read & _T_3879; // @[el2_lsu_bus_buffer.scala 535:45] - wire _GEN_112 = _T_3865 & _T_3880; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3778 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3744 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3740 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3757 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] - wire _T_3758 = _T_3757 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] - wire _T_3883 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3886 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 541:37] - wire _T_3887 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] - wire _T_3888 = buf_dual_1 & _T_3887; // @[el2_lsu_bus_buffer.scala 541:80] - wire _T_3889 = _T_3886 | _T_3888; // @[el2_lsu_bus_buffer.scala 541:65] - wire _T_3890 = _T_3889 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] - wire _T_3891 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3883 ? _T_3890 : _T_3891; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3865 ? _T_3758 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3778 ? _T_3758 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3744 ? _T_3758 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3740 ? _T_3550 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3717 ? _T_3733 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_3893 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_3894 = _T_3891[0] & _T_3893; // @[el2_lsu_bus_buffer.scala 536:38] + wire _T_3895 = _T_3851 | _T_3894; // @[el2_lsu_bus_buffer.scala 535:95] + wire _T_3896 = bus_rsp_read & _T_3895; // @[el2_lsu_bus_buffer.scala 535:45] + wire _GEN_112 = _T_3881 & _T_3896; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3794 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3760 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3756 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3733 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3773 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] + wire _T_3774 = _T_3773 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] + wire _T_3899 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3902 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 541:37] + wire _T_3903 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] + wire _T_3904 = buf_dual_1 & _T_3903; // @[el2_lsu_bus_buffer.scala 541:80] + wire _T_3905 = _T_3902 | _T_3904; // @[el2_lsu_bus_buffer.scala 541:65] + wire _T_3906 = _T_3905 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] + wire _T_3907 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3899 ? _T_3906 : _T_3907; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3881 ? _T_3774 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3794 ? _T_3774 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3760 ? _T_3774 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3756 ? _T_3566 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3733 ? _T_3749 : _GEN_140; // @[Conditional.scala 40:58] wire _T_2243 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 458:94] wire _T_2253 = _T_2149 & _T_1880; // @[el2_lsu_bus_buffer.scala 460:71] wire _T_2255 = _T_2253 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2256 = _T_4462 | _T_2255; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2256 = _T_4478 | _T_2255; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2260 = _T_2156 & _T_1883; // @[el2_lsu_bus_buffer.scala 461:52] wire _T_2262 = _T_2260 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2263 = _T_2256 | _T_2262; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2264 = _T_2243 & _T_2263; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2266 = _T_2264 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2280 = _T_2253 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2281 = _T_4467 | _T_2280; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2281 = _T_4483 | _T_2280; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2287 = _T_2260 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2288 = _T_2281 | _T_2287; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2289 = _T_2243 & _T_2288; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2291 = _T_2289 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2305 = _T_2253 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2306 = _T_4472 | _T_2305; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2306 = _T_4488 | _T_2305; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2312 = _T_2260 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2313 = _T_2306 | _T_2312; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2314 = _T_2243 & _T_2313; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2316 = _T_2314 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2330 = _T_2253 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2331 = _T_4477 | _T_2330; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2331 = _T_4493 | _T_2330; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2337 = _T_2260 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2338 = _T_2331 | _T_2337; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2339 = _T_2243 & _T_2338; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2341 = _T_2339 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 461:97] wire [2:0] _T_2343 = {_T_2341,_T_2316,_T_2291}; // @[Cat.scala 29:58] - wire _T_3917 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] - wire _T_3918 = _T_3530 & _T_3917; // @[el2_lsu_bus_buffer.scala 496:112] - wire _T_3920 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] - wire _T_3921 = _T_3533 & _T_3920; // @[el2_lsu_bus_buffer.scala 496:161] - wire _T_3922 = _T_3918 | _T_3921; // @[el2_lsu_bus_buffer.scala 496:132] - wire _T_3923 = _T_845 & _T_3922; // @[el2_lsu_bus_buffer.scala 496:63] - wire _T_3924 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] - wire _T_3925 = ibuf_drain_vld & _T_3924; // @[el2_lsu_bus_buffer.scala 496:201] - wire _T_3926 = _T_3923 | _T_3925; // @[el2_lsu_bus_buffer.scala 496:183] - wire _T_3971 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4016 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 521:73] - wire _T_4017 = bus_rsp_write & _T_4016; // @[el2_lsu_bus_buffer.scala 521:52] - wire _T_4018 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 522:46] + wire _T_3933 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] + wire _T_3934 = _T_3546 & _T_3933; // @[el2_lsu_bus_buffer.scala 496:112] + wire _T_3936 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] + wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 496:161] + wire _T_3938 = _T_3934 | _T_3937; // @[el2_lsu_bus_buffer.scala 496:132] + wire _T_3939 = _T_845 & _T_3938; // @[el2_lsu_bus_buffer.scala 496:63] + wire _T_3940 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] + wire _T_3941 = ibuf_drain_vld & _T_3940; // @[el2_lsu_bus_buffer.scala 496:201] + wire _T_3942 = _T_3939 | _T_3941; // @[el2_lsu_bus_buffer.scala 496:183] + wire _T_3987 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4032 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 521:73] + wire _T_4033 = bus_rsp_write & _T_4032; // @[el2_lsu_bus_buffer.scala 521:52] + wire _T_4034 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 522:46] wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_4020 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_4021 = buf_ldfwd[2] & _T_4020; // @[el2_lsu_bus_buffer.scala 523:27] - wire _T_4022 = _T_4018 | _T_4021; // @[el2_lsu_bus_buffer.scala 522:77] - wire _T_4023 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 524:26] - wire _T_4025 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 524:44] - wire _T_4026 = _T_4023 & _T_4025; // @[el2_lsu_bus_buffer.scala 524:42] - wire _T_4027 = _T_4026 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 524:58] + wire _T_4036 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_4037 = buf_ldfwd[2] & _T_4036; // @[el2_lsu_bus_buffer.scala 523:27] + wire _T_4038 = _T_4034 | _T_4037; // @[el2_lsu_bus_buffer.scala 522:77] + wire _T_4039 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 524:26] + wire _T_4041 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 524:44] + wire _T_4042 = _T_4039 & _T_4041; // @[el2_lsu_bus_buffer.scala 524:42] + wire _T_4043 = _T_4042 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 524:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_4028 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 524:74] - wire _T_4030 = _T_4022 | _T_4029; // @[el2_lsu_bus_buffer.scala 523:71] - wire _T_4031 = bus_rsp_read & _T_4030; // @[el2_lsu_bus_buffer.scala 522:25] - wire _T_4032 = _T_4017 | _T_4031; // @[el2_lsu_bus_buffer.scala 521:105] - wire _GEN_194 = _T_3971 & _T_4032; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3937 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3933 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_4058 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4068 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 536:21] + wire _T_4044 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_4045 = _T_4043 & _T_4044; // @[el2_lsu_bus_buffer.scala 524:74] + wire _T_4046 = _T_4038 | _T_4045; // @[el2_lsu_bus_buffer.scala 523:71] + wire _T_4047 = bus_rsp_read & _T_4046; // @[el2_lsu_bus_buffer.scala 522:25] + wire _T_4048 = _T_4033 | _T_4047; // @[el2_lsu_bus_buffer.scala 521:105] + wire _GEN_194 = _T_3987 & _T_4048; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3953 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3949 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3926 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4074 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4084 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 536:21] wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 536:58] wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 536:58] wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_4070 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_4071 = _T_4068[0] & _T_4070; // @[el2_lsu_bus_buffer.scala 536:38] - wire _T_4072 = _T_4028 | _T_4071; // @[el2_lsu_bus_buffer.scala 535:95] - wire _T_4073 = bus_rsp_read & _T_4072; // @[el2_lsu_bus_buffer.scala 535:45] - wire _GEN_188 = _T_4058 & _T_4073; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3971 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3937 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3933 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3950 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] - wire _T_3951 = _T_3950 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] - wire _T_4076 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4079 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 541:37] - wire _T_4080 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] - wire _T_4081 = buf_dual_2 & _T_4080; // @[el2_lsu_bus_buffer.scala 541:80] - wire _T_4082 = _T_4079 | _T_4081; // @[el2_lsu_bus_buffer.scala 541:65] - wire _T_4083 = _T_4082 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] - wire _T_4084 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_4076 ? _T_4083 : _T_4084; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_4058 ? _T_3951 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3971 ? _T_3951 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3937 ? _T_3951 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3933 ? _T_3550 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3910 ? _T_3926 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_4086 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_4087 = _T_4084[0] & _T_4086; // @[el2_lsu_bus_buffer.scala 536:38] + wire _T_4088 = _T_4044 | _T_4087; // @[el2_lsu_bus_buffer.scala 535:95] + wire _T_4089 = bus_rsp_read & _T_4088; // @[el2_lsu_bus_buffer.scala 535:45] + wire _GEN_188 = _T_4074 & _T_4089; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3987 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3953 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3949 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3926 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3966 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] + wire _T_3967 = _T_3966 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] + wire _T_4092 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4095 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 541:37] + wire _T_4096 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] + wire _T_4097 = buf_dual_2 & _T_4096; // @[el2_lsu_bus_buffer.scala 541:80] + wire _T_4098 = _T_4095 | _T_4097; // @[el2_lsu_bus_buffer.scala 541:65] + wire _T_4099 = _T_4098 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] + wire _T_4100 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4092 ? _T_4099 : _T_4100; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4074 ? _T_3967 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3987 ? _T_3967 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3953 ? _T_3967 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3949 ? _T_3566 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3926 ? _T_3942 : _GEN_216; // @[Conditional.scala 40:58] wire _T_2345 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 458:94] wire _T_2355 = _T_2149 & _T_1891; // @[el2_lsu_bus_buffer.scala 460:71] wire _T_2357 = _T_2355 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2358 = _T_4462 | _T_2357; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2358 = _T_4478 | _T_2357; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2362 = _T_2156 & _T_1894; // @[el2_lsu_bus_buffer.scala 461:52] wire _T_2364 = _T_2362 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2365 = _T_2358 | _T_2364; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2366 = _T_2345 & _T_2365; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2368 = _T_2366 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2382 = _T_2355 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2383 = _T_4467 | _T_2382; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2383 = _T_4483 | _T_2382; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2389 = _T_2362 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2390 = _T_2383 | _T_2389; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2391 = _T_2345 & _T_2390; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2393 = _T_2391 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2407 = _T_2355 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2408 = _T_4472 | _T_2407; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2408 = _T_4488 | _T_2407; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2414 = _T_2362 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2415 = _T_2408 | _T_2414; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2416 = _T_2345 & _T_2415; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2418 = _T_2416 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2432 = _T_2355 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2433 = _T_4477 | _T_2432; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2433 = _T_4493 | _T_2432; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2439 = _T_2362 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2440 = _T_2433 | _T_2439; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2441 = _T_2345 & _T_2440; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2443 = _T_2441 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 461:97] wire [2:0] _T_2445 = {_T_2443,_T_2418,_T_2393}; // @[Cat.scala 29:58] - wire _T_4110 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] - wire _T_4111 = _T_3530 & _T_4110; // @[el2_lsu_bus_buffer.scala 496:112] - wire _T_4113 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] - wire _T_4114 = _T_3533 & _T_4113; // @[el2_lsu_bus_buffer.scala 496:161] - wire _T_4115 = _T_4111 | _T_4114; // @[el2_lsu_bus_buffer.scala 496:132] - wire _T_4116 = _T_845 & _T_4115; // @[el2_lsu_bus_buffer.scala 496:63] - wire _T_4117 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] - wire _T_4118 = ibuf_drain_vld & _T_4117; // @[el2_lsu_bus_buffer.scala 496:201] - wire _T_4119 = _T_4116 | _T_4118; // @[el2_lsu_bus_buffer.scala 496:183] - wire _T_4164 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4209 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 521:73] - wire _T_4210 = bus_rsp_write & _T_4209; // @[el2_lsu_bus_buffer.scala 521:52] - wire _T_4211 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 522:46] + wire _T_4126 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] + wire _T_4127 = _T_3546 & _T_4126; // @[el2_lsu_bus_buffer.scala 496:112] + wire _T_4129 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] + wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 496:161] + wire _T_4131 = _T_4127 | _T_4130; // @[el2_lsu_bus_buffer.scala 496:132] + wire _T_4132 = _T_845 & _T_4131; // @[el2_lsu_bus_buffer.scala 496:63] + wire _T_4133 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] + wire _T_4134 = ibuf_drain_vld & _T_4133; // @[el2_lsu_bus_buffer.scala 496:201] + wire _T_4135 = _T_4132 | _T_4134; // @[el2_lsu_bus_buffer.scala 496:183] + wire _T_4180 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4225 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 521:73] + wire _T_4226 = bus_rsp_write & _T_4225; // @[el2_lsu_bus_buffer.scala 521:52] + wire _T_4227 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 522:46] wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_4213 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[el2_lsu_bus_buffer.scala 523:27] - wire _T_4215 = _T_4211 | _T_4214; // @[el2_lsu_bus_buffer.scala 522:77] - wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 524:26] - wire _T_4218 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 524:44] - wire _T_4219 = _T_4216 & _T_4218; // @[el2_lsu_bus_buffer.scala 524:42] - wire _T_4220 = _T_4219 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 524:58] + wire _T_4229 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_4230 = buf_ldfwd[3] & _T_4229; // @[el2_lsu_bus_buffer.scala 523:27] + wire _T_4231 = _T_4227 | _T_4230; // @[el2_lsu_bus_buffer.scala 522:77] + wire _T_4232 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 524:26] + wire _T_4234 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 524:44] + wire _T_4235 = _T_4232 & _T_4234; // @[el2_lsu_bus_buffer.scala 524:42] + wire _T_4236 = _T_4235 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 524:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_4221 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 524:74] - wire _T_4223 = _T_4215 | _T_4222; // @[el2_lsu_bus_buffer.scala 523:71] - wire _T_4224 = bus_rsp_read & _T_4223; // @[el2_lsu_bus_buffer.scala 522:25] - wire _T_4225 = _T_4210 | _T_4224; // @[el2_lsu_bus_buffer.scala 521:105] - wire _GEN_270 = _T_4164 & _T_4225; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4130 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4126 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4251 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4261 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 536:21] + wire _T_4237 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_4238 = _T_4236 & _T_4237; // @[el2_lsu_bus_buffer.scala 524:74] + wire _T_4239 = _T_4231 | _T_4238; // @[el2_lsu_bus_buffer.scala 523:71] + wire _T_4240 = bus_rsp_read & _T_4239; // @[el2_lsu_bus_buffer.scala 522:25] + wire _T_4241 = _T_4226 | _T_4240; // @[el2_lsu_bus_buffer.scala 521:105] + wire _GEN_270 = _T_4180 & _T_4241; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4146 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4142 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4119 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4267 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4277 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 536:21] wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 536:58] wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 536:58] wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_4263 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_4264 = _T_4261[0] & _T_4263; // @[el2_lsu_bus_buffer.scala 536:38] - wire _T_4265 = _T_4221 | _T_4264; // @[el2_lsu_bus_buffer.scala 535:95] - wire _T_4266 = bus_rsp_read & _T_4265; // @[el2_lsu_bus_buffer.scala 535:45] - wire _GEN_264 = _T_4251 & _T_4266; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4164 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4130 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4126 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4143 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] - wire _T_4144 = _T_4143 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] - wire _T_4269 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4272 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 541:37] - wire _T_4273 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] - wire _T_4274 = buf_dual_3 & _T_4273; // @[el2_lsu_bus_buffer.scala 541:80] - wire _T_4275 = _T_4272 | _T_4274; // @[el2_lsu_bus_buffer.scala 541:65] - wire _T_4276 = _T_4275 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] - wire _T_4277 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4269 ? _T_4276 : _T_4277; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4251 ? _T_4144 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4164 ? _T_4144 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4130 ? _T_4144 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4126 ? _T_3550 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4103 ? _T_4119 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_4279 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_4280 = _T_4277[0] & _T_4279; // @[el2_lsu_bus_buffer.scala 536:38] + wire _T_4281 = _T_4237 | _T_4280; // @[el2_lsu_bus_buffer.scala 535:95] + wire _T_4282 = bus_rsp_read & _T_4281; // @[el2_lsu_bus_buffer.scala 535:45] + wire _GEN_264 = _T_4267 & _T_4282; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4180 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4146 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4142 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4119 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4159 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] + wire _T_4160 = _T_4159 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] + wire _T_4285 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4288 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 541:37] + wire _T_4289 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] + wire _T_4290 = buf_dual_3 & _T_4289; // @[el2_lsu_bus_buffer.scala 541:80] + wire _T_4291 = _T_4288 | _T_4290; // @[el2_lsu_bus_buffer.scala 541:65] + wire _T_4292 = _T_4291 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] + wire _T_4293 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4285 ? _T_4292 : _T_4293; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4267 ? _T_4160 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4180 ? _T_4160 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4146 ? _T_4160 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4142 ? _T_3566 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4119 ? _T_4135 : _GEN_292; // @[Conditional.scala 40:58] wire _T_2447 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 458:94] wire _T_2457 = _T_2149 & _T_1902; // @[el2_lsu_bus_buffer.scala 460:71] wire _T_2459 = _T_2457 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2460 = _T_4462 | _T_2459; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2460 = _T_4478 | _T_2459; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2464 = _T_2156 & _T_1905; // @[el2_lsu_bus_buffer.scala 461:52] wire _T_2466 = _T_2464 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2467 = _T_2460 | _T_2466; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2468 = _T_2447 & _T_2467; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2470 = _T_2468 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2484 = _T_2457 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2485 = _T_4467 | _T_2484; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2485 = _T_4483 | _T_2484; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2491 = _T_2464 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2492 = _T_2485 | _T_2491; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2493 = _T_2447 & _T_2492; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2495 = _T_2493 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2509 = _T_2457 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2510 = _T_4472 | _T_2509; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2510 = _T_4488 | _T_2509; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2516 = _T_2464 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2517 = _T_2510 | _T_2516; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2518 = _T_2447 & _T_2517; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2520 = _T_2518 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 461:97] wire _T_2534 = _T_2457 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2535 = _T_4477 | _T_2534; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2535 = _T_4493 | _T_2534; // @[el2_lsu_bus_buffer.scala 459:86] wire _T_2541 = _T_2464 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] wire _T_2542 = _T_2535 | _T_2541; // @[el2_lsu_bus_buffer.scala 460:114] wire _T_2543 = _T_2447 & _T_2542; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2545 = _T_2543 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 461:97] wire [2:0] _T_2547 = {_T_2545,_T_2520,_T_2495}; // @[Cat.scala 29:58] - wire _T_2795 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] - wire _T_2796 = _T_1866 | _T_2795; // @[el2_lsu_bus_buffer.scala 469:34] - wire _T_2797 = ~_T_2796; // @[el2_lsu_bus_buffer.scala 469:8] - wire _T_2805 = _T_2797 | _T_2153; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2812 = _T_2805 | _T_2160; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2813 = _T_2141 & _T_2812; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2817 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] - wire _T_2818 = _T_1877 | _T_2817; // @[el2_lsu_bus_buffer.scala 469:34] - wire _T_2819 = ~_T_2818; // @[el2_lsu_bus_buffer.scala 469:8] - wire _T_2827 = _T_2819 | _T_2178; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2834 = _T_2827 | _T_2185; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2835 = _T_2141 & _T_2834; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2839 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] - wire _T_2840 = _T_1888 | _T_2839; // @[el2_lsu_bus_buffer.scala 469:34] - wire _T_2841 = ~_T_2840; // @[el2_lsu_bus_buffer.scala 469:8] - wire _T_2849 = _T_2841 | _T_2203; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2856 = _T_2849 | _T_2210; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2857 = _T_2141 & _T_2856; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2861 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] - wire _T_2862 = _T_1899 | _T_2861; // @[el2_lsu_bus_buffer.scala 469:34] - wire _T_2863 = ~_T_2862; // @[el2_lsu_bus_buffer.scala 469:8] - wire _T_2871 = _T_2863 | _T_2228; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2878 = _T_2871 | _T_2235; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2879 = _T_2141 & _T_2878; // @[el2_lsu_bus_buffer.scala 468:114] - wire [3:0] buf_rspage_set_0 = {_T_2879,_T_2857,_T_2835,_T_2813}; // @[Cat.scala 29:58] - wire _T_2896 = _T_2797 | _T_2255; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2903 = _T_2896 | _T_2262; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2904 = _T_2243 & _T_2903; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2918 = _T_2819 | _T_2280; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2925 = _T_2918 | _T_2287; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2926 = _T_2243 & _T_2925; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2940 = _T_2841 | _T_2305; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2947 = _T_2940 | _T_2312; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2948 = _T_2243 & _T_2947; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2962 = _T_2863 | _T_2330; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2969 = _T_2962 | _T_2337; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2970 = _T_2243 & _T_2969; // @[el2_lsu_bus_buffer.scala 468:114] - wire [3:0] buf_rspage_set_1 = {_T_2970,_T_2948,_T_2926,_T_2904}; // @[Cat.scala 29:58] - wire _T_2987 = _T_2797 | _T_2357; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2994 = _T_2987 | _T_2364; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2995 = _T_2345 & _T_2994; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3009 = _T_2819 | _T_2382; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3016 = _T_3009 | _T_2389; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3017 = _T_2345 & _T_3016; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3031 = _T_2841 | _T_2407; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3038 = _T_3031 | _T_2414; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3039 = _T_2345 & _T_3038; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3053 = _T_2863 | _T_2432; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3060 = _T_3053 | _T_2439; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3061 = _T_2345 & _T_3060; // @[el2_lsu_bus_buffer.scala 468:114] - wire [3:0] buf_rspage_set_2 = {_T_3061,_T_3039,_T_3017,_T_2995}; // @[Cat.scala 29:58] - wire _T_3078 = _T_2797 | _T_2459; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3085 = _T_3078 | _T_2466; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3086 = _T_2447 & _T_3085; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3100 = _T_2819 | _T_2484; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3107 = _T_3100 | _T_2491; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3108 = _T_2447 & _T_3107; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3122 = _T_2841 | _T_2509; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3129 = _T_3122 | _T_2516; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3130 = _T_2447 & _T_3129; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3144 = _T_2863 | _T_2534; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3151 = _T_3144 | _T_2541; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3152 = _T_2447 & _T_3151; // @[el2_lsu_bus_buffer.scala 468:114] - wire [3:0] buf_rspage_set_3 = {_T_3152,_T_3130,_T_3108,_T_3086}; // @[Cat.scala 29:58] - wire _T_3237 = _T_2861 | _T_1899; // @[el2_lsu_bus_buffer.scala 473:112] - wire _T_3238 = ~_T_3237; // @[el2_lsu_bus_buffer.scala 473:86] - wire _T_3239 = buf_rspageQ_0[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3231 = _T_2839 | _T_1888; // @[el2_lsu_bus_buffer.scala 473:112] - wire _T_3232 = ~_T_3231; // @[el2_lsu_bus_buffer.scala 473:86] - wire _T_3233 = buf_rspageQ_0[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3225 = _T_2817 | _T_1877; // @[el2_lsu_bus_buffer.scala 473:112] - wire _T_3226 = ~_T_3225; // @[el2_lsu_bus_buffer.scala 473:86] - wire _T_3227 = buf_rspageQ_0[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3219 = _T_2795 | _T_1866; // @[el2_lsu_bus_buffer.scala 473:112] - wire _T_3220 = ~_T_3219; // @[el2_lsu_bus_buffer.scala 473:86] - wire _T_3221 = buf_rspageQ_0[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] - wire [3:0] buf_rspage_0 = {_T_3239,_T_3233,_T_3227,_T_3221}; // @[Cat.scala 29:58] - wire _T_3158 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3161 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3164 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3167 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 472:90] - wire [2:0] _T_3169 = {_T_3167,_T_3164,_T_3161}; // @[Cat.scala 29:58] - wire _T_3266 = buf_rspageQ_1[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3260 = buf_rspageQ_1[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3254 = buf_rspageQ_1[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3248 = buf_rspageQ_1[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] - wire [3:0] buf_rspage_1 = {_T_3266,_T_3260,_T_3254,_T_3248}; // @[Cat.scala 29:58] - wire _T_3173 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3176 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3179 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3182 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 472:90] - wire [2:0] _T_3184 = {_T_3182,_T_3179,_T_3176}; // @[Cat.scala 29:58] - wire _T_3293 = buf_rspageQ_2[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3287 = buf_rspageQ_2[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3281 = buf_rspageQ_2[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3275 = buf_rspageQ_2[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] - wire [3:0] buf_rspage_2 = {_T_3293,_T_3287,_T_3281,_T_3275}; // @[Cat.scala 29:58] - wire _T_3188 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3191 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3194 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3197 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 472:90] - wire [2:0] _T_3199 = {_T_3197,_T_3194,_T_3191}; // @[Cat.scala 29:58] - wire _T_3320 = buf_rspageQ_3[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3314 = buf_rspageQ_3[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3308 = buf_rspageQ_3[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3302 = buf_rspageQ_3[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] - wire [3:0] buf_rspage_3 = {_T_3320,_T_3314,_T_3308,_T_3302}; // @[Cat.scala 29:58] - wire _T_3203 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3206 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3209 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3212 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 472:90] - wire [2:0] _T_3214 = {_T_3212,_T_3209,_T_3206}; // @[Cat.scala 29:58] - wire _T_3325 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 478:65] - wire _T_3327 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 478:65] - wire _T_3329 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 478:65] - wire _T_3331 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 478:65] - wire [3:0] ibuf_drainvec_vld = {_T_3331,_T_3329,_T_3327,_T_3325}; // @[Cat.scala 29:58] - wire _T_3339 = _T_3533 & _T_1872; // @[el2_lsu_bus_buffer.scala 480:37] - wire _T_3348 = _T_3533 & _T_1883; // @[el2_lsu_bus_buffer.scala 480:37] - wire _T_3357 = _T_3533 & _T_1894; // @[el2_lsu_bus_buffer.scala 480:37] - wire _T_3366 = _T_3533 & _T_1905; // @[el2_lsu_bus_buffer.scala 480:37] - wire _T_3396 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] - wire _T_3398 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] - wire _T_3400 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] - wire _T_3402 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] - wire [3:0] buf_dual_in = {_T_3402,_T_3400,_T_3398,_T_3396}; // @[Cat.scala 29:58] - wire _T_3407 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3409 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3411 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3413 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] - wire [3:0] buf_samedw_in = {_T_3413,_T_3411,_T_3409,_T_3407}; // @[Cat.scala 29:58] - wire _T_3418 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 484:86] - wire _T_3419 = ibuf_drainvec_vld[0] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] - wire _T_3422 = ibuf_drainvec_vld[1] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] - wire _T_3425 = ibuf_drainvec_vld[2] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] - wire _T_3428 = ibuf_drainvec_vld[3] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] - wire [3:0] buf_nomerge_in = {_T_3428,_T_3425,_T_3422,_T_3419}; // @[Cat.scala 29:58] - wire _T_3436 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3339; // @[el2_lsu_bus_buffer.scala 485:49] - wire _T_3441 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3348; // @[el2_lsu_bus_buffer.scala 485:49] - wire _T_3446 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3357; // @[el2_lsu_bus_buffer.scala 485:49] - wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3366; // @[el2_lsu_bus_buffer.scala 485:49] - wire [3:0] buf_dualhi_in = {_T_3451,_T_3446,_T_3441,_T_3436}; // @[Cat.scala 29:58] - wire _T_3480 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] - wire _T_3482 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] - wire _T_3484 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] - wire _T_3486 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] - wire [3:0] buf_sideeffect_in = {_T_3486,_T_3484,_T_3482,_T_3480}; // @[Cat.scala 29:58] - wire _T_3491 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_3493 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_3497 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] - wire [3:0] buf_unsign_in = {_T_3497,_T_3495,_T_3493,_T_3491}; // @[Cat.scala 29:58] - wire _T_3514 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] - wire _T_3516 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] - wire _T_3518 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] - wire _T_3520 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] - wire [3:0] buf_write_in = {_T_3520,_T_3518,_T_3516,_T_3514}; // @[Cat.scala 29:58] - wire _T_3553 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:89] - wire _T_3555 = _T_3553 & _T_1364; // @[el2_lsu_bus_buffer.scala 506:104] - wire _T_3568 = buf_state_en_0 & _T_1217; // @[el2_lsu_bus_buffer.scala 511:44] - wire _T_3569 = _T_3568 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] - wire _T_3571 = _T_3569 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] - wire _T_3574 = _T_3564 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] - wire _T_3575 = _T_3574 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] - wire _T_4860 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 618:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4860; // @[el2_lsu_bus_buffer.scala 618:38] - wire _T_3578 = _T_3574 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] - wire _T_3653 = bus_rsp_read_error & _T_3632; // @[el2_lsu_bus_buffer.scala 528:91] - wire _T_3655 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 529:31] - wire _T_3657 = _T_3655 & _T_3634; // @[el2_lsu_bus_buffer.scala 529:46] - wire _T_3658 = _T_3653 | _T_3657; // @[el2_lsu_bus_buffer.scala 528:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4860; // @[el2_lsu_bus_buffer.scala 617:40] - wire _T_3661 = bus_rsp_write_error & _T_3630; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_3662 = _T_3658 | _T_3661; // @[el2_lsu_bus_buffer.scala 529:88] - wire _T_3663 = _T_3564 & _T_3662; // @[el2_lsu_bus_buffer.scala 528:68] - wire _GEN_46 = _T_3585 & _T_3663; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3551 ? _T_3578 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3547 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3524 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3588 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 518:73] - wire _T_3589 = buf_write[0] & _T_3588; // @[el2_lsu_bus_buffer.scala 518:71] - wire _T_3590 = io_dec_tlu_force_halt | _T_3589; // @[el2_lsu_bus_buffer.scala 518:55] - wire _T_3592 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 519:30] - wire _T_3593 = buf_dual_0 & _T_3592; // @[el2_lsu_bus_buffer.scala 519:28] - wire _T_3596 = _T_3593 & _T_1217; // @[el2_lsu_bus_buffer.scala 519:45] + wire _T_2811 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2812 = _T_1866 | _T_2811; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2813 = ~_T_2812; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2821 = _T_2813 | _T_2153; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2828 = _T_2821 | _T_2160; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2829 = _T_2141 & _T_2828; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2833 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2834 = _T_1877 | _T_2833; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2835 = ~_T_2834; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2843 = _T_2835 | _T_2178; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2850 = _T_2843 | _T_2185; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2851 = _T_2141 & _T_2850; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2855 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2856 = _T_1888 | _T_2855; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2857 = ~_T_2856; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2865 = _T_2857 | _T_2203; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2872 = _T_2865 | _T_2210; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2873 = _T_2141 & _T_2872; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2877 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2878 = _T_1899 | _T_2877; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2879 = ~_T_2878; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2887 = _T_2879 | _T_2228; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2894 = _T_2887 | _T_2235; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2895 = _T_2141 & _T_2894; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_0 = {_T_2895,_T_2873,_T_2851,_T_2829}; // @[Cat.scala 29:58] + wire _T_2912 = _T_2813 | _T_2255; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2919 = _T_2912 | _T_2262; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2920 = _T_2243 & _T_2919; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2934 = _T_2835 | _T_2280; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2941 = _T_2934 | _T_2287; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2942 = _T_2243 & _T_2941; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2956 = _T_2857 | _T_2305; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2963 = _T_2956 | _T_2312; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2964 = _T_2243 & _T_2963; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2978 = _T_2879 | _T_2330; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2985 = _T_2978 | _T_2337; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2986 = _T_2243 & _T_2985; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_1 = {_T_2986,_T_2964,_T_2942,_T_2920}; // @[Cat.scala 29:58] + wire _T_3003 = _T_2813 | _T_2357; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3010 = _T_3003 | _T_2364; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3011 = _T_2345 & _T_3010; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3025 = _T_2835 | _T_2382; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3032 = _T_3025 | _T_2389; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3033 = _T_2345 & _T_3032; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3047 = _T_2857 | _T_2407; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3054 = _T_3047 | _T_2414; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3055 = _T_2345 & _T_3054; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3069 = _T_2879 | _T_2432; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3076 = _T_3069 | _T_2439; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3077 = _T_2345 & _T_3076; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_2 = {_T_3077,_T_3055,_T_3033,_T_3011}; // @[Cat.scala 29:58] + wire _T_3094 = _T_2813 | _T_2459; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3101 = _T_3094 | _T_2466; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3102 = _T_2447 & _T_3101; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3116 = _T_2835 | _T_2484; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3123 = _T_3116 | _T_2491; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3124 = _T_2447 & _T_3123; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3138 = _T_2857 | _T_2509; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3145 = _T_3138 | _T_2516; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3146 = _T_2447 & _T_3145; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3160 = _T_2879 | _T_2534; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3167 = _T_3160 | _T_2541; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3168 = _T_2447 & _T_3167; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_3 = {_T_3168,_T_3146,_T_3124,_T_3102}; // @[Cat.scala 29:58] + wire _T_3253 = _T_2877 | _T_1899; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3254 = ~_T_3253; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3255 = buf_rspageQ_0[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3247 = _T_2855 | _T_1888; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3248 = ~_T_3247; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3249 = buf_rspageQ_0[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3241 = _T_2833 | _T_1877; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3242 = ~_T_3241; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3243 = buf_rspageQ_0[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3235 = _T_2811 | _T_1866; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3236 = ~_T_3235; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3237 = buf_rspageQ_0[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_0 = {_T_3255,_T_3249,_T_3243,_T_3237}; // @[Cat.scala 29:58] + wire _T_3174 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3177 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3180 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3183 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3185 = {_T_3183,_T_3180,_T_3177}; // @[Cat.scala 29:58] + wire _T_3282 = buf_rspageQ_1[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3276 = buf_rspageQ_1[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3270 = buf_rspageQ_1[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3264 = buf_rspageQ_1[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_1 = {_T_3282,_T_3276,_T_3270,_T_3264}; // @[Cat.scala 29:58] + wire _T_3189 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3192 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3195 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3198 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3200 = {_T_3198,_T_3195,_T_3192}; // @[Cat.scala 29:58] + wire _T_3309 = buf_rspageQ_2[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3303 = buf_rspageQ_2[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3297 = buf_rspageQ_2[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3291 = buf_rspageQ_2[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_2 = {_T_3309,_T_3303,_T_3297,_T_3291}; // @[Cat.scala 29:58] + wire _T_3204 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3207 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3210 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3213 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3215 = {_T_3213,_T_3210,_T_3207}; // @[Cat.scala 29:58] + wire _T_3336 = buf_rspageQ_3[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3330 = buf_rspageQ_3[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3324 = buf_rspageQ_3[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3318 = buf_rspageQ_3[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_3 = {_T_3336,_T_3330,_T_3324,_T_3318}; // @[Cat.scala 29:58] + wire _T_3219 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3222 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3225 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3228 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3230 = {_T_3228,_T_3225,_T_3222}; // @[Cat.scala 29:58] + wire _T_3341 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3343 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3345 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3347 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 478:65] + wire [3:0] ibuf_drainvec_vld = {_T_3347,_T_3345,_T_3343,_T_3341}; // @[Cat.scala 29:58] + wire _T_3355 = _T_3549 & _T_1872; // @[el2_lsu_bus_buffer.scala 480:37] + wire _T_3364 = _T_3549 & _T_1883; // @[el2_lsu_bus_buffer.scala 480:37] + wire _T_3373 = _T_3549 & _T_1894; // @[el2_lsu_bus_buffer.scala 480:37] + wire _T_3382 = _T_3549 & _T_1905; // @[el2_lsu_bus_buffer.scala 480:37] + wire _T_3412 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] + wire _T_3414 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] + wire _T_3416 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] + wire _T_3418 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] + wire [3:0] buf_dual_in = {_T_3418,_T_3416,_T_3414,_T_3412}; // @[Cat.scala 29:58] + wire _T_3423 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3425 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3427 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3429 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] + wire [3:0] buf_samedw_in = {_T_3429,_T_3427,_T_3425,_T_3423}; // @[Cat.scala 29:58] + wire _T_3434 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 484:86] + wire _T_3435 = ibuf_drainvec_vld[0] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] + wire _T_3438 = ibuf_drainvec_vld[1] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] + wire _T_3441 = ibuf_drainvec_vld[2] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] + wire _T_3444 = ibuf_drainvec_vld[3] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] + wire [3:0] buf_nomerge_in = {_T_3444,_T_3441,_T_3438,_T_3435}; // @[Cat.scala 29:58] + wire _T_3452 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3355; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3457 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3364; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3462 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3373; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3467 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3382; // @[el2_lsu_bus_buffer.scala 485:49] + wire [3:0] buf_dualhi_in = {_T_3467,_T_3462,_T_3457,_T_3452}; // @[Cat.scala 29:58] + wire _T_3496 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] + wire _T_3498 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] + wire _T_3500 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] + wire _T_3502 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] + wire [3:0] buf_sideeffect_in = {_T_3502,_T_3500,_T_3498,_T_3496}; // @[Cat.scala 29:58] + wire _T_3507 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3509 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3511 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3513 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] + wire [3:0] buf_unsign_in = {_T_3513,_T_3511,_T_3509,_T_3507}; // @[Cat.scala 29:58] + wire _T_3530 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] + wire _T_3532 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] + wire _T_3534 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] + wire _T_3536 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] + wire [3:0] buf_write_in = {_T_3536,_T_3534,_T_3532,_T_3530}; // @[Cat.scala 29:58] + wire _T_3569 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:89] + wire _T_3571 = _T_3569 & _T_1364; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3584 = buf_state_en_0 & _T_1217; // @[el2_lsu_bus_buffer.scala 511:44] + wire _T_3585 = _T_3584 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] + wire _T_3587 = _T_3585 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] + wire _T_3590 = _T_3580 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] + wire _T_3591 = _T_3590 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] + wire _T_4876 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 618:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4876; // @[el2_lsu_bus_buffer.scala 618:38] + wire _T_3594 = _T_3590 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] + wire _T_3669 = bus_rsp_read_error & _T_3648; // @[el2_lsu_bus_buffer.scala 528:91] + wire _T_3671 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3673 = _T_3671 & _T_3650; // @[el2_lsu_bus_buffer.scala 529:46] + wire _T_3674 = _T_3669 | _T_3673; // @[el2_lsu_bus_buffer.scala 528:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4876; // @[el2_lsu_bus_buffer.scala 617:40] + wire _T_3677 = bus_rsp_write_error & _T_3646; // @[el2_lsu_bus_buffer.scala 530:53] + wire _T_3678 = _T_3674 | _T_3677; // @[el2_lsu_bus_buffer.scala 529:88] + wire _T_3679 = _T_3580 & _T_3678; // @[el2_lsu_bus_buffer.scala 528:68] + wire _GEN_46 = _T_3601 & _T_3679; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3567 ? _T_3594 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3563 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3540 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3604 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 518:73] + wire _T_3605 = buf_write[0] & _T_3604; // @[el2_lsu_bus_buffer.scala 518:71] + wire _T_3606 = io_dec_tlu_force_halt | _T_3605; // @[el2_lsu_bus_buffer.scala 518:55] + wire _T_3608 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 519:30] + wire _T_3609 = buf_dual_0 & _T_3608; // @[el2_lsu_bus_buffer.scala 519:28] + wire _T_3612 = _T_3609 & _T_1217; // @[el2_lsu_bus_buffer.scala 519:45] wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 519:90] wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3597 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3598 = _T_3596 & _T_3597; // @[el2_lsu_bus_buffer.scala 519:61] - wire _T_4485 = _T_2742 | _T_2739; // @[el2_lsu_bus_buffer.scala 577:93] - wire _T_4486 = _T_4485 | _T_2736; // @[el2_lsu_bus_buffer.scala 577:93] - wire any_done_wait_state = _T_4486 | _T_2733; // @[el2_lsu_bus_buffer.scala 577:93] - wire _T_3600 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] - wire _T_3606 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3608 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3610 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3612 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3614 = _T_3606 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3615 = _T_3608 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3616 = _T_3610 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3617 = _T_3612 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3618 = _T_3614 | _T_3615; // @[Mux.scala 27:72] - wire _T_3619 = _T_3618 | _T_3616; // @[Mux.scala 27:72] - wire _T_3620 = _T_3619 | _T_3617; // @[Mux.scala 27:72] - wire _T_3622 = _T_3596 & _T_3620; // @[el2_lsu_bus_buffer.scala 520:101] - wire _T_3623 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] - wire _T_3624 = _T_3622 & _T_3623; // @[el2_lsu_bus_buffer.scala 520:138] - wire _T_3625 = _T_3624 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] - wire _T_3626 = _T_3600 | _T_3625; // @[el2_lsu_bus_buffer.scala 520:53] - wire _T_3649 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] - wire _T_3650 = _T_3649 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] - wire _T_3664 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 531:50] - wire _T_3665 = buf_state_en_0 & _T_3664; // @[el2_lsu_bus_buffer.scala 531:48] - wire _T_3677 = buf_ldfwd[0] | _T_3682[0]; // @[el2_lsu_bus_buffer.scala 534:90] - wire _T_3678 = _T_3677 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] - wire _GEN_29 = _T_3698 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3690 ? 1'h0 : _T_3698; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3690 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3672 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3672 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3585 & _T_3650; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3585 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3585 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3551 ? _T_3571 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3551 ? _T_3575 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3551 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3547 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3547 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3547 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3524 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3524 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3524 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3524 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3761 = buf_state_en_1 & _T_3832; // @[el2_lsu_bus_buffer.scala 511:44] - wire _T_3762 = _T_3761 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] - wire _T_3764 = _T_3762 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] - wire _T_3767 = _T_3757 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] - wire _T_3768 = _T_3767 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] - wire _T_3771 = _T_3767 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] - wire _T_3846 = bus_rsp_read_error & _T_3825; // @[el2_lsu_bus_buffer.scala 528:91] - wire _T_3848 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 529:31] - wire _T_3850 = _T_3848 & _T_3827; // @[el2_lsu_bus_buffer.scala 529:46] - wire _T_3851 = _T_3846 | _T_3850; // @[el2_lsu_bus_buffer.scala 528:143] - wire _T_3854 = bus_rsp_write_error & _T_3823; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_3855 = _T_3851 | _T_3854; // @[el2_lsu_bus_buffer.scala 529:88] - wire _T_3856 = _T_3757 & _T_3855; // @[el2_lsu_bus_buffer.scala 528:68] - wire _GEN_122 = _T_3778 & _T_3856; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3744 ? _T_3771 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3740 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3717 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3782 = buf_write[1] & _T_3588; // @[el2_lsu_bus_buffer.scala 518:71] - wire _T_3783 = io_dec_tlu_force_halt | _T_3782; // @[el2_lsu_bus_buffer.scala 518:55] - wire _T_3785 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 519:30] - wire _T_3786 = buf_dual_1 & _T_3785; // @[el2_lsu_bus_buffer.scala 519:28] - wire _T_3789 = _T_3786 & _T_3832; // @[el2_lsu_bus_buffer.scala 519:45] + wire _T_3613 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_3614 = _T_3612 & _T_3613; // @[el2_lsu_bus_buffer.scala 519:61] + wire _T_4501 = _T_2758 | _T_2755; // @[el2_lsu_bus_buffer.scala 577:93] + wire _T_4502 = _T_4501 | _T_2752; // @[el2_lsu_bus_buffer.scala 577:93] + wire any_done_wait_state = _T_4502 | _T_2749; // @[el2_lsu_bus_buffer.scala 577:93] + wire _T_3616 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] + wire _T_3622 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3624 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3626 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3628 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3630 = _T_3622 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3631 = _T_3624 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3632 = _T_3626 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3633 = _T_3628 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3634 = _T_3630 | _T_3631; // @[Mux.scala 27:72] + wire _T_3635 = _T_3634 | _T_3632; // @[Mux.scala 27:72] + wire _T_3636 = _T_3635 | _T_3633; // @[Mux.scala 27:72] + wire _T_3638 = _T_3612 & _T_3636; // @[el2_lsu_bus_buffer.scala 520:101] + wire _T_3639 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] + wire _T_3640 = _T_3638 & _T_3639; // @[el2_lsu_bus_buffer.scala 520:138] + wire _T_3641 = _T_3640 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] + wire _T_3642 = _T_3616 | _T_3641; // @[el2_lsu_bus_buffer.scala 520:53] + wire _T_3665 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] + wire _T_3666 = _T_3665 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] + wire _T_3680 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 531:50] + wire _T_3681 = buf_state_en_0 & _T_3680; // @[el2_lsu_bus_buffer.scala 531:48] + wire _T_3693 = buf_ldfwd[0] | _T_3698[0]; // @[el2_lsu_bus_buffer.scala 534:90] + wire _T_3694 = _T_3693 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] + wire _GEN_29 = _T_3714 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3706 ? 1'h0 : _T_3714; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3706 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3688 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3688 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3601 & _T_3666; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3601 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3601 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3567 ? _T_3587 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3567 ? _T_3591 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3567 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3563 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3563 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3563 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3540 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_data_en_0 = _T_3540 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3540 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3540 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3777 = buf_state_en_1 & _T_3848; // @[el2_lsu_bus_buffer.scala 511:44] + wire _T_3778 = _T_3777 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] + wire _T_3780 = _T_3778 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] + wire _T_3783 = _T_3773 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] + wire _T_3784 = _T_3783 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] + wire _T_3787 = _T_3783 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] + wire _T_3862 = bus_rsp_read_error & _T_3841; // @[el2_lsu_bus_buffer.scala 528:91] + wire _T_3864 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3866 = _T_3864 & _T_3843; // @[el2_lsu_bus_buffer.scala 529:46] + wire _T_3867 = _T_3862 | _T_3866; // @[el2_lsu_bus_buffer.scala 528:143] + wire _T_3870 = bus_rsp_write_error & _T_3839; // @[el2_lsu_bus_buffer.scala 530:53] + wire _T_3871 = _T_3867 | _T_3870; // @[el2_lsu_bus_buffer.scala 529:88] + wire _T_3872 = _T_3773 & _T_3871; // @[el2_lsu_bus_buffer.scala 528:68] + wire _GEN_122 = _T_3794 & _T_3872; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3760 ? _T_3787 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3756 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3733 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3798 = buf_write[1] & _T_3604; // @[el2_lsu_bus_buffer.scala 518:71] + wire _T_3799 = io_dec_tlu_force_halt | _T_3798; // @[el2_lsu_bus_buffer.scala 518:55] + wire _T_3801 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 519:30] + wire _T_3802 = buf_dual_1 & _T_3801; // @[el2_lsu_bus_buffer.scala 519:28] + wire _T_3805 = _T_3802 & _T_3848; // @[el2_lsu_bus_buffer.scala 519:45] wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 519:90] wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3790 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3791 = _T_3789 & _T_3790; // @[el2_lsu_bus_buffer.scala 519:61] - wire _T_3793 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] - wire _T_3799 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3801 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3803 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3805 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3807 = _T_3799 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3808 = _T_3801 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3809 = _T_3803 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3810 = _T_3805 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3811 = _T_3807 | _T_3808; // @[Mux.scala 27:72] - wire _T_3812 = _T_3811 | _T_3809; // @[Mux.scala 27:72] - wire _T_3813 = _T_3812 | _T_3810; // @[Mux.scala 27:72] - wire _T_3815 = _T_3789 & _T_3813; // @[el2_lsu_bus_buffer.scala 520:101] - wire _T_3816 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] - wire _T_3817 = _T_3815 & _T_3816; // @[el2_lsu_bus_buffer.scala 520:138] - wire _T_3818 = _T_3817 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] - wire _T_3819 = _T_3793 | _T_3818; // @[el2_lsu_bus_buffer.scala 520:53] - wire _T_3842 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] - wire _T_3843 = _T_3842 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] - wire _T_3857 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 531:50] - wire _T_3858 = buf_state_en_1 & _T_3857; // @[el2_lsu_bus_buffer.scala 531:48] - wire _T_3870 = buf_ldfwd[1] | _T_3875[0]; // @[el2_lsu_bus_buffer.scala 534:90] - wire _T_3871 = _T_3870 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] - wire _GEN_105 = _T_3891 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3883 ? 1'h0 : _T_3891; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3883 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3865 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3865 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3778 & _T_3843; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3778 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3778 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3744 ? _T_3764 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3744 ? _T_3768 : _GEN_121; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3744 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3740 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3740 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3740 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3717 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3717 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3717 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3717 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3954 = buf_state_en_2 & _T_4025; // @[el2_lsu_bus_buffer.scala 511:44] - wire _T_3955 = _T_3954 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] - wire _T_3957 = _T_3955 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] - wire _T_3960 = _T_3950 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] - wire _T_3961 = _T_3960 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] - wire _T_3964 = _T_3960 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] - wire _T_4039 = bus_rsp_read_error & _T_4018; // @[el2_lsu_bus_buffer.scala 528:91] - wire _T_4041 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 529:31] - wire _T_4043 = _T_4041 & _T_4020; // @[el2_lsu_bus_buffer.scala 529:46] - wire _T_4044 = _T_4039 | _T_4043; // @[el2_lsu_bus_buffer.scala 528:143] - wire _T_4047 = bus_rsp_write_error & _T_4016; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_4048 = _T_4044 | _T_4047; // @[el2_lsu_bus_buffer.scala 529:88] - wire _T_4049 = _T_3950 & _T_4048; // @[el2_lsu_bus_buffer.scala 528:68] - wire _GEN_198 = _T_3971 & _T_4049; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3937 ? _T_3964 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3933 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3910 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3975 = buf_write[2] & _T_3588; // @[el2_lsu_bus_buffer.scala 518:71] - wire _T_3976 = io_dec_tlu_force_halt | _T_3975; // @[el2_lsu_bus_buffer.scala 518:55] - wire _T_3978 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 519:30] - wire _T_3979 = buf_dual_2 & _T_3978; // @[el2_lsu_bus_buffer.scala 519:28] - wire _T_3982 = _T_3979 & _T_4025; // @[el2_lsu_bus_buffer.scala 519:45] + wire _T_3806 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_3807 = _T_3805 & _T_3806; // @[el2_lsu_bus_buffer.scala 519:61] + wire _T_3809 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] + wire _T_3815 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3817 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3819 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3821 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3823 = _T_3815 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3824 = _T_3817 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3825 = _T_3819 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3826 = _T_3821 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3827 = _T_3823 | _T_3824; // @[Mux.scala 27:72] + wire _T_3828 = _T_3827 | _T_3825; // @[Mux.scala 27:72] + wire _T_3829 = _T_3828 | _T_3826; // @[Mux.scala 27:72] + wire _T_3831 = _T_3805 & _T_3829; // @[el2_lsu_bus_buffer.scala 520:101] + wire _T_3832 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] + wire _T_3833 = _T_3831 & _T_3832; // @[el2_lsu_bus_buffer.scala 520:138] + wire _T_3834 = _T_3833 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] + wire _T_3835 = _T_3809 | _T_3834; // @[el2_lsu_bus_buffer.scala 520:53] + wire _T_3858 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] + wire _T_3859 = _T_3858 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] + wire _T_3873 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 531:50] + wire _T_3874 = buf_state_en_1 & _T_3873; // @[el2_lsu_bus_buffer.scala 531:48] + wire _T_3886 = buf_ldfwd[1] | _T_3891[0]; // @[el2_lsu_bus_buffer.scala 534:90] + wire _T_3887 = _T_3886 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] + wire _GEN_105 = _T_3907 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3899 ? 1'h0 : _T_3907; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3899 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3881 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3881 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3794 & _T_3859; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3794 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3794 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3760 ? _T_3780 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3760 ? _T_3784 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3760 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3756 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3756 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3756 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3733 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_data_en_1 = _T_3733 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3733 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3733 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3970 = buf_state_en_2 & _T_4041; // @[el2_lsu_bus_buffer.scala 511:44] + wire _T_3971 = _T_3970 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] + wire _T_3973 = _T_3971 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] + wire _T_3976 = _T_3966 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] + wire _T_3977 = _T_3976 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] + wire _T_3980 = _T_3976 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] + wire _T_4055 = bus_rsp_read_error & _T_4034; // @[el2_lsu_bus_buffer.scala 528:91] + wire _T_4057 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_4059 = _T_4057 & _T_4036; // @[el2_lsu_bus_buffer.scala 529:46] + wire _T_4060 = _T_4055 | _T_4059; // @[el2_lsu_bus_buffer.scala 528:143] + wire _T_4063 = bus_rsp_write_error & _T_4032; // @[el2_lsu_bus_buffer.scala 530:53] + wire _T_4064 = _T_4060 | _T_4063; // @[el2_lsu_bus_buffer.scala 529:88] + wire _T_4065 = _T_3966 & _T_4064; // @[el2_lsu_bus_buffer.scala 528:68] + wire _GEN_198 = _T_3987 & _T_4065; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3953 ? _T_3980 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3949 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3926 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_3991 = buf_write[2] & _T_3604; // @[el2_lsu_bus_buffer.scala 518:71] + wire _T_3992 = io_dec_tlu_force_halt | _T_3991; // @[el2_lsu_bus_buffer.scala 518:55] + wire _T_3994 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 519:30] + wire _T_3995 = buf_dual_2 & _T_3994; // @[el2_lsu_bus_buffer.scala 519:28] + wire _T_3998 = _T_3995 & _T_4041; // @[el2_lsu_bus_buffer.scala 519:45] wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 519:90] wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3983 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3984 = _T_3982 & _T_3983; // @[el2_lsu_bus_buffer.scala 519:61] - wire _T_3986 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] - wire _T_3992 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3994 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3996 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3998 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4000 = _T_3992 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4001 = _T_3994 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4002 = _T_3996 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4003 = _T_3998 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4004 = _T_4000 | _T_4001; // @[Mux.scala 27:72] - wire _T_4005 = _T_4004 | _T_4002; // @[Mux.scala 27:72] - wire _T_4006 = _T_4005 | _T_4003; // @[Mux.scala 27:72] - wire _T_4008 = _T_3982 & _T_4006; // @[el2_lsu_bus_buffer.scala 520:101] - wire _T_4009 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] - wire _T_4010 = _T_4008 & _T_4009; // @[el2_lsu_bus_buffer.scala 520:138] - wire _T_4011 = _T_4010 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] - wire _T_4012 = _T_3986 | _T_4011; // @[el2_lsu_bus_buffer.scala 520:53] - wire _T_4035 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] - wire _T_4036 = _T_4035 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] - wire _T_4050 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 531:50] - wire _T_4051 = buf_state_en_2 & _T_4050; // @[el2_lsu_bus_buffer.scala 531:48] - wire _T_4063 = buf_ldfwd[2] | _T_4068[0]; // @[el2_lsu_bus_buffer.scala 534:90] - wire _T_4064 = _T_4063 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] - wire _GEN_181 = _T_4084 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_4076 ? 1'h0 : _T_4084; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_4076 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_4058 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_4058 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3971 & _T_4036; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3971 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3971 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3937 ? _T_3957 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3937 ? _T_3961 : _GEN_197; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3937 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3933 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3933 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3933 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3910 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3910 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3910 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3910 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4147 = buf_state_en_3 & _T_4218; // @[el2_lsu_bus_buffer.scala 511:44] - wire _T_4148 = _T_4147 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] - wire _T_4150 = _T_4148 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] - wire _T_4153 = _T_4143 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] - wire _T_4154 = _T_4153 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] - wire _T_4157 = _T_4153 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] - wire _T_4232 = bus_rsp_read_error & _T_4211; // @[el2_lsu_bus_buffer.scala 528:91] - wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 529:31] - wire _T_4236 = _T_4234 & _T_4213; // @[el2_lsu_bus_buffer.scala 529:46] - wire _T_4237 = _T_4232 | _T_4236; // @[el2_lsu_bus_buffer.scala 528:143] - wire _T_4240 = bus_rsp_write_error & _T_4209; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_4241 = _T_4237 | _T_4240; // @[el2_lsu_bus_buffer.scala 529:88] - wire _T_4242 = _T_4143 & _T_4241; // @[el2_lsu_bus_buffer.scala 528:68] - wire _GEN_274 = _T_4164 & _T_4242; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4130 ? _T_4157 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4126 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4103 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4168 = buf_write[3] & _T_3588; // @[el2_lsu_bus_buffer.scala 518:71] - wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[el2_lsu_bus_buffer.scala 518:55] - wire _T_4171 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 519:30] - wire _T_4172 = buf_dual_3 & _T_4171; // @[el2_lsu_bus_buffer.scala 519:28] - wire _T_4175 = _T_4172 & _T_4218; // @[el2_lsu_bus_buffer.scala 519:45] + wire _T_3999 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_4000 = _T_3998 & _T_3999; // @[el2_lsu_bus_buffer.scala 519:61] + wire _T_4002 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] + wire _T_4008 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4010 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4012 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4014 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4016 = _T_4008 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4017 = _T_4010 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4018 = _T_4012 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4019 = _T_4014 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4020 = _T_4016 | _T_4017; // @[Mux.scala 27:72] + wire _T_4021 = _T_4020 | _T_4018; // @[Mux.scala 27:72] + wire _T_4022 = _T_4021 | _T_4019; // @[Mux.scala 27:72] + wire _T_4024 = _T_3998 & _T_4022; // @[el2_lsu_bus_buffer.scala 520:101] + wire _T_4025 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] + wire _T_4026 = _T_4024 & _T_4025; // @[el2_lsu_bus_buffer.scala 520:138] + wire _T_4027 = _T_4026 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] + wire _T_4028 = _T_4002 | _T_4027; // @[el2_lsu_bus_buffer.scala 520:53] + wire _T_4051 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] + wire _T_4052 = _T_4051 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] + wire _T_4066 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 531:50] + wire _T_4067 = buf_state_en_2 & _T_4066; // @[el2_lsu_bus_buffer.scala 531:48] + wire _T_4079 = buf_ldfwd[2] | _T_4084[0]; // @[el2_lsu_bus_buffer.scala 534:90] + wire _T_4080 = _T_4079 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] + wire _GEN_181 = _T_4100 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4092 ? 1'h0 : _T_4100; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4092 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4074 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4074 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3987 & _T_4052; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3987 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3987 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3953 ? _T_3973 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3953 ? _T_3977 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3953 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3949 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3949 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3949 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3926 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_data_en_2 = _T_3926 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3926 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3926 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4163 = buf_state_en_3 & _T_4234; // @[el2_lsu_bus_buffer.scala 511:44] + wire _T_4164 = _T_4163 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] + wire _T_4166 = _T_4164 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] + wire _T_4169 = _T_4159 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] + wire _T_4170 = _T_4169 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] + wire _T_4173 = _T_4169 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] + wire _T_4248 = bus_rsp_read_error & _T_4227; // @[el2_lsu_bus_buffer.scala 528:91] + wire _T_4250 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_4252 = _T_4250 & _T_4229; // @[el2_lsu_bus_buffer.scala 529:46] + wire _T_4253 = _T_4248 | _T_4252; // @[el2_lsu_bus_buffer.scala 528:143] + wire _T_4256 = bus_rsp_write_error & _T_4225; // @[el2_lsu_bus_buffer.scala 530:53] + wire _T_4257 = _T_4253 | _T_4256; // @[el2_lsu_bus_buffer.scala 529:88] + wire _T_4258 = _T_4159 & _T_4257; // @[el2_lsu_bus_buffer.scala 528:68] + wire _GEN_274 = _T_4180 & _T_4258; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4146 ? _T_4173 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4142 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4119 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4184 = buf_write[3] & _T_3604; // @[el2_lsu_bus_buffer.scala 518:71] + wire _T_4185 = io_dec_tlu_force_halt | _T_4184; // @[el2_lsu_bus_buffer.scala 518:55] + wire _T_4187 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 519:30] + wire _T_4188 = buf_dual_3 & _T_4187; // @[el2_lsu_bus_buffer.scala 519:28] + wire _T_4191 = _T_4188 & _T_4234; // @[el2_lsu_bus_buffer.scala 519:45] wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 519:90] wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_4176 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_4177 = _T_4175 & _T_4176; // @[el2_lsu_bus_buffer.scala 519:61] - wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] - wire _T_4185 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4187 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4189 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4191 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4193 = _T_4185 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4194 = _T_4187 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4195 = _T_4189 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4196 = _T_4191 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4197 = _T_4193 | _T_4194; // @[Mux.scala 27:72] - wire _T_4198 = _T_4197 | _T_4195; // @[Mux.scala 27:72] - wire _T_4199 = _T_4198 | _T_4196; // @[Mux.scala 27:72] - wire _T_4201 = _T_4175 & _T_4199; // @[el2_lsu_bus_buffer.scala 520:101] - wire _T_4202 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] - wire _T_4203 = _T_4201 & _T_4202; // @[el2_lsu_bus_buffer.scala 520:138] - wire _T_4204 = _T_4203 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] - wire _T_4205 = _T_4179 | _T_4204; // @[el2_lsu_bus_buffer.scala 520:53] - wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] - wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] - wire _T_4243 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 531:50] - wire _T_4244 = buf_state_en_3 & _T_4243; // @[el2_lsu_bus_buffer.scala 531:48] - wire _T_4256 = buf_ldfwd[3] | _T_4261[0]; // @[el2_lsu_bus_buffer.scala 534:90] - wire _T_4257 = _T_4256 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] - wire _GEN_257 = _T_4277 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4269 ? 1'h0 : _T_4277; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4269 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4251 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4251 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4164 & _T_4229; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4164 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4164 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4130 ? _T_4150 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4130 ? _T_4154 : _GEN_273; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4130 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4126 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4126 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4126 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4103 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4103 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4103 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4103 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4332; // @[Reg.scala 27:20] - reg _T_4335; // @[Reg.scala 27:20] - reg _T_4338; // @[Reg.scala 27:20] - reg _T_4341; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4341,_T_4338,_T_4335,_T_4332}; // @[Cat.scala 29:58] - reg _T_4407; // @[el2_lsu_bus_buffer.scala 570:82] - reg _T_4402; // @[el2_lsu_bus_buffer.scala 570:82] - reg _T_4397; // @[el2_lsu_bus_buffer.scala 570:82] - reg _T_4392; // @[el2_lsu_bus_buffer.scala 570:82] - wire [3:0] buf_error = {_T_4407,_T_4402,_T_4397,_T_4392}; // @[Cat.scala 29:58] - wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 570:86] - wire _T_4390 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 570:128] - wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 570:86] - wire _T_4395 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 570:128] - wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 570:86] - wire _T_4400 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 570:128] - wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 570:86] - wire _T_4405 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 570:128] - wire [2:0] _T_4412 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4418 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 573:96] + wire _T_4192 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_4193 = _T_4191 & _T_4192; // @[el2_lsu_bus_buffer.scala 519:61] + wire _T_4195 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] + wire _T_4201 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4203 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4205 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4207 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4209 = _T_4201 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4210 = _T_4203 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4211 = _T_4205 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4212 = _T_4207 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4213 = _T_4209 | _T_4210; // @[Mux.scala 27:72] + wire _T_4214 = _T_4213 | _T_4211; // @[Mux.scala 27:72] + wire _T_4215 = _T_4214 | _T_4212; // @[Mux.scala 27:72] + wire _T_4217 = _T_4191 & _T_4215; // @[el2_lsu_bus_buffer.scala 520:101] + wire _T_4218 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] + wire _T_4219 = _T_4217 & _T_4218; // @[el2_lsu_bus_buffer.scala 520:138] + wire _T_4220 = _T_4219 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] + wire _T_4221 = _T_4195 | _T_4220; // @[el2_lsu_bus_buffer.scala 520:53] + wire _T_4244 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] + wire _T_4245 = _T_4244 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] + wire _T_4259 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 531:50] + wire _T_4260 = buf_state_en_3 & _T_4259; // @[el2_lsu_bus_buffer.scala 531:48] + wire _T_4272 = buf_ldfwd[3] | _T_4277[0]; // @[el2_lsu_bus_buffer.scala 534:90] + wire _T_4273 = _T_4272 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] + wire _GEN_257 = _T_4293 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4285 ? 1'h0 : _T_4293; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4285 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4267 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4267 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4180 & _T_4245; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4180 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4180 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4146 ? _T_4166 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4146 ? _T_4170 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4146 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4142 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4142 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4142 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4119 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4119 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4119 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4119 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4348; // @[Reg.scala 27:20] + reg _T_4351; // @[Reg.scala 27:20] + reg _T_4354; // @[Reg.scala 27:20] + reg _T_4357; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4357,_T_4354,_T_4351,_T_4348}; // @[Cat.scala 29:58] + reg _T_4423; // @[el2_lsu_bus_buffer.scala 570:82] + reg _T_4418; // @[el2_lsu_bus_buffer.scala 570:82] + reg _T_4413; // @[el2_lsu_bus_buffer.scala 570:82] + reg _T_4408; // @[el2_lsu_bus_buffer.scala 570:82] + wire [3:0] buf_error = {_T_4423,_T_4418,_T_4413,_T_4408}; // @[Cat.scala 29:58] + wire _T_4405 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 570:86] + wire _T_4406 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 570:128] + wire _T_4410 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 570:86] + wire _T_4411 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 570:128] + wire _T_4415 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 570:86] + wire _T_4416 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 570:128] + wire _T_4420 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 570:86] + wire _T_4421 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 570:128] + wire [2:0] _T_4428 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] + wire [1:0] _T_4434 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 573:96] wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 573:96] - wire [2:0] _T_4419 = _T_4418 + _GEN_388; // @[el2_lsu_bus_buffer.scala 573:96] + wire [2:0] _T_4435 = _T_4434 + _GEN_388; // @[el2_lsu_bus_buffer.scala 573:96] wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 573:96] - wire [3:0] buf_numvld_any = _T_4419 + _GEN_389; // @[el2_lsu_bus_buffer.scala 573:96] - wire _T_4489 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 579:52] - wire _T_4490 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 579:92] - wire _T_4491 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 579:119] - wire _T_4493 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4494 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4495 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4496 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4497 = _T_4493 | _T_4494; // @[el2_lsu_bus_buffer.scala 580:65] - wire _T_4498 = _T_4497 | _T_4495; // @[el2_lsu_bus_buffer.scala 580:65] - wire _T_4499 = _T_4498 | _T_4496; // @[el2_lsu_bus_buffer.scala 580:65] - wire _T_4500 = ~_T_4499; // @[el2_lsu_bus_buffer.scala 580:34] - wire _T_4502 = _T_4500 & _T_844; // @[el2_lsu_bus_buffer.scala 580:70] - wire _T_4505 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 582:51] - wire _T_4506 = _T_4505 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 582:72] - wire _T_4507 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 582:94] - wire _T_4508 = _T_4506 & _T_4507; // @[el2_lsu_bus_buffer.scala 582:92] - wire _T_4509 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 582:111] - wire _T_4511 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 585:61] + wire [3:0] buf_numvld_any = _T_4435 + _GEN_389; // @[el2_lsu_bus_buffer.scala 573:96] + wire _T_4505 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4506 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 579:92] + wire _T_4507 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 579:119] + wire _T_4509 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4510 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4511 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4512 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4513 = _T_4509 | _T_4510; // @[el2_lsu_bus_buffer.scala 580:65] + wire _T_4514 = _T_4513 | _T_4511; // @[el2_lsu_bus_buffer.scala 580:65] + wire _T_4515 = _T_4514 | _T_4512; // @[el2_lsu_bus_buffer.scala 580:65] + wire _T_4516 = ~_T_4515; // @[el2_lsu_bus_buffer.scala 580:34] + wire _T_4518 = _T_4516 & _T_844; // @[el2_lsu_bus_buffer.scala 580:70] + wire _T_4521 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 582:51] + wire _T_4522 = _T_4521 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 582:72] + wire _T_4523 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 582:94] + wire _T_4524 = _T_4522 & _T_4523; // @[el2_lsu_bus_buffer.scala 582:92] + wire _T_4525 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 582:111] + wire _T_4527 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 585:61] reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 671:66] - wire _T_4529 = _T_2795 & _T_1217; // @[Mux.scala 27:72] - wire _T_4530 = _T_2817 & _T_3832; // @[Mux.scala 27:72] - wire _T_4531 = _T_2839 & _T_4025; // @[Mux.scala 27:72] - wire _T_4532 = _T_2861 & _T_4218; // @[Mux.scala 27:72] - wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] - wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] - wire _T_4540 = buf_error[0] & _T_1217; // @[el2_lsu_bus_buffer.scala 588:108] - wire _T_4545 = buf_error[1] & _T_3832; // @[el2_lsu_bus_buffer.scala 588:108] - wire _T_4550 = buf_error[2] & _T_4025; // @[el2_lsu_bus_buffer.scala 588:108] - wire _T_4555 = buf_error[3] & _T_4218; // @[el2_lsu_bus_buffer.scala 588:108] - wire _T_4556 = _T_2795 & _T_4540; // @[Mux.scala 27:72] - wire _T_4557 = _T_2817 & _T_4545; // @[Mux.scala 27:72] - wire _T_4558 = _T_2839 & _T_4550; // @[Mux.scala 27:72] - wire _T_4559 = _T_2861 & _T_4555; // @[Mux.scala 27:72] - wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] - wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] - wire _T_4568 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 589:109] - wire _T_4569 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 589:124] - wire _T_4570 = _T_4568 | _T_4569; // @[el2_lsu_bus_buffer.scala 589:122] - wire _T_4571 = _T_4529 & _T_4570; // @[el2_lsu_bus_buffer.scala 589:106] - wire _T_4576 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 589:109] - wire _T_4577 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 589:124] - wire _T_4578 = _T_4576 | _T_4577; // @[el2_lsu_bus_buffer.scala 589:122] - wire _T_4579 = _T_4530 & _T_4578; // @[el2_lsu_bus_buffer.scala 589:106] - wire _T_4584 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 589:109] - wire _T_4585 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 589:124] + wire _T_4545 = _T_2811 & _T_1217; // @[Mux.scala 27:72] + wire _T_4546 = _T_2833 & _T_3848; // @[Mux.scala 27:72] + wire _T_4547 = _T_2855 & _T_4041; // @[Mux.scala 27:72] + wire _T_4548 = _T_2877 & _T_4234; // @[Mux.scala 27:72] + wire _T_4549 = _T_4545 | _T_4546; // @[Mux.scala 27:72] + wire _T_4550 = _T_4549 | _T_4547; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4550 | _T_4548; // @[Mux.scala 27:72] + wire _T_4556 = buf_error[0] & _T_1217; // @[el2_lsu_bus_buffer.scala 588:108] + wire _T_4561 = buf_error[1] & _T_3848; // @[el2_lsu_bus_buffer.scala 588:108] + wire _T_4566 = buf_error[2] & _T_4041; // @[el2_lsu_bus_buffer.scala 588:108] + wire _T_4571 = buf_error[3] & _T_4234; // @[el2_lsu_bus_buffer.scala 588:108] + wire _T_4572 = _T_2811 & _T_4556; // @[Mux.scala 27:72] + wire _T_4573 = _T_2833 & _T_4561; // @[Mux.scala 27:72] + wire _T_4574 = _T_2855 & _T_4566; // @[Mux.scala 27:72] + wire _T_4575 = _T_2877 & _T_4571; // @[Mux.scala 27:72] + wire _T_4576 = _T_4572 | _T_4573; // @[Mux.scala 27:72] + wire _T_4577 = _T_4576 | _T_4574; // @[Mux.scala 27:72] + wire _T_4584 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 589:109] + wire _T_4585 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 589:124] wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 589:122] - wire _T_4587 = _T_4531 & _T_4586; // @[el2_lsu_bus_buffer.scala 589:106] - wire _T_4592 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 589:109] - wire _T_4593 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 589:124] + wire _T_4587 = _T_4545 & _T_4586; // @[el2_lsu_bus_buffer.scala 589:106] + wire _T_4592 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 589:109] + wire _T_4593 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 589:124] wire _T_4594 = _T_4592 | _T_4593; // @[el2_lsu_bus_buffer.scala 589:122] - wire _T_4595 = _T_4532 & _T_4594; // @[el2_lsu_bus_buffer.scala 589:106] - wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_390 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] - wire [1:0] _T_4601 = _GEN_390 | _T_4598; // @[Mux.scala 27:72] - wire [31:0] _T_4636 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4637 = _T_4579 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4638 = _T_4587 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4639 = _T_4595 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] - wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] - wire _T_4647 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 591:120] - wire _T_4648 = _T_4529 & _T_4647; // @[el2_lsu_bus_buffer.scala 591:105] - wire _T_4653 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 591:120] - wire _T_4654 = _T_4530 & _T_4653; // @[el2_lsu_bus_buffer.scala 591:105] - wire _T_4659 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 591:120] - wire _T_4660 = _T_4531 & _T_4659; // @[el2_lsu_bus_buffer.scala 591:105] - wire _T_4665 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 591:120] - wire _T_4666 = _T_4532 & _T_4665; // @[el2_lsu_bus_buffer.scala 591:105] - wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4670 = _T_4666 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4672 | _T_4670; // @[Mux.scala 27:72] - wire _T_4674 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4675 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4676 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4677 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4678 = _T_4674 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4679 = _T_4675 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4680 = _T_4676 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4681 = _T_4677 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] - wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] - wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[el2_lsu_bus_buffer.scala 592:83] - wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4693 = _T_4677 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4694 = _T_4690 | _T_4691; // @[Mux.scala 27:72] - wire [1:0] _T_4695 = _T_4694 | _T_4692; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4695 | _T_4693; // @[Mux.scala 27:72] - wire _T_4705 = _T_4674 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4706 = _T_4675 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4707 = _T_4676 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4708 = _T_4677 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4709 = _T_4705 | _T_4706; // @[Mux.scala 27:72] - wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] - wire [63:0] _T_4730 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire _T_4595 = _T_4546 & _T_4594; // @[el2_lsu_bus_buffer.scala 589:106] + wire _T_4600 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 589:109] + wire _T_4601 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 589:124] + wire _T_4602 = _T_4600 | _T_4601; // @[el2_lsu_bus_buffer.scala 589:122] + wire _T_4603 = _T_4547 & _T_4602; // @[el2_lsu_bus_buffer.scala 589:106] + wire _T_4608 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 589:109] + wire _T_4609 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 589:124] + wire _T_4610 = _T_4608 | _T_4609; // @[el2_lsu_bus_buffer.scala 589:122] + wire _T_4611 = _T_4548 & _T_4610; // @[el2_lsu_bus_buffer.scala 589:106] + wire [1:0] _T_4614 = _T_4603 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4615 = _T_4611 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_390 = {{1'd0}, _T_4595}; // @[Mux.scala 27:72] + wire [1:0] _T_4617 = _GEN_390 | _T_4614; // @[Mux.scala 27:72] + wire [31:0] _T_4652 = _T_4587 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4653 = _T_4595 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4654 = _T_4603 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4655 = _T_4611 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4656 = _T_4652 | _T_4653; // @[Mux.scala 27:72] + wire [31:0] _T_4657 = _T_4656 | _T_4654; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4657 | _T_4655; // @[Mux.scala 27:72] + wire _T_4663 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 591:120] + wire _T_4664 = _T_4545 & _T_4663; // @[el2_lsu_bus_buffer.scala 591:105] + wire _T_4669 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 591:120] + wire _T_4670 = _T_4546 & _T_4669; // @[el2_lsu_bus_buffer.scala 591:105] + wire _T_4675 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 591:120] + wire _T_4676 = _T_4547 & _T_4675; // @[el2_lsu_bus_buffer.scala 591:105] + wire _T_4681 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 591:120] + wire _T_4682 = _T_4548 & _T_4681; // @[el2_lsu_bus_buffer.scala 591:105] + wire [31:0] _T_4683 = _T_4664 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4684 = _T_4670 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4685 = _T_4676 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4686 = _T_4682 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4687 = _T_4683 | _T_4684; // @[Mux.scala 27:72] + wire [31:0] _T_4688 = _T_4687 | _T_4685; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4688 | _T_4686; // @[Mux.scala 27:72] + wire _T_4690 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4691 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4692 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4693 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] + wire [31:0] _T_4694 = _T_4690 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4695 = _T_4691 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4696 = _T_4692 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4697 = _T_4693 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] + wire [31:0] _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] + wire [31:0] _T_4700 = _T_4699 | _T_4697; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4700[1:0]; // @[el2_lsu_bus_buffer.scala 592:83] + wire [1:0] _T_4706 = _T_4690 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4707 = _T_4691 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4708 = _T_4692 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4709 = _T_4693 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4710 = _T_4706 | _T_4707; // @[Mux.scala 27:72] + wire [1:0] _T_4711 = _T_4710 | _T_4708; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4711 | _T_4709; // @[Mux.scala 27:72] + wire _T_4721 = _T_4690 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4722 = _T_4691 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4723 = _T_4692 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4724 = _T_4693 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4725 = _T_4721 | _T_4722; // @[Mux.scala 27:72] + wire _T_4726 = _T_4725 | _T_4723; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4726 | _T_4724; // @[Mux.scala 27:72] + wire [63:0] _T_4746 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 596:121] - wire [5:0] _T_4731 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 596:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4730 >> _T_4731; // @[el2_lsu_bus_buffer.scala 596:92] - wire _T_4732 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 599:69] - wire _T_4734 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 600:81] - wire _T_4735 = lsu_nonblock_unsign & _T_4734; // @[el2_lsu_bus_buffer.scala 600:63] - wire [31:0] _T_4737 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4738 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 601:45] - wire _T_4739 = lsu_nonblock_unsign & _T_4738; // @[el2_lsu_bus_buffer.scala 601:26] - wire [31:0] _T_4741 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4742 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 602:6] - wire _T_4744 = _T_4742 & _T_4734; // @[el2_lsu_bus_buffer.scala 602:27] - wire [23:0] _T_4747 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4749 = {_T_4747,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4752 = _T_4742 & _T_4738; // @[el2_lsu_bus_buffer.scala 603:27] - wire [15:0] _T_4755 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4757 = {_T_4755,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4758 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 604:21] - wire [31:0] _T_4759 = _T_4735 ? _T_4737 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4760 = _T_4739 ? _T_4741 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4761 = _T_4744 ? _T_4749 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4762 = _T_4752 ? _T_4757 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4763 = _T_4758 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4764 = _T_4759 | _T_4760; // @[Mux.scala 27:72] - wire [31:0] _T_4765 = _T_4764 | _T_4761; // @[Mux.scala 27:72] - wire [31:0] _T_4766 = _T_4765 | _T_4762; // @[Mux.scala 27:72] - wire [63:0] _GEN_392 = {{32'd0}, _T_4766}; // @[Mux.scala 27:72] - wire [63:0] _T_4767 = _GEN_392 | _T_4763; // @[Mux.scala 27:72] - wire _T_4862 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 622:36] - wire _T_4863 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 622:51] - wire _T_4864 = _T_4862 & _T_4863; // @[el2_lsu_bus_buffer.scala 622:49] - wire [31:0] _T_4868 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4870 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4875 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 634:50] - wire _T_4876 = _T_4862 & _T_4875; // @[el2_lsu_bus_buffer.scala 634:48] - wire [7:0] _T_4880 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4883 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 639:36] - wire _T_4885 = _T_4883 & _T_1362; // @[el2_lsu_bus_buffer.scala 639:50] - wire _T_4897 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 652:114] - wire _T_4899 = _T_4897 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 652:129] - wire _T_4902 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 652:114] - wire _T_4904 = _T_4902 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 652:129] - wire _T_4907 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 652:114] - wire _T_4909 = _T_4907 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 652:129] - wire _T_4912 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 652:114] - wire _T_4914 = _T_4912 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 652:129] - wire _T_4915 = _T_2795 & _T_4899; // @[Mux.scala 27:72] - wire _T_4916 = _T_2817 & _T_4904; // @[Mux.scala 27:72] - wire _T_4917 = _T_2839 & _T_4909; // @[Mux.scala 27:72] - wire _T_4918 = _T_2861 & _T_4914; // @[Mux.scala 27:72] - wire _T_4919 = _T_4915 | _T_4916; // @[Mux.scala 27:72] - wire _T_4920 = _T_4919 | _T_4917; // @[Mux.scala 27:72] - wire _T_4930 = _T_2817 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 653:98] - wire lsu_imprecise_error_store_tag = _T_4930 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 653:113] - wire _T_4936 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 655:72] - wire _T_4938 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4940 = _T_4938 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4941 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4942 = _T_4940 | _T_4941; // @[Mux.scala 27:72] - wire _T_4959 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 662:68] - wire _T_4962 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 663:48] - wire _T_4965 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 666:48] - wire _T_4966 = io_lsu_axi_awvalid & _T_4965; // @[el2_lsu_bus_buffer.scala 666:46] - wire _T_4967 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 666:92] - wire _T_4968 = io_lsu_axi_wvalid & _T_4967; // @[el2_lsu_bus_buffer.scala 666:90] - wire _T_4969 = _T_4966 | _T_4968; // @[el2_lsu_bus_buffer.scala 666:69] - wire _T_4970 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 666:136] - wire _T_4971 = io_lsu_axi_arvalid & _T_4970; // @[el2_lsu_bus_buffer.scala 666:134] - wire _T_4975 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 670:75] - wire _T_4976 = io_lsu_busreq_m & _T_4975; // @[el2_lsu_bus_buffer.scala 670:73] - reg _T_4979; // @[el2_lsu_bus_buffer.scala 670:56] + wire [5:0] _T_4747 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 596:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4746 >> _T_4747; // @[el2_lsu_bus_buffer.scala 596:92] + wire _T_4748 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 599:69] + wire _T_4750 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 600:81] + wire _T_4751 = lsu_nonblock_unsign & _T_4750; // @[el2_lsu_bus_buffer.scala 600:63] + wire [31:0] _T_4753 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4754 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 601:45] + wire _T_4755 = lsu_nonblock_unsign & _T_4754; // @[el2_lsu_bus_buffer.scala 601:26] + wire [31:0] _T_4757 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4758 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 602:6] + wire _T_4760 = _T_4758 & _T_4750; // @[el2_lsu_bus_buffer.scala 602:27] + wire [23:0] _T_4763 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4765 = {_T_4763,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4768 = _T_4758 & _T_4754; // @[el2_lsu_bus_buffer.scala 603:27] + wire [15:0] _T_4771 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4773 = {_T_4771,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4774 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 604:21] + wire [31:0] _T_4775 = _T_4751 ? _T_4753 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4776 = _T_4755 ? _T_4757 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4777 = _T_4760 ? _T_4765 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4778 = _T_4768 ? _T_4773 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4779 = _T_4774 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4780 = _T_4775 | _T_4776; // @[Mux.scala 27:72] + wire [31:0] _T_4781 = _T_4780 | _T_4777; // @[Mux.scala 27:72] + wire [31:0] _T_4782 = _T_4781 | _T_4778; // @[Mux.scala 27:72] + wire [63:0] _GEN_392 = {{32'd0}, _T_4782}; // @[Mux.scala 27:72] + wire [63:0] _T_4783 = _GEN_392 | _T_4779; // @[Mux.scala 27:72] + wire _T_4878 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 622:36] + wire _T_4879 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 622:51] + wire _T_4880 = _T_4878 & _T_4879; // @[el2_lsu_bus_buffer.scala 622:49] + wire [31:0] _T_4884 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4886 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4891 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 634:50] + wire _T_4892 = _T_4878 & _T_4891; // @[el2_lsu_bus_buffer.scala 634:48] + wire [7:0] _T_4896 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4899 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 639:36] + wire _T_4901 = _T_4899 & _T_1362; // @[el2_lsu_bus_buffer.scala 639:50] + wire _T_4913 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 652:114] + wire _T_4915 = _T_4913 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 652:129] + wire _T_4918 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 652:114] + wire _T_4920 = _T_4918 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 652:129] + wire _T_4923 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 652:114] + wire _T_4925 = _T_4923 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 652:129] + wire _T_4928 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 652:114] + wire _T_4930 = _T_4928 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 652:129] + wire _T_4931 = _T_2811 & _T_4915; // @[Mux.scala 27:72] + wire _T_4932 = _T_2833 & _T_4920; // @[Mux.scala 27:72] + wire _T_4933 = _T_2855 & _T_4925; // @[Mux.scala 27:72] + wire _T_4934 = _T_2877 & _T_4930; // @[Mux.scala 27:72] + wire _T_4935 = _T_4931 | _T_4932; // @[Mux.scala 27:72] + wire _T_4936 = _T_4935 | _T_4933; // @[Mux.scala 27:72] + wire _T_4946 = _T_2833 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 653:98] + wire lsu_imprecise_error_store_tag = _T_4946 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 653:113] + wire _T_4952 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 655:72] + wire _T_4954 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] + wire [31:0] _T_4956 = _T_4954 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4957 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4958 = _T_4956 | _T_4957; // @[Mux.scala 27:72] + wire _T_4975 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 662:68] + wire _T_4978 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 663:48] + wire _T_4981 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 666:48] + wire _T_4982 = io_lsu_axi_awvalid & _T_4981; // @[el2_lsu_bus_buffer.scala 666:46] + wire _T_4983 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 666:92] + wire _T_4984 = io_lsu_axi_wvalid & _T_4983; // @[el2_lsu_bus_buffer.scala 666:90] + wire _T_4985 = _T_4982 | _T_4984; // @[el2_lsu_bus_buffer.scala 666:69] + wire _T_4986 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 666:136] + wire _T_4987 = io_lsu_axi_arvalid & _T_4986; // @[el2_lsu_bus_buffer.scala 666:134] + wire _T_4991 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 670:75] + wire _T_4992 = io_lsu_busreq_m & _T_4991; // @[el2_lsu_bus_buffer.scala 670:73] + reg _T_4995; // @[el2_lsu_bus_buffer.scala 670:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2563,52 +2567,52 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4979; // @[el2_lsu_bus_buffer.scala 670:19] + assign io_lsu_busreq_r = _T_4995; // @[el2_lsu_bus_buffer.scala 670:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 578:30] - assign io_lsu_bus_buffer_full_any = _T_4489 ? _T_4490 : _T_4491; // @[el2_lsu_bus_buffer.scala 579:30] - assign io_lsu_bus_buffer_empty_any = _T_4502 & _T_1244; // @[el2_lsu_bus_buffer.scala 580:31] + assign io_lsu_bus_buffer_full_any = _T_4505 ? _T_4506 : _T_4507; // @[el2_lsu_bus_buffer.scala 579:30] + assign io_lsu_bus_buffer_empty_any = _T_4518 & _T_1244; // @[el2_lsu_bus_buffer.scala 580:31] assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 659:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 196:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 197:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 227:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4936; // @[el2_lsu_bus_buffer.scala 655:35] - assign io_lsu_imprecise_error_store_any = _T_4920 | _T_4918; // @[el2_lsu_bus_buffer.scala 652:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4942 : _T_4684; // @[el2_lsu_bus_buffer.scala 656:35] - assign io_lsu_nonblock_load_valid_m = _T_4508 & _T_4509; // @[el2_lsu_bus_buffer.scala 582:32] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4952; // @[el2_lsu_bus_buffer.scala 655:35] + assign io_lsu_imprecise_error_store_any = _T_4936 | _T_4934; // @[el2_lsu_bus_buffer.scala 652:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4958 : _T_4700; // @[el2_lsu_bus_buffer.scala 656:35] + assign io_lsu_nonblock_load_valid_m = _T_4524 & _T_4525; // @[el2_lsu_bus_buffer.scala 582:32] assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 583:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4511; // @[el2_lsu_bus_buffer.scala 585:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4527; // @[el2_lsu_bus_buffer.scala 585:30] assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 586:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4732; // @[el2_lsu_bus_buffer.scala 599:35] - assign io_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[el2_lsu_bus_buffer.scala 588:35] - assign io_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[el2_lsu_bus_buffer.scala 589:33] - assign io_lsu_nonblock_load_data = _T_4767[31:0]; // @[el2_lsu_bus_buffer.scala 600:29] - assign io_lsu_pmu_bus_trxn = _T_4959 | _T_4854; // @[el2_lsu_bus_buffer.scala 662:23] - assign io_lsu_pmu_bus_misaligned = _T_4962 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 663:29] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4748; // @[el2_lsu_bus_buffer.scala 599:35] + assign io_lsu_nonblock_load_data_error = _T_4577 | _T_4575; // @[el2_lsu_bus_buffer.scala 588:35] + assign io_lsu_nonblock_load_data_tag = _T_4617 | _T_4615; // @[el2_lsu_bus_buffer.scala 589:33] + assign io_lsu_nonblock_load_data = _T_4783[31:0]; // @[el2_lsu_bus_buffer.scala 600:29] + assign io_lsu_pmu_bus_trxn = _T_4975 | _T_4870; // @[el2_lsu_bus_buffer.scala 662:23] + assign io_lsu_pmu_bus_misaligned = _T_4978 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 663:29] assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 664:24] - assign io_lsu_pmu_bus_busy = _T_4969 | _T_4971; // @[el2_lsu_bus_buffer.scala 666:23] - assign io_lsu_axi_awvalid = _T_4864 & _T_1252; // @[el2_lsu_bus_buffer.scala 622:22] + assign io_lsu_pmu_bus_busy = _T_4985 | _T_4987; // @[el2_lsu_bus_buffer.scala 666:23] + assign io_lsu_axi_awvalid = _T_4880 & _T_1252; // @[el2_lsu_bus_buffer.scala 622:22] assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 623:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 624:21] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4884; // @[el2_lsu_bus_buffer.scala 624:21] assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 628:23] assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 629:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 625:21] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4886 : 3'h3; // @[el2_lsu_bus_buffer.scala 625:21] assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 630:22] assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 632:21] assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 627:22] assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 626:21] assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 631:20] - assign io_lsu_axi_wvalid = _T_4876 & _T_1252; // @[el2_lsu_bus_buffer.scala 634:21] + assign io_lsu_axi_wvalid = _T_4892 & _T_1252; // @[el2_lsu_bus_buffer.scala 634:21] assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 636:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4880; // @[el2_lsu_bus_buffer.scala 635:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4896; // @[el2_lsu_bus_buffer.scala 635:20] assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 637:20] assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 650:21] - assign io_lsu_axi_arvalid = _T_4885 & _T_1252; // @[el2_lsu_bus_buffer.scala 639:22] + assign io_lsu_axi_arvalid = _T_4901 & _T_1252; // @[el2_lsu_bus_buffer.scala 639:22] assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 640:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 641:21] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4884; // @[el2_lsu_bus_buffer.scala 641:21] assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 645:23] assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 646:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 642:21] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4886 : 3'h3; // @[el2_lsu_bus_buffer.scala 642:21] assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 647:22] assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 649:21] assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 644:22] @@ -2616,9 +2620,9 @@ module el2_lsu_bus_buffer( assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 648:20] assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 651:21] assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 256:11] - assign io_data_hi = _T_4672 | _T_4670; // @[el2_lsu_bus_buffer.scala 597:14] - assign io_data_lo = _T_4641 | _T_4639; // @[el2_lsu_bus_buffer.scala 598:14] - assign io_data_en = {_T_4412,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 571:14] + assign io_data_hi = _T_4688 | _T_4686; // @[el2_lsu_bus_buffer.scala 597:14] + assign io_data_lo = _T_4657 | _T_4655; // @[el2_lsu_bus_buffer.scala 598:14] + assign io_data_en = {_T_4428,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 571:14] assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 315:14] assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 357:14] assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 276:15] @@ -2637,28 +2641,28 @@ module el2_lsu_bus_buffer( assign rvclkhdr_3_io_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3524 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3540 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3717 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3733 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3910 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3926 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4103 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4119 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3524 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3540 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3717 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3733 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3910 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3926 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4103 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4119 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2698,13 +2702,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4356 = _RAND_1[0:0]; + _T_4372 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4353 = _RAND_2[0:0]; + _T_4369 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4350 = _RAND_3[0:0]; + _T_4366 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4347 = _RAND_4[0:0]; + _T_4363 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2796,13 +2800,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4326 = _RAND_50[0:0]; + _T_4342 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4323 = _RAND_51[0:0]; + _T_4339 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4320 = _RAND_52[0:0]; + _T_4336 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4317 = _RAND_53[0:0]; + _T_4333 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -2866,13 +2870,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4303 = _RAND_85[0:0]; + _T_4319 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4301 = _RAND_86[0:0]; + _T_4317 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4299 = _RAND_87[0:0]; + _T_4315 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4297 = _RAND_88[0:0]; + _T_4313 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -2890,40 +2894,40 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4332 = _RAND_97[0:0]; + _T_4348 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4335 = _RAND_98[0:0]; + _T_4351 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4338 = _RAND_99[0:0]; + _T_4354 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4341 = _RAND_100[0:0]; + _T_4357 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4407 = _RAND_101[0:0]; + _T_4423 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4402 = _RAND_102[0:0]; + _T_4418 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4397 = _RAND_103[0:0]; + _T_4413 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4392 = _RAND_104[0:0]; + _T_4408 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4979 = _RAND_106[0:0]; + _T_4995 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4356 = 1'h0; + _T_4372 = 1'h0; end if (reset) begin - _T_4353 = 1'h0; + _T_4369 = 1'h0; end if (reset) begin - _T_4350 = 1'h0; + _T_4366 = 1'h0; end if (reset) begin - _T_4347 = 1'h0; + _T_4363 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -3061,16 +3065,16 @@ initial begin buf_nomerge_3 = 1'h0; end if (reset) begin - _T_4326 = 1'h0; + _T_4342 = 1'h0; end if (reset) begin - _T_4323 = 1'h0; + _T_4339 = 1'h0; end if (reset) begin - _T_4320 = 1'h0; + _T_4336 = 1'h0; end if (reset) begin - _T_4317 = 1'h0; + _T_4333 = 1'h0; end if (reset) begin buf_dual_3 = 1'h0; @@ -3166,16 +3170,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4303 = 1'h0; + _T_4319 = 1'h0; end if (reset) begin - _T_4301 = 1'h0; + _T_4317 = 1'h0; end if (reset) begin - _T_4299 = 1'h0; + _T_4315 = 1'h0; end if (reset) begin - _T_4297 = 1'h0; + _T_4313 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3202,34 +3206,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4332 = 1'h0; + _T_4348 = 1'h0; end if (reset) begin - _T_4335 = 1'h0; + _T_4351 = 1'h0; end if (reset) begin - _T_4338 = 1'h0; + _T_4354 = 1'h0; end if (reset) begin - _T_4341 = 1'h0; + _T_4357 = 1'h0; end if (reset) begin - _T_4407 = 1'h0; + _T_4423 = 1'h0; end if (reset) begin - _T_4402 = 1'h0; + _T_4418 = 1'h0; end if (reset) begin - _T_4397 = 1'h0; + _T_4413 = 1'h0; end if (reset) begin - _T_4392 = 1'h0; + _T_4408 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4979 = 1'h0; + _T_4995 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3242,7 +3246,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3339) begin + end else if (_T_3355) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3250,75 +3254,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4356 <= 1'h0; + _T_4372 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4356 <= buf_write_in[3]; + _T_4372 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4353 <= 1'h0; + _T_4369 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4353 <= buf_write_in[2]; + _T_4369 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4350 <= 1'h0; + _T_4366 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4350 <= buf_write_in[1]; + _T_4366 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4347 <= 1'h0; + _T_4363 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4347 <= buf_write_in[0]; + _T_4363 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3524) begin + if (_T_3540) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3547) begin + end else if (_T_3563) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3551) begin + end else if (_T_3567) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3555) begin + end else if (_T_3571) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3585) begin - if (_T_3590) begin + end else if (_T_3601) begin + if (_T_3606) begin buf_state_0 <= 3'h0; - end else if (_T_3598) begin + end else if (_T_3614) begin buf_state_0 <= 3'h4; - end else if (_T_3626) begin + end else if (_T_3642) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3672) begin + end else if (_T_3688) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3678) begin + end else if (_T_3694) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3690) begin + end else if (_T_3706) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3334,7 +3338,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3348) begin + end else if (_T_3364) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3344,45 +3348,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3717) begin + if (_T_3733) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3740) begin + end else if (_T_3756) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3744) begin + end else if (_T_3760) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3555) begin + end else if (_T_3571) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3778) begin - if (_T_3783) begin + end else if (_T_3794) begin + if (_T_3799) begin buf_state_1 <= 3'h0; - end else if (_T_3791) begin + end else if (_T_3807) begin buf_state_1 <= 3'h4; - end else if (_T_3819) begin + end else if (_T_3835) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3865) begin + end else if (_T_3881) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3871) begin + end else if (_T_3887) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3883) begin + end else if (_T_3899) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3398,7 +3402,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3357) begin + end else if (_T_3373) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3408,45 +3412,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3910) begin + if (_T_3926) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3933) begin + end else if (_T_3949) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3937) begin + end else if (_T_3953) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3555) begin + end else if (_T_3571) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3971) begin - if (_T_3976) begin + end else if (_T_3987) begin + if (_T_3992) begin buf_state_2 <= 3'h0; - end else if (_T_3984) begin + end else if (_T_4000) begin buf_state_2 <= 3'h4; - end else if (_T_4012) begin + end else if (_T_4028) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4058) begin + end else if (_T_4074) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_4064) begin + end else if (_T_4080) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4076) begin + end else if (_T_4092) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3462,7 +3466,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3366) begin + end else if (_T_3382) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3472,45 +3476,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4103) begin + if (_T_4119) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4126) begin + end else if (_T_4142) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4130) begin + end else if (_T_4146) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3555) begin + end else if (_T_3571) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4164) begin - if (_T_4169) begin + end else if (_T_4180) begin + if (_T_4185) begin buf_state_3 <= 3'h0; - end else if (_T_4177) begin + end else if (_T_4193) begin buf_state_3 <= 3'h4; - end else if (_T_4205) begin + end else if (_T_4221) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4251) begin + end else if (_T_4267) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4257) begin + end else if (_T_4273) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4269) begin + end else if (_T_4285) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3527,7 +3531,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_byteen_3 <= ibuf_byteen_out; - end else if (_T_3366) begin + end else if (_T_3382) begin buf_byteen_3 <= ldst_byteen_hi_r; end else begin buf_byteen_3 <= ldst_byteen_lo_r; @@ -3540,7 +3544,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_byteen_2 <= ibuf_byteen_out; - end else if (_T_3357) begin + end else if (_T_3373) begin buf_byteen_2 <= ldst_byteen_hi_r; end else begin buf_byteen_2 <= ldst_byteen_lo_r; @@ -3553,7 +3557,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_byteen_1 <= ibuf_byteen_out; - end else if (_T_3348) begin + end else if (_T_3364) begin buf_byteen_1 <= ldst_byteen_hi_r; end else begin buf_byteen_1 <= ldst_byteen_lo_r; @@ -3566,7 +3570,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_byteen_0 <= ibuf_byteen_out; - end else if (_T_3339) begin + end else if (_T_3355) begin buf_byteen_0 <= ldst_byteen_hi_r; end else begin buf_byteen_0 <= ldst_byteen_lo_r; @@ -3683,15 +3687,15 @@ end // initial always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin buf_data_0 <= 32'h0; - end else if (_T_3524) begin - if (_T_3539) begin + end else if (_T_3540) begin + if (_T_3555) begin buf_data_0 <= ibuf_data_out; end else begin buf_data_0 <= store_data_lo_r; end - end else if (_T_3547) begin + end else if (_T_3563) begin buf_data_0 <= 32'h0; - end else if (_T_3551) begin + end else if (_T_3567) begin if (buf_error_en_0) begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_0[2]) begin @@ -3699,8 +3703,8 @@ end // initial end else begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3585) begin - if (_T_3665) begin + end else if (_T_3601) begin + if (_T_3681) begin if (buf_addr_0[2]) begin buf_data_0 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3716,15 +3720,15 @@ end // initial always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin buf_data_1 <= 32'h0; - end else if (_T_3717) begin - if (_T_3732) begin + end else if (_T_3733) begin + if (_T_3748) begin buf_data_1 <= ibuf_data_out; end else begin buf_data_1 <= store_data_lo_r; end - end else if (_T_3740) begin + end else if (_T_3756) begin buf_data_1 <= 32'h0; - end else if (_T_3744) begin + end else if (_T_3760) begin if (buf_error_en_1) begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_1[2]) begin @@ -3732,8 +3736,8 @@ end // initial end else begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3778) begin - if (_T_3858) begin + end else if (_T_3794) begin + if (_T_3874) begin if (buf_addr_1[2]) begin buf_data_1 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3749,15 +3753,15 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin buf_data_2 <= 32'h0; - end else if (_T_3910) begin - if (_T_3925) begin + end else if (_T_3926) begin + if (_T_3941) begin buf_data_2 <= ibuf_data_out; end else begin buf_data_2 <= store_data_lo_r; end - end else if (_T_3933) begin + end else if (_T_3949) begin buf_data_2 <= 32'h0; - end else if (_T_3937) begin + end else if (_T_3953) begin if (buf_error_en_2) begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_2[2]) begin @@ -3765,8 +3769,8 @@ end // initial end else begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3971) begin - if (_T_4051) begin + end else if (_T_3987) begin + if (_T_4067) begin if (buf_addr_2[2]) begin buf_data_2 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3782,15 +3786,15 @@ end // initial always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin buf_data_3 <= 32'h0; - end else if (_T_4103) begin - if (_T_4118) begin + end else if (_T_4119) begin + if (_T_4134) begin buf_data_3 <= ibuf_data_out; end else begin buf_data_3 <= store_data_lo_r; end - end else if (_T_4126) begin + end else if (_T_4142) begin buf_data_3 <= 32'h0; - end else if (_T_4130) begin + end else if (_T_4146) begin if (buf_error_en_3) begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_3[2]) begin @@ -3798,8 +3802,8 @@ end // initial end else begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_4164) begin - if (_T_4244) begin + end else if (_T_4180) begin + if (_T_4260) begin if (buf_addr_3[2]) begin buf_data_3 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3959,30 +3963,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4326 <= 1'h0; + _T_4342 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4326 <= buf_sideeffect_in[3]; + _T_4342 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4323 <= 1'h0; + _T_4339 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4323 <= buf_sideeffect_in[2]; + _T_4339 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4320 <= 1'h0; + _T_4336 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4320 <= buf_sideeffect_in[1]; + _T_4336 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4317 <= 1'h0; + _T_4333 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4317 <= buf_sideeffect_in[0]; + _T_4333 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4056,14 +4060,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1318 & _T_4851; + obuf_cmd_done <= _T_1318 & _T_4867; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1318 & _T_4852; + obuf_data_done <= _T_1318 & _T_4868; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4208,79 +4212,79 @@ end // initial if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3169,_T_3158}; + buf_rspageQ_0 <= {_T_3185,_T_3174}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3184,_T_3173}; + buf_rspageQ_1 <= {_T_3200,_T_3189}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3199,_T_3188}; + buf_rspageQ_2 <= {_T_3215,_T_3204}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3214,_T_3203}; + buf_rspageQ_3 <= {_T_3230,_T_3219}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4303 <= 1'h0; + _T_4319 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4103) begin - _T_4303 <= 1'h0; - end else if (_T_4126) begin - _T_4303 <= 1'h0; + if (_T_4119) begin + _T_4319 <= 1'h0; + end else if (_T_4142) begin + _T_4319 <= 1'h0; end else begin - _T_4303 <= _T_4130; + _T_4319 <= _T_4146; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4301 <= 1'h0; + _T_4317 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3910) begin - _T_4301 <= 1'h0; - end else if (_T_3933) begin - _T_4301 <= 1'h0; + if (_T_3926) begin + _T_4317 <= 1'h0; + end else if (_T_3949) begin + _T_4317 <= 1'h0; end else begin - _T_4301 <= _T_3937; + _T_4317 <= _T_3953; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4299 <= 1'h0; + _T_4315 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3717) begin - _T_4299 <= 1'h0; - end else if (_T_3740) begin - _T_4299 <= 1'h0; + if (_T_3733) begin + _T_4315 <= 1'h0; + end else if (_T_3756) begin + _T_4315 <= 1'h0; end else begin - _T_4299 <= _T_3744; + _T_4315 <= _T_3760; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4297 <= 1'h0; + _T_4313 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3524) begin - _T_4297 <= 1'h0; - end else if (_T_3547) begin - _T_4297 <= 1'h0; + if (_T_3540) begin + _T_4313 <= 1'h0; + end else if (_T_3563) begin + _T_4313 <= 1'h0; end else begin - _T_4297 <= _T_3551; + _T_4313 <= _T_3567; end end end @@ -4288,11 +4292,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3524) begin + if (_T_3540) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3547) begin + end else if (_T_3563) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3551) begin + end else if (_T_3567) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4305,7 +4309,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3339) begin + end else if (_T_3355) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4316,11 +4320,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4103) begin + if (_T_4119) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4126) begin + end else if (_T_4142) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4130) begin + end else if (_T_4146) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4331,11 +4335,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3910) begin + if (_T_3926) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3933) begin + end else if (_T_3949) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3937) begin + end else if (_T_3953) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4346,11 +4350,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3717) begin + if (_T_3733) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3740) begin + end else if (_T_3756) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3744) begin + end else if (_T_3760) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4363,7 +4367,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3348) begin + end else if (_T_3364) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4376,7 +4380,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3357) begin + end else if (_T_3373) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4389,7 +4393,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3366) begin + end else if (_T_3382) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4398,58 +4402,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4332 <= 1'h0; + _T_4348 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4332 <= buf_unsign_in[0]; + _T_4348 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4335 <= 1'h0; + _T_4351 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4335 <= buf_unsign_in[1]; + _T_4351 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4338 <= 1'h0; + _T_4354 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4338 <= buf_unsign_in[2]; + _T_4354 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4341 <= 1'h0; + _T_4357 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4341 <= buf_unsign_in[3]; + _T_4357 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4407 <= 1'h0; + _T_4423 <= 1'h0; end else begin - _T_4407 <= _T_4404 & _T_4405; + _T_4423 <= _T_4420 & _T_4421; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4402 <= 1'h0; + _T_4418 <= 1'h0; end else begin - _T_4402 <= _T_4399 & _T_4400; + _T_4418 <= _T_4415 & _T_4416; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4397 <= 1'h0; + _T_4413 <= 1'h0; end else begin - _T_4397 <= _T_4394 & _T_4395; + _T_4413 <= _T_4410 & _T_4411; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4392 <= 1'h0; + _T_4408 <= 1'h0; end else begin - _T_4392 <= _T_4389 & _T_4390; + _T_4408 <= _T_4405 & _T_4406; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4461,9 +4465,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4979 <= 1'h0; + _T_4995 <= 1'h0; end else begin - _T_4979 <= _T_4976 & _T_4509; + _T_4995 <= _T_4992 & _T_4525; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 59d646dd..042623e2 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -461,7 +461,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { (ibuf_byp & io.lsu_busreq_r & io.ldst_dual_r & (WrPtr1_r === i.U) & (WrPtr0_r === j.U)))) | buf_age(i)(j)).asUInt).reverse.reduce(Cat(_,_))) val buf_ageQ = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_ageQ := buf_ageQ.map(i=> 0.U) - buf_age := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_ageQ(i)(j) & ((buf_state(j)===cmd_C) & buf_cmd_state_bus_en(j))).asUInt).reverse.reduce(Cat(_,_))) + buf_age := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_ageQ(i)(j) & !((buf_state(j)===cmd_C) & buf_cmd_state_bus_en(j))).asUInt).reverse.reduce(Cat(_,_))) buf_age_younger := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(Mux(i.U===j.U, 0.U, !buf_age(i)(j) & (buf_state(j)=/=idle_C))).asUInt).reverse.reduce(Cat(_,_))) buf_rsp_pickage := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_rspageQ(i)(j) & (buf_state(j)===done_wait_C)).asUInt).reverse.reduce(Cat(_,_))) diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 9297b3e98ac03b2b2edf61dd92f276f48d1c68ac..ced3b8116d33b01cd9f8559c5095ccc20d211cfd 100644 GIT binary patch delta 133 zcmdn>MDfWJ#fBEf7N#xC%;ua)44e$i3=E7a(|OF9gQo|5Ws;g6kju<6z1Eyre7a!( zi`4W7PRx9a7p5olGfPi5_|3#OeUCY_E@SI-$2&|~(=9YPc*Gc0xZ~Km8GwLMh4(pw d0|O(7)oy0N48$xz%nHP8K+L|~%z}f(3INb0A|C(% delta 124 zcmaFzL~+*>#fBEf7N#xC%;uaH44e$i3=9mvrt_FH2Ty;f!7MesU<)(b^m=n<(dmsi}ZAdUrd72?JbzO#Tb5Z$FX$-H3PNtK4)-XU<9$+6D*j4 Um<5PgftU@5*|#THaIjbb00##nA^-pY