From 59e03fbaaf5b207fa8f4765dc7486852d201d78d Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sat, 7 Nov 2020 19:14:22 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.fir | 1232 ++++++++--------- el2_lsu_bus_buffer.v | 732 +++++----- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 6 +- .../classes/lsu/el2_lsu_bus_buffer.class | Bin 495502 -> 495358 bytes 4 files changed, 967 insertions(+), 1003 deletions(-) diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index adbbd313..84b7e914 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -5755,678 +5755,662 @@ circuit el2_lsu_bus_buffer : node _T_4329 = add(_T_4328, _T_4325) @[el2_lsu_bus_buffer.scala 543:96] node buf_numvld_any = add(_T_4329, _T_4324) @[el2_lsu_bus_buffer.scala 543:96] node _T_4330 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4331 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 544:76] - node _T_4332 = eq(_T_4331, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] - node _T_4333 = and(_T_4330, _T_4332) @[el2_lsu_bus_buffer.scala 544:64] - node _T_4334 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] - node _T_4335 = and(_T_4333, _T_4334) @[el2_lsu_bus_buffer.scala 544:89] - node _T_4336 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4337 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:76] - node _T_4338 = eq(_T_4337, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] - node _T_4339 = and(_T_4336, _T_4338) @[el2_lsu_bus_buffer.scala 544:64] - node _T_4340 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] - node _T_4341 = and(_T_4339, _T_4340) @[el2_lsu_bus_buffer.scala 544:89] - node _T_4342 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4343 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:76] - node _T_4344 = eq(_T_4343, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] - node _T_4345 = and(_T_4342, _T_4344) @[el2_lsu_bus_buffer.scala 544:64] - node _T_4346 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] - node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 544:89] - node _T_4348 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4349 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:76] - node _T_4350 = eq(_T_4349, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] - node _T_4351 = and(_T_4348, _T_4350) @[el2_lsu_bus_buffer.scala 544:64] - node _T_4352 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] - node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 544:89] - node _T_4354 = add(_T_4353, _T_4347) @[el2_lsu_bus_buffer.scala 544:142] - node _T_4355 = add(_T_4354, _T_4341) @[el2_lsu_bus_buffer.scala 544:142] - node _T_4356 = add(_T_4355, _T_4335) @[el2_lsu_bus_buffer.scala 544:142] - buf_numvld_wrcmd_any <= _T_4356 @[el2_lsu_bus_buffer.scala 544:24] - node _T_4357 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 545:60] - node _T_4358 = eq(_T_4357, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] - node _T_4359 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] - node _T_4360 = and(_T_4358, _T_4359) @[el2_lsu_bus_buffer.scala 545:73] - node _T_4361 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 545:60] - node _T_4362 = eq(_T_4361, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] - node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4331 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4333 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4334 = and(_T_4332, _T_4333) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4335 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4336 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4337 = and(_T_4335, _T_4336) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4338 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4340 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4341 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4342 = and(_T_4340, _T_4341) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4343 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4344 = and(_T_4342, _T_4343) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4345 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:60] + node _T_4346 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] + node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 544:64] + node _T_4348 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] + node _T_4349 = and(_T_4347, _T_4348) @[el2_lsu_bus_buffer.scala 544:89] + node _T_4350 = add(_T_4349, _T_4344) @[el2_lsu_bus_buffer.scala 544:142] + node _T_4351 = add(_T_4350, _T_4339) @[el2_lsu_bus_buffer.scala 544:142] + node _T_4352 = add(_T_4351, _T_4334) @[el2_lsu_bus_buffer.scala 544:142] + buf_numvld_wrcmd_any <= _T_4352 @[el2_lsu_bus_buffer.scala 544:24] + node _T_4353 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4354 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4356 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4357 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4358 = and(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4359 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4360 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] + node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 545:73] + node _T_4362 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] + node _T_4363 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 545:73] - node _T_4365 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 545:60] - node _T_4366 = eq(_T_4365, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] - node _T_4367 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] - node _T_4368 = and(_T_4366, _T_4367) @[el2_lsu_bus_buffer.scala 545:73] - node _T_4369 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 545:60] - node _T_4370 = eq(_T_4369, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] - node _T_4371 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] - node _T_4372 = and(_T_4370, _T_4371) @[el2_lsu_bus_buffer.scala 545:73] - node _T_4373 = add(_T_4372, _T_4368) @[el2_lsu_bus_buffer.scala 545:126] - node _T_4374 = add(_T_4373, _T_4364) @[el2_lsu_bus_buffer.scala 545:126] - node _T_4375 = add(_T_4374, _T_4360) @[el2_lsu_bus_buffer.scala 545:126] - buf_numvld_cmd_any <= _T_4375 @[el2_lsu_bus_buffer.scala 545:22] - node _T_4376 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4377 = eq(_T_4376, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] - node _T_4378 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 546:86] - node _T_4379 = eq(_T_4378, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:89] - node _T_4380 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:101] - node _T_4381 = and(_T_4379, _T_4380) @[el2_lsu_bus_buffer.scala 546:99] - node _T_4382 = or(_T_4377, _T_4381) @[el2_lsu_bus_buffer.scala 546:73] - node _T_4383 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4384 = eq(_T_4383, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] - node _T_4385 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 546:86] - node _T_4386 = eq(_T_4385, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:89] - node _T_4387 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:101] - node _T_4388 = and(_T_4386, _T_4387) @[el2_lsu_bus_buffer.scala 546:99] - node _T_4389 = or(_T_4384, _T_4388) @[el2_lsu_bus_buffer.scala 546:73] - node _T_4390 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4391 = eq(_T_4390, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] - node _T_4392 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 546:86] - node _T_4393 = eq(_T_4392, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:89] - node _T_4394 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:101] - node _T_4395 = and(_T_4393, _T_4394) @[el2_lsu_bus_buffer.scala 546:99] - node _T_4396 = or(_T_4391, _T_4395) @[el2_lsu_bus_buffer.scala 546:73] - node _T_4397 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4398 = eq(_T_4397, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] - node _T_4399 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 546:86] - node _T_4400 = eq(_T_4399, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:89] - node _T_4401 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:101] - node _T_4402 = and(_T_4400, _T_4401) @[el2_lsu_bus_buffer.scala 546:99] - node _T_4403 = or(_T_4398, _T_4402) @[el2_lsu_bus_buffer.scala 546:73] - node _T_4404 = add(_T_4403, _T_4396) @[el2_lsu_bus_buffer.scala 546:153] - node _T_4405 = add(_T_4404, _T_4389) @[el2_lsu_bus_buffer.scala 546:153] - node _T_4406 = add(_T_4405, _T_4382) @[el2_lsu_bus_buffer.scala 546:153] - buf_numvld_pend_any <= _T_4406 @[el2_lsu_bus_buffer.scala 546:23] - node _T_4407 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] - node _T_4408 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] - node _T_4409 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] - node _T_4410 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] - node _T_4411 = or(_T_4410, _T_4409) @[el2_lsu_bus_buffer.scala 547:93] - node _T_4412 = or(_T_4411, _T_4408) @[el2_lsu_bus_buffer.scala 547:93] - node _T_4413 = or(_T_4412, _T_4407) @[el2_lsu_bus_buffer.scala 547:93] - any_done_wait_state <= _T_4413 @[el2_lsu_bus_buffer.scala 547:23] - node _T_4414 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 548:53] - io.lsu_bus_buffer_pend_any <= _T_4414 @[el2_lsu_bus_buffer.scala 548:30] - node _T_4415 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 549:52] - node _T_4416 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:92] - node _T_4417 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:119] - node _T_4418 = mux(_T_4415, _T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 549:36] - io.lsu_bus_buffer_full_any <= _T_4418 @[el2_lsu_bus_buffer.scala 549:30] - node _T_4419 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 550:52] - node _T_4420 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 550:52] - node _T_4421 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 550:52] - node _T_4422 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 550:52] - node _T_4423 = or(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4424 = or(_T_4423, _T_4421) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4425 = or(_T_4424, _T_4422) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4426 = eq(_T_4425, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:34] - node _T_4427 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:72] - node _T_4428 = and(_T_4426, _T_4427) @[el2_lsu_bus_buffer.scala 550:70] - node _T_4429 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:86] - node _T_4430 = and(_T_4428, _T_4429) @[el2_lsu_bus_buffer.scala 550:84] - io.lsu_bus_buffer_empty_any <= _T_4430 @[el2_lsu_bus_buffer.scala 550:31] - node _T_4431 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 552:51] - node _T_4432 = and(_T_4431, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 552:72] - node _T_4433 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:94] - node _T_4434 = and(_T_4432, _T_4433) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4435 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:111] - node _T_4436 = and(_T_4434, _T_4435) @[el2_lsu_bus_buffer.scala 552:109] - io.lsu_nonblock_load_valid_m <= _T_4436 @[el2_lsu_bus_buffer.scala 552:32] + node _T_4365 = add(_T_4364, _T_4361) @[el2_lsu_bus_buffer.scala 545:126] + node _T_4366 = add(_T_4365, _T_4358) @[el2_lsu_bus_buffer.scala 545:126] + node _T_4367 = add(_T_4366, _T_4355) @[el2_lsu_bus_buffer.scala 545:126] + buf_numvld_cmd_any <= _T_4367 @[el2_lsu_bus_buffer.scala 545:22] + node _T_4368 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] + node _T_4369 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:90] + node _T_4370 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4372 = or(_T_4368, _T_4371) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4373 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] + node _T_4374 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:90] + node _T_4375 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4376 = and(_T_4374, _T_4375) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4377 = or(_T_4373, _T_4376) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4378 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] + node _T_4379 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:90] + node _T_4380 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4381 = and(_T_4379, _T_4380) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4382 = or(_T_4378, _T_4381) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4383 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] + node _T_4384 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:90] + node _T_4385 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] + node _T_4386 = and(_T_4384, _T_4385) @[el2_lsu_bus_buffer.scala 546:100] + node _T_4387 = or(_T_4383, _T_4386) @[el2_lsu_bus_buffer.scala 546:74] + node _T_4388 = add(_T_4387, _T_4382) @[el2_lsu_bus_buffer.scala 546:154] + node _T_4389 = add(_T_4388, _T_4377) @[el2_lsu_bus_buffer.scala 546:154] + node _T_4390 = add(_T_4389, _T_4372) @[el2_lsu_bus_buffer.scala 546:154] + buf_numvld_pend_any <= _T_4390 @[el2_lsu_bus_buffer.scala 546:23] + node _T_4391 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4392 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4393 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4394 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] + node _T_4395 = or(_T_4394, _T_4393) @[el2_lsu_bus_buffer.scala 547:93] + node _T_4396 = or(_T_4395, _T_4392) @[el2_lsu_bus_buffer.scala 547:93] + node _T_4397 = or(_T_4396, _T_4391) @[el2_lsu_bus_buffer.scala 547:93] + any_done_wait_state <= _T_4397 @[el2_lsu_bus_buffer.scala 547:23] + node _T_4398 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 548:53] + io.lsu_bus_buffer_pend_any <= _T_4398 @[el2_lsu_bus_buffer.scala 548:30] + node _T_4399 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 549:52] + node _T_4400 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:92] + node _T_4401 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:119] + node _T_4402 = mux(_T_4399, _T_4400, _T_4401) @[el2_lsu_bus_buffer.scala 549:36] + io.lsu_bus_buffer_full_any <= _T_4402 @[el2_lsu_bus_buffer.scala 549:30] + node _T_4403 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4404 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4405 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4406 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 550:52] + node _T_4407 = or(_T_4403, _T_4404) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4408 = or(_T_4407, _T_4405) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4409 = or(_T_4408, _T_4406) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4410 = eq(_T_4409, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:34] + node _T_4411 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:72] + node _T_4412 = and(_T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 550:70] + node _T_4413 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:86] + node _T_4414 = and(_T_4412, _T_4413) @[el2_lsu_bus_buffer.scala 550:84] + io.lsu_bus_buffer_empty_any <= _T_4414 @[el2_lsu_bus_buffer.scala 550:31] + node _T_4415 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 552:51] + node _T_4416 = and(_T_4415, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 552:72] + node _T_4417 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:94] + node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4419 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:111] + node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 552:109] + io.lsu_nonblock_load_valid_m <= _T_4420 @[el2_lsu_bus_buffer.scala 552:32] io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 553:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4437 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:61] - node _T_4438 = and(lsu_nonblock_load_valid_r, _T_4437) @[el2_lsu_bus_buffer.scala 555:59] - io.lsu_nonblock_load_inv_r <= _T_4438 @[el2_lsu_bus_buffer.scala 555:30] + node _T_4421 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:61] + node _T_4422 = and(lsu_nonblock_load_valid_r, _T_4421) @[el2_lsu_bus_buffer.scala 555:59] + io.lsu_nonblock_load_inv_r <= _T_4422 @[el2_lsu_bus_buffer.scala 555:30] io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 556:34] - node _T_4439 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4440 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 557:127] - node _T_4441 = and(UInt<1>("h01"), _T_4440) @[el2_lsu_bus_buffer.scala 557:116] - node _T_4442 = eq(_T_4441, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] - node _T_4443 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4444 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 557:127] - node _T_4445 = and(UInt<1>("h01"), _T_4444) @[el2_lsu_bus_buffer.scala 557:116] - node _T_4446 = eq(_T_4445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] - node _T_4447 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4448 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 557:127] - node _T_4449 = and(UInt<1>("h01"), _T_4448) @[el2_lsu_bus_buffer.scala 557:116] - node _T_4450 = eq(_T_4449, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] - node _T_4451 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4452 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 557:127] - node _T_4453 = and(UInt<1>("h01"), _T_4452) @[el2_lsu_bus_buffer.scala 557:116] - node _T_4454 = eq(_T_4453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] - node _T_4455 = mux(_T_4439, _T_4442, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4456 = mux(_T_4443, _T_4446, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4457 = mux(_T_4447, _T_4450, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4458 = mux(_T_4451, _T_4454, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4459 = or(_T_4455, _T_4456) @[Mux.scala 27:72] - node _T_4460 = or(_T_4459, _T_4457) @[Mux.scala 27:72] - node _T_4461 = or(_T_4460, _T_4458) @[Mux.scala 27:72] + node _T_4423 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4424 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4425 = and(UInt<1>("h01"), _T_4424) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4426 = eq(_T_4425, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4427 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4428 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4429 = and(UInt<1>("h01"), _T_4428) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4430 = eq(_T_4429, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4431 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4432 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4433 = and(UInt<1>("h01"), _T_4432) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4434 = eq(_T_4433, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4435 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4436 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 557:127] + node _T_4437 = and(UInt<1>("h01"), _T_4436) @[el2_lsu_bus_buffer.scala 557:116] + node _T_4438 = eq(_T_4437, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4439 = mux(_T_4423, _T_4426, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4440 = mux(_T_4427, _T_4430, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4441 = mux(_T_4431, _T_4434, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4442 = mux(_T_4435, _T_4438, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4443 = or(_T_4439, _T_4440) @[Mux.scala 27:72] + node _T_4444 = or(_T_4443, _T_4441) @[Mux.scala 27:72] + node _T_4445 = or(_T_4444, _T_4442) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4461 @[Mux.scala 27:72] - node _T_4462 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4463 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 558:104] - node _T_4464 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] - node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 558:108] - node _T_4467 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4468 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 558:104] - node _T_4469 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] - node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 558:108] - node _T_4472 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4473 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 558:104] - node _T_4474 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4475 = eq(_T_4474, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] - node _T_4476 = and(_T_4473, _T_4475) @[el2_lsu_bus_buffer.scala 558:108] - node _T_4477 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4478 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 558:104] - node _T_4479 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4480 = eq(_T_4479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] - node _T_4481 = and(_T_4478, _T_4480) @[el2_lsu_bus_buffer.scala 558:108] - node _T_4482 = mux(_T_4462, _T_4466, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4483 = mux(_T_4467, _T_4471, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4484 = mux(_T_4472, _T_4476, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4485 = mux(_T_4477, _T_4481, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4486 = or(_T_4482, _T_4483) @[Mux.scala 27:72] - node _T_4487 = or(_T_4486, _T_4484) @[Mux.scala 27:72] - node _T_4488 = or(_T_4487, _T_4485) @[Mux.scala 27:72] - wire _T_4489 : UInt<1> @[Mux.scala 27:72] - _T_4489 <= _T_4488 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4489 @[el2_lsu_bus_buffer.scala 558:35] - node _T_4490 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] - node _T_4491 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 559:102] + lsu_nonblock_load_data_ready <= _T_4445 @[Mux.scala 27:72] + node _T_4446 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4447 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4448 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4449 = eq(_T_4448, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4450 = and(_T_4447, _T_4449) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4451 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4452 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4453 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4454 = eq(_T_4453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4455 = and(_T_4452, _T_4454) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4456 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4457 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4458 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4461 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4462 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 558:104] + node _T_4463 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 558:120] + node _T_4464 = eq(_T_4463, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] + node _T_4465 = and(_T_4462, _T_4464) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4466 = mux(_T_4446, _T_4450, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4467 = mux(_T_4451, _T_4455, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4468 = mux(_T_4456, _T_4460, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4469 = mux(_T_4461, _T_4465, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4470 = or(_T_4466, _T_4467) @[Mux.scala 27:72] + node _T_4471 = or(_T_4470, _T_4468) @[Mux.scala 27:72] + node _T_4472 = or(_T_4471, _T_4469) @[Mux.scala 27:72] + wire _T_4473 : UInt<1> @[Mux.scala 27:72] + _T_4473 <= _T_4472 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4473 @[el2_lsu_bus_buffer.scala 558:35] + node _T_4474 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4475 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4476 = eq(_T_4475, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4477 = and(_T_4474, _T_4476) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4478 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4479 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4480 = or(_T_4478, _T_4479) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4481 = and(_T_4477, _T_4480) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4482 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4483 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4484 = eq(_T_4483, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4485 = and(_T_4482, _T_4484) @[el2_lsu_bus_buffer.scala 559:90] + node _T_4486 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4487 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4488 = or(_T_4486, _T_4487) @[el2_lsu_bus_buffer.scala 559:122] + node _T_4489 = and(_T_4485, _T_4488) @[el2_lsu_bus_buffer.scala 559:106] + node _T_4490 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4491 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 559:102] node _T_4492 = eq(_T_4491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] node _T_4493 = and(_T_4490, _T_4492) @[el2_lsu_bus_buffer.scala 559:90] - node _T_4494 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] - node _T_4495 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4494 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4495 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] node _T_4496 = or(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 559:122] node _T_4497 = and(_T_4493, _T_4496) @[el2_lsu_bus_buffer.scala 559:106] - node _T_4498 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] - node _T_4499 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 559:102] + node _T_4498 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] + node _T_4499 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 559:102] node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] node _T_4501 = and(_T_4498, _T_4500) @[el2_lsu_bus_buffer.scala 559:90] - node _T_4502 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] - node _T_4503 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] + node _T_4502 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] + node _T_4503 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] node _T_4504 = or(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 559:122] node _T_4505 = and(_T_4501, _T_4504) @[el2_lsu_bus_buffer.scala 559:106] - node _T_4506 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] - node _T_4507 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 559:102] - node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] - node _T_4509 = and(_T_4506, _T_4508) @[el2_lsu_bus_buffer.scala 559:90] - node _T_4510 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] - node _T_4511 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] - node _T_4512 = or(_T_4510, _T_4511) @[el2_lsu_bus_buffer.scala 559:122] - node _T_4513 = and(_T_4509, _T_4512) @[el2_lsu_bus_buffer.scala 559:106] - node _T_4514 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] - node _T_4515 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 559:102] - node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] - node _T_4517 = and(_T_4514, _T_4516) @[el2_lsu_bus_buffer.scala 559:90] - node _T_4518 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] - node _T_4519 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] - node _T_4520 = or(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 559:122] - node _T_4521 = and(_T_4517, _T_4520) @[el2_lsu_bus_buffer.scala 559:106] - node _T_4522 = mux(_T_4497, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4523 = mux(_T_4505, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4524 = mux(_T_4513, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4525 = mux(_T_4521, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4526 = or(_T_4522, _T_4523) @[Mux.scala 27:72] - node _T_4527 = or(_T_4526, _T_4524) @[Mux.scala 27:72] - node _T_4528 = or(_T_4527, _T_4525) @[Mux.scala 27:72] - wire _T_4529 : UInt<2> @[Mux.scala 27:72] - _T_4529 <= _T_4528 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4529 @[el2_lsu_bus_buffer.scala 559:33] - node _T_4530 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] - node _T_4531 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4506 = mux(_T_4481, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4507 = mux(_T_4489, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4508 = mux(_T_4497, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4509 = mux(_T_4505, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4510 = or(_T_4506, _T_4507) @[Mux.scala 27:72] + node _T_4511 = or(_T_4510, _T_4508) @[Mux.scala 27:72] + node _T_4512 = or(_T_4511, _T_4509) @[Mux.scala 27:72] + wire _T_4513 : UInt<2> @[Mux.scala 27:72] + _T_4513 <= _T_4512 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4513 @[el2_lsu_bus_buffer.scala 559:33] + node _T_4514 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4515 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4517 = and(_T_4514, _T_4516) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4518 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4519 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4520 = or(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4521 = and(_T_4517, _T_4520) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4522 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4523 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] + node _T_4525 = and(_T_4522, _T_4524) @[el2_lsu_bus_buffer.scala 560:89] + node _T_4526 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4527 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4528 = or(_T_4526, _T_4527) @[el2_lsu_bus_buffer.scala 560:121] + node _T_4529 = and(_T_4525, _T_4528) @[el2_lsu_bus_buffer.scala 560:105] + node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4531 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:101] node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 560:89] - node _T_4534 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4535 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4534 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4535 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] node _T_4536 = or(_T_4534, _T_4535) @[el2_lsu_bus_buffer.scala 560:121] node _T_4537 = and(_T_4533, _T_4536) @[el2_lsu_bus_buffer.scala 560:105] - node _T_4538 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] - node _T_4539 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:101] + node _T_4538 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] + node _T_4539 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:101] node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] node _T_4541 = and(_T_4538, _T_4540) @[el2_lsu_bus_buffer.scala 560:89] - node _T_4542 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4543 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] + node _T_4542 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4543 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] node _T_4544 = or(_T_4542, _T_4543) @[el2_lsu_bus_buffer.scala 560:121] node _T_4545 = and(_T_4541, _T_4544) @[el2_lsu_bus_buffer.scala 560:105] - node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] - node _T_4547 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:101] - node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] - node _T_4549 = and(_T_4546, _T_4548) @[el2_lsu_bus_buffer.scala 560:89] - node _T_4550 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4551 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] - node _T_4552 = or(_T_4550, _T_4551) @[el2_lsu_bus_buffer.scala 560:121] - node _T_4553 = and(_T_4549, _T_4552) @[el2_lsu_bus_buffer.scala 560:105] - node _T_4554 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] - node _T_4555 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:101] - node _T_4556 = eq(_T_4555, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] - node _T_4557 = and(_T_4554, _T_4556) @[el2_lsu_bus_buffer.scala 560:89] - node _T_4558 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4559 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] - node _T_4560 = or(_T_4558, _T_4559) @[el2_lsu_bus_buffer.scala 560:121] - node _T_4561 = and(_T_4557, _T_4560) @[el2_lsu_bus_buffer.scala 560:105] - node _T_4562 = mux(_T_4537, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4563 = mux(_T_4545, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4564 = mux(_T_4553, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4565 = mux(_T_4561, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4566 = or(_T_4562, _T_4563) @[Mux.scala 27:72] - node _T_4567 = or(_T_4566, _T_4564) @[Mux.scala 27:72] - node _T_4568 = or(_T_4567, _T_4565) @[Mux.scala 27:72] + node _T_4546 = mux(_T_4521, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4547 = mux(_T_4529, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4548 = mux(_T_4537, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4549 = mux(_T_4545, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4550 = or(_T_4546, _T_4547) @[Mux.scala 27:72] + node _T_4551 = or(_T_4550, _T_4548) @[Mux.scala 27:72] + node _T_4552 = or(_T_4551, _T_4549) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4568 @[Mux.scala 27:72] - node _T_4569 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] - node _T_4570 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:101] - node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] - node _T_4572 = and(_T_4569, _T_4571) @[el2_lsu_bus_buffer.scala 561:89] - node _T_4573 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4574 = and(_T_4572, _T_4573) @[el2_lsu_bus_buffer.scala 561:105] - node _T_4575 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] - node _T_4576 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:101] - node _T_4577 = eq(_T_4576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] - node _T_4578 = and(_T_4575, _T_4577) @[el2_lsu_bus_buffer.scala 561:89] - node _T_4579 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4580 = and(_T_4578, _T_4579) @[el2_lsu_bus_buffer.scala 561:105] - node _T_4581 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] - node _T_4582 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:101] - node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] - node _T_4584 = and(_T_4581, _T_4583) @[el2_lsu_bus_buffer.scala 561:89] - node _T_4585 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4586 = and(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 561:105] - node _T_4587 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] - node _T_4588 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:101] - node _T_4589 = eq(_T_4588, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] - node _T_4590 = and(_T_4587, _T_4589) @[el2_lsu_bus_buffer.scala 561:89] - node _T_4591 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4592 = and(_T_4590, _T_4591) @[el2_lsu_bus_buffer.scala 561:105] - node _T_4593 = mux(_T_4574, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4594 = mux(_T_4580, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4595 = mux(_T_4586, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4596 = mux(_T_4592, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4597 = or(_T_4593, _T_4594) @[Mux.scala 27:72] - node _T_4598 = or(_T_4597, _T_4595) @[Mux.scala 27:72] - node _T_4599 = or(_T_4598, _T_4596) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4552 @[Mux.scala 27:72] + node _T_4553 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4554 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4556 = and(_T_4553, _T_4555) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4557 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4558 = and(_T_4556, _T_4557) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4559 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4560 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4563 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4565 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4566 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4569 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4571 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] + node _T_4572 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:101] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] + node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 561:89] + node _T_4575 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4577 = mux(_T_4558, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4578 = mux(_T_4564, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4579 = mux(_T_4570, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4580 = mux(_T_4576, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4581 = or(_T_4577, _T_4578) @[Mux.scala 27:72] + node _T_4582 = or(_T_4581, _T_4579) @[Mux.scala 27:72] + node _T_4583 = or(_T_4582, _T_4580) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4599 @[Mux.scala 27:72] - node _T_4600 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4601 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4604 = mux(_T_4600, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4605 = mux(_T_4601, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4606 = mux(_T_4602, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4607 = mux(_T_4603, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4608 = or(_T_4604, _T_4605) @[Mux.scala 27:72] - node _T_4609 = or(_T_4608, _T_4606) @[Mux.scala 27:72] - node _T_4610 = or(_T_4609, _T_4607) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4583 @[Mux.scala 27:72] + node _T_4584 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4585 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4586 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4587 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4588 = mux(_T_4584, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = mux(_T_4585, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4590 = mux(_T_4586, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4591 = mux(_T_4587, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4592 = or(_T_4588, _T_4589) @[Mux.scala 27:72] + node _T_4593 = or(_T_4592, _T_4590) @[Mux.scala 27:72] + node _T_4594 = or(_T_4593, _T_4591) @[Mux.scala 27:72] wire lsu_nonblock_addr_offset : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_addr_offset <= _T_4610 @[Mux.scala 27:72] - node _T_4611 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4612 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4614 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4615 = mux(_T_4611, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4616 = mux(_T_4612, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4617 = mux(_T_4613, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4618 = mux(_T_4614, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4619 = or(_T_4615, _T_4616) @[Mux.scala 27:72] - node _T_4620 = or(_T_4619, _T_4617) @[Mux.scala 27:72] - node _T_4621 = or(_T_4620, _T_4618) @[Mux.scala 27:72] + lsu_nonblock_addr_offset <= _T_4594 @[Mux.scala 27:72] + node _T_4595 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4596 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4597 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4598 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4599 = mux(_T_4595, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4600 = mux(_T_4596, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4601 = mux(_T_4597, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4602 = mux(_T_4598, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4603 = or(_T_4599, _T_4600) @[Mux.scala 27:72] + node _T_4604 = or(_T_4603, _T_4601) @[Mux.scala 27:72] + node _T_4605 = or(_T_4604, _T_4602) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4621 @[Mux.scala 27:72] - node _T_4622 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4623 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4624 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4625 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4626 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4627 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4628 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4629 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4630 = mux(_T_4622, _T_4623, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4631 = mux(_T_4624, _T_4625, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4632 = mux(_T_4626, _T_4627, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4633 = mux(_T_4628, _T_4629, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4634 = or(_T_4630, _T_4631) @[Mux.scala 27:72] - node _T_4635 = or(_T_4634, _T_4632) @[Mux.scala 27:72] - node _T_4636 = or(_T_4635, _T_4633) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4605 @[Mux.scala 27:72] + node _T_4606 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4607 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4608 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4609 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4610 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4611 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4612 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4613 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4614 = mux(_T_4606, _T_4607, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4615 = mux(_T_4608, _T_4609, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4616 = mux(_T_4610, _T_4611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4617 = mux(_T_4612, _T_4613, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4618 = or(_T_4614, _T_4615) @[Mux.scala 27:72] + node _T_4619 = or(_T_4618, _T_4616) @[Mux.scala 27:72] + node _T_4620 = or(_T_4619, _T_4617) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4636 @[Mux.scala 27:72] - node _T_4637 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4638 = cat(_T_4637, buf_dual[1]) @[Cat.scala 29:58] - node _T_4639 = cat(_T_4638, buf_dual[0]) @[Cat.scala 29:58] - node _T_4640 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4641 = bits(_T_4639, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4642 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4643 = bits(_T_4639, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4644 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4645 = bits(_T_4639, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4646 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4647 = bits(_T_4639, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4648 = mux(_T_4640, _T_4641, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4649 = mux(_T_4642, _T_4643, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4650 = mux(_T_4644, _T_4645, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4651 = mux(_T_4646, _T_4647, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4652 = or(_T_4648, _T_4649) @[Mux.scala 27:72] - node _T_4653 = or(_T_4652, _T_4650) @[Mux.scala 27:72] - node _T_4654 = or(_T_4653, _T_4651) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4620 @[Mux.scala 27:72] + node _T_4621 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4622 = cat(_T_4621, buf_dual[1]) @[Cat.scala 29:58] + node _T_4623 = cat(_T_4622, buf_dual[0]) @[Cat.scala 29:58] + node _T_4624 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4625 = bits(_T_4623, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4626 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4627 = bits(_T_4623, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4628 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4629 = bits(_T_4623, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4630 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4631 = bits(_T_4623, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4632 = mux(_T_4624, _T_4625, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4633 = mux(_T_4626, _T_4627, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4634 = mux(_T_4628, _T_4629, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4635 = mux(_T_4630, _T_4631, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4636 = or(_T_4632, _T_4633) @[Mux.scala 27:72] + node _T_4637 = or(_T_4636, _T_4634) @[Mux.scala 27:72] + node _T_4638 = or(_T_4637, _T_4635) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4654 @[Mux.scala 27:72] - node _T_4655 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4656 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 566:121] - node lsu_nonblock_data_unalgn = dshr(_T_4655, _T_4656) @[el2_lsu_bus_buffer.scala 566:92] - node _T_4657 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:69] - node _T_4658 = and(lsu_nonblock_load_data_ready, _T_4657) @[el2_lsu_bus_buffer.scala 567:67] - io.lsu_nonblock_load_data_valid <= _T_4658 @[el2_lsu_bus_buffer.scala 567:35] - node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:81] - node _T_4660 = and(lsu_nonblock_unsign, _T_4659) @[el2_lsu_bus_buffer.scala 568:63] - node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 568:131] - node _T_4662 = cat(UInt<24>("h00"), _T_4661) @[Cat.scala 29:58] - node _T_4663 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:45] - node _T_4664 = and(lsu_nonblock_unsign, _T_4663) @[el2_lsu_bus_buffer.scala 569:26] - node _T_4665 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 569:95] - node _T_4666 = cat(UInt<16>("h00"), _T_4665) @[Cat.scala 29:58] - node _T_4667 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:6] - node _T_4668 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:45] - node _T_4669 = and(_T_4667, _T_4668) @[el2_lsu_bus_buffer.scala 570:27] - node _T_4670 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 570:93] - node _T_4671 = bits(_T_4670, 0, 0) @[Bitwise.scala 72:15] - node _T_4672 = mux(_T_4671, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4673 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 570:123] - node _T_4674 = cat(_T_4672, _T_4673) @[Cat.scala 29:58] - node _T_4675 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:6] - node _T_4676 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 571:45] - node _T_4677 = and(_T_4675, _T_4676) @[el2_lsu_bus_buffer.scala 571:27] - node _T_4678 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 571:93] - node _T_4679 = bits(_T_4678, 0, 0) @[Bitwise.scala 72:15] - node _T_4680 = mux(_T_4679, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4681 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 571:124] - node _T_4682 = cat(_T_4680, _T_4681) @[Cat.scala 29:58] - node _T_4683 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 572:21] - node _T_4684 = mux(_T_4660, _T_4662, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4685 = mux(_T_4664, _T_4666, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4686 = mux(_T_4669, _T_4674, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4687 = mux(_T_4677, _T_4682, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4688 = mux(_T_4683, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4689 = or(_T_4684, _T_4685) @[Mux.scala 27:72] - node _T_4690 = or(_T_4689, _T_4686) @[Mux.scala 27:72] - node _T_4691 = or(_T_4690, _T_4687) @[Mux.scala 27:72] - node _T_4692 = or(_T_4691, _T_4688) @[Mux.scala 27:72] - wire _T_4693 : UInt<64> @[Mux.scala 27:72] - _T_4693 <= _T_4692 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4693 @[el2_lsu_bus_buffer.scala 568:29] - node _T_4694 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] - node _T_4695 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4696 = and(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4697 = and(_T_4696, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] - node _T_4698 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] - node _T_4699 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4700 = and(_T_4698, _T_4699) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4701 = and(_T_4700, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] - node _T_4702 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] - node _T_4703 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4704 = and(_T_4702, _T_4703) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4705 = and(_T_4704, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] - node _T_4706 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] - node _T_4707 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4708 = and(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4709 = and(_T_4708, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] - node _T_4710 = or(_T_4697, _T_4701) @[el2_lsu_bus_buffer.scala 573:141] - node _T_4711 = or(_T_4710, _T_4705) @[el2_lsu_bus_buffer.scala 573:141] - node _T_4712 = or(_T_4711, _T_4709) @[el2_lsu_bus_buffer.scala 573:141] - bus_sideeffect_pend <= _T_4712 @[el2_lsu_bus_buffer.scala 573:23] - node _T_4713 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] - node _T_4714 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] - node _T_4715 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] - node _T_4716 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] - node _T_4717 = eq(_T_4715, _T_4716) @[el2_lsu_bus_buffer.scala 575:56] - node _T_4718 = and(_T_4714, _T_4717) @[el2_lsu_bus_buffer.scala 575:38] - node _T_4719 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:92] - node _T_4720 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4721 = and(obuf_merge, _T_4720) @[el2_lsu_bus_buffer.scala 575:114] - node _T_4722 = or(_T_4719, _T_4721) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4723 = eq(_T_4722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] - node _T_4724 = and(_T_4718, _T_4723) @[el2_lsu_bus_buffer.scala 575:78] - node _T_4725 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] - node _T_4726 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] - node _T_4727 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] - node _T_4728 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] - node _T_4729 = eq(_T_4727, _T_4728) @[el2_lsu_bus_buffer.scala 575:56] - node _T_4730 = and(_T_4726, _T_4729) @[el2_lsu_bus_buffer.scala 575:38] - node _T_4731 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:92] - node _T_4732 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4733 = and(obuf_merge, _T_4732) @[el2_lsu_bus_buffer.scala 575:114] - node _T_4734 = or(_T_4731, _T_4733) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4735 = eq(_T_4734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] - node _T_4736 = and(_T_4730, _T_4735) @[el2_lsu_bus_buffer.scala 575:78] - node _T_4737 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] - node _T_4738 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] - node _T_4739 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] - node _T_4740 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] - node _T_4741 = eq(_T_4739, _T_4740) @[el2_lsu_bus_buffer.scala 575:56] - node _T_4742 = and(_T_4738, _T_4741) @[el2_lsu_bus_buffer.scala 575:38] - node _T_4743 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:92] - node _T_4744 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4745 = and(obuf_merge, _T_4744) @[el2_lsu_bus_buffer.scala 575:114] - node _T_4746 = or(_T_4743, _T_4745) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4747 = eq(_T_4746, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] - node _T_4748 = and(_T_4742, _T_4747) @[el2_lsu_bus_buffer.scala 575:78] - node _T_4749 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] - node _T_4750 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] - node _T_4751 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] - node _T_4752 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] - node _T_4753 = eq(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 575:56] - node _T_4754 = and(_T_4750, _T_4753) @[el2_lsu_bus_buffer.scala 575:38] - node _T_4755 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:92] - node _T_4756 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4757 = and(obuf_merge, _T_4756) @[el2_lsu_bus_buffer.scala 575:114] - node _T_4758 = or(_T_4755, _T_4757) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4759 = eq(_T_4758, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] - node _T_4760 = and(_T_4754, _T_4759) @[el2_lsu_bus_buffer.scala 575:78] - node _T_4761 = mux(_T_4713, _T_4724, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4762 = mux(_T_4725, _T_4736, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4763 = mux(_T_4737, _T_4748, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4764 = mux(_T_4749, _T_4760, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4765 = or(_T_4761, _T_4762) @[Mux.scala 27:72] - node _T_4766 = or(_T_4765, _T_4763) @[Mux.scala 27:72] - node _T_4767 = or(_T_4766, _T_4764) @[Mux.scala 27:72] - wire _T_4768 : UInt<1> @[Mux.scala 27:72] - _T_4768 <= _T_4767 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4768 @[el2_lsu_bus_buffer.scala 574:26] - node _T_4769 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 577:54] - node _T_4770 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:75] - node _T_4771 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:150] - node _T_4772 = mux(_T_4769, _T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 577:39] - node _T_4773 = mux(obuf_write, _T_4772, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 577:23] - bus_cmd_ready <= _T_4773 @[el2_lsu_bus_buffer.scala 577:17] - node _T_4774 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 578:39] - bus_wcmd_sent <= _T_4774 @[el2_lsu_bus_buffer.scala 578:17] - node _T_4775 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 579:39] - bus_wdata_sent <= _T_4775 @[el2_lsu_bus_buffer.scala 579:18] - node _T_4776 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 580:35] - node _T_4777 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 580:70] - node _T_4778 = and(_T_4776, _T_4777) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4779 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 580:111] - node _T_4780 = or(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 580:89] - bus_cmd_sent <= _T_4780 @[el2_lsu_bus_buffer.scala 580:16] - node _T_4781 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 581:37] - bus_rsp_read <= _T_4781 @[el2_lsu_bus_buffer.scala 581:16] - node _T_4782 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 582:38] - bus_rsp_write <= _T_4782 @[el2_lsu_bus_buffer.scala 582:17] + lsu_nonblock_dual <= _T_4638 @[Mux.scala 27:72] + node _T_4639 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4640 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 566:121] + node lsu_nonblock_data_unalgn = dshr(_T_4639, _T_4640) @[el2_lsu_bus_buffer.scala 566:92] + node _T_4641 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:69] + node _T_4642 = and(lsu_nonblock_load_data_ready, _T_4641) @[el2_lsu_bus_buffer.scala 567:67] + io.lsu_nonblock_load_data_valid <= _T_4642 @[el2_lsu_bus_buffer.scala 567:35] + node _T_4643 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:81] + node _T_4644 = and(lsu_nonblock_unsign, _T_4643) @[el2_lsu_bus_buffer.scala 568:63] + node _T_4645 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 568:131] + node _T_4646 = cat(UInt<24>("h00"), _T_4645) @[Cat.scala 29:58] + node _T_4647 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:45] + node _T_4648 = and(lsu_nonblock_unsign, _T_4647) @[el2_lsu_bus_buffer.scala 569:26] + node _T_4649 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 569:95] + node _T_4650 = cat(UInt<16>("h00"), _T_4649) @[Cat.scala 29:58] + node _T_4651 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:6] + node _T_4652 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:45] + node _T_4653 = and(_T_4651, _T_4652) @[el2_lsu_bus_buffer.scala 570:27] + node _T_4654 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 570:93] + node _T_4655 = bits(_T_4654, 0, 0) @[Bitwise.scala 72:15] + node _T_4656 = mux(_T_4655, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4657 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 570:123] + node _T_4658 = cat(_T_4656, _T_4657) @[Cat.scala 29:58] + node _T_4659 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:6] + node _T_4660 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 571:45] + node _T_4661 = and(_T_4659, _T_4660) @[el2_lsu_bus_buffer.scala 571:27] + node _T_4662 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 571:93] + node _T_4663 = bits(_T_4662, 0, 0) @[Bitwise.scala 72:15] + node _T_4664 = mux(_T_4663, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4665 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 571:124] + node _T_4666 = cat(_T_4664, _T_4665) @[Cat.scala 29:58] + node _T_4667 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 572:21] + node _T_4668 = mux(_T_4644, _T_4646, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4648, _T_4650, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = mux(_T_4653, _T_4658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = mux(_T_4661, _T_4666, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4672 = mux(_T_4667, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4673 = or(_T_4668, _T_4669) @[Mux.scala 27:72] + node _T_4674 = or(_T_4673, _T_4670) @[Mux.scala 27:72] + node _T_4675 = or(_T_4674, _T_4671) @[Mux.scala 27:72] + node _T_4676 = or(_T_4675, _T_4672) @[Mux.scala 27:72] + wire _T_4677 : UInt<64> @[Mux.scala 27:72] + _T_4677 <= _T_4676 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4677 @[el2_lsu_bus_buffer.scala 568:29] + node _T_4678 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4679 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4680 = and(_T_4678, _T_4679) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4681 = and(_T_4680, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4682 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4683 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4684 = and(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4685 = and(_T_4684, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4686 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4687 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4688 = and(_T_4686, _T_4687) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4689 = and(_T_4688, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4690 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] + node _T_4691 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4692 = and(_T_4690, _T_4691) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4693 = and(_T_4692, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] + node _T_4694 = or(_T_4681, _T_4685) @[el2_lsu_bus_buffer.scala 573:141] + node _T_4695 = or(_T_4694, _T_4689) @[el2_lsu_bus_buffer.scala 573:141] + node _T_4696 = or(_T_4695, _T_4693) @[el2_lsu_bus_buffer.scala 573:141] + bus_sideeffect_pend <= _T_4696 @[el2_lsu_bus_buffer.scala 573:23] + node _T_4697 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4698 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4699 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4700 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4701 = eq(_T_4699, _T_4700) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4702 = and(_T_4698, _T_4701) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4703 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4704 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4705 = and(obuf_merge, _T_4704) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4706 = or(_T_4703, _T_4705) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4707 = eq(_T_4706, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4708 = and(_T_4702, _T_4707) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4709 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4710 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4711 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4712 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4713 = eq(_T_4711, _T_4712) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4714 = and(_T_4710, _T_4713) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4715 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4716 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4717 = and(obuf_merge, _T_4716) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4718 = or(_T_4715, _T_4717) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4719 = eq(_T_4718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4720 = and(_T_4714, _T_4719) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4721 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4722 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4723 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4724 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4725 = eq(_T_4723, _T_4724) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4726 = and(_T_4722, _T_4725) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4727 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4728 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4729 = and(obuf_merge, _T_4728) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4730 = or(_T_4727, _T_4729) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4731 = eq(_T_4730, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4732 = and(_T_4726, _T_4731) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4733 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] + node _T_4734 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] + node _T_4735 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] + node _T_4736 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] + node _T_4737 = eq(_T_4735, _T_4736) @[el2_lsu_bus_buffer.scala 575:56] + node _T_4738 = and(_T_4734, _T_4737) @[el2_lsu_bus_buffer.scala 575:38] + node _T_4739 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:92] + node _T_4740 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4741 = and(obuf_merge, _T_4740) @[el2_lsu_bus_buffer.scala 575:114] + node _T_4742 = or(_T_4739, _T_4741) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4743 = eq(_T_4742, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] + node _T_4744 = and(_T_4738, _T_4743) @[el2_lsu_bus_buffer.scala 575:78] + node _T_4745 = mux(_T_4697, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4746 = mux(_T_4709, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4747 = mux(_T_4721, _T_4732, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4748 = mux(_T_4733, _T_4744, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4749 = or(_T_4745, _T_4746) @[Mux.scala 27:72] + node _T_4750 = or(_T_4749, _T_4747) @[Mux.scala 27:72] + node _T_4751 = or(_T_4750, _T_4748) @[Mux.scala 27:72] + wire _T_4752 : UInt<1> @[Mux.scala 27:72] + _T_4752 <= _T_4751 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4752 @[el2_lsu_bus_buffer.scala 574:26] + node _T_4753 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 577:54] + node _T_4754 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:75] + node _T_4755 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:150] + node _T_4756 = mux(_T_4753, _T_4754, _T_4755) @[el2_lsu_bus_buffer.scala 577:39] + node _T_4757 = mux(obuf_write, _T_4756, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 577:23] + bus_cmd_ready <= _T_4757 @[el2_lsu_bus_buffer.scala 577:17] + node _T_4758 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 578:39] + bus_wcmd_sent <= _T_4758 @[el2_lsu_bus_buffer.scala 578:17] + node _T_4759 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 579:39] + bus_wdata_sent <= _T_4759 @[el2_lsu_bus_buffer.scala 579:18] + node _T_4760 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 580:35] + node _T_4761 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 580:70] + node _T_4762 = and(_T_4760, _T_4761) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4763 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 580:111] + node _T_4764 = or(_T_4762, _T_4763) @[el2_lsu_bus_buffer.scala 580:89] + bus_cmd_sent <= _T_4764 @[el2_lsu_bus_buffer.scala 580:16] + node _T_4765 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 581:37] + bus_rsp_read <= _T_4765 @[el2_lsu_bus_buffer.scala 581:16] + node _T_4766 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 582:38] + bus_rsp_write <= _T_4766 @[el2_lsu_bus_buffer.scala 582:17] bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 583:20] bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 584:21] - node _T_4783 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:60] - node _T_4784 = and(bus_rsp_write, _T_4783) @[el2_lsu_bus_buffer.scala 585:40] - bus_rsp_write_error <= _T_4784 @[el2_lsu_bus_buffer.scala 585:23] - node _T_4785 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:58] - node _T_4786 = and(bus_rsp_read, _T_4785) @[el2_lsu_bus_buffer.scala 586:38] - bus_rsp_read_error <= _T_4786 @[el2_lsu_bus_buffer.scala 586:22] + node _T_4767 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:60] + node _T_4768 = and(bus_rsp_write, _T_4767) @[el2_lsu_bus_buffer.scala 585:40] + bus_rsp_write_error <= _T_4768 @[el2_lsu_bus_buffer.scala 585:23] + node _T_4769 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:58] + node _T_4770 = and(bus_rsp_read, _T_4769) @[el2_lsu_bus_buffer.scala 586:38] + bus_rsp_read_error <= _T_4770 @[el2_lsu_bus_buffer.scala 586:22] bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 587:17] - node _T_4787 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 590:36] - node _T_4788 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:51] - node _T_4789 = and(_T_4787, _T_4788) @[el2_lsu_bus_buffer.scala 590:49] - node _T_4790 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:68] - node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 590:66] - io.lsu_axi_awvalid <= _T_4791 @[el2_lsu_bus_buffer.scala 590:22] + node _T_4771 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 590:36] + node _T_4772 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:51] + node _T_4773 = and(_T_4771, _T_4772) @[el2_lsu_bus_buffer.scala 590:49] + node _T_4774 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:68] + node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 590:66] + io.lsu_axi_awvalid <= _T_4775 @[el2_lsu_bus_buffer.scala 590:22] io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 591:19] - node _T_4792 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 592:69] - node _T_4793 = cat(_T_4792, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4794 = mux(obuf_sideeffect, obuf_addr, _T_4793) @[el2_lsu_bus_buffer.scala 592:27] - io.lsu_axi_awaddr <= _T_4794 @[el2_lsu_bus_buffer.scala 592:21] - node _T_4795 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4796 = mux(obuf_sideeffect, _T_4795, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 593:27] - io.lsu_axi_awsize <= _T_4796 @[el2_lsu_bus_buffer.scala 593:21] + node _T_4776 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 592:69] + node _T_4777 = cat(_T_4776, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4778 = mux(obuf_sideeffect, obuf_addr, _T_4777) @[el2_lsu_bus_buffer.scala 592:27] + io.lsu_axi_awaddr <= _T_4778 @[el2_lsu_bus_buffer.scala 592:21] + node _T_4779 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4780 = mux(obuf_sideeffect, _T_4779, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 593:27] + io.lsu_axi_awsize <= _T_4780 @[el2_lsu_bus_buffer.scala 593:21] io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:21] - node _T_4797 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 595:28] - io.lsu_axi_awcache <= _T_4797 @[el2_lsu_bus_buffer.scala 595:22] - node _T_4798 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 596:35] - io.lsu_axi_awregion <= _T_4798 @[el2_lsu_bus_buffer.scala 596:23] + node _T_4781 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 595:28] + io.lsu_axi_awcache <= _T_4781 @[el2_lsu_bus_buffer.scala 595:22] + node _T_4782 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 596:35] + io.lsu_axi_awregion <= _T_4782 @[el2_lsu_bus_buffer.scala 596:23] io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 597:20] io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 598:22] io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 599:20] io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 600:21] - node _T_4799 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 602:35] - node _T_4800 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:50] - node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 602:48] - node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:68] - node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 602:66] - io.lsu_axi_wvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 602:21] - node _T_4804 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4805 = mux(_T_4804, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4806 = and(obuf_byteen, _T_4805) @[el2_lsu_bus_buffer.scala 603:35] - io.lsu_axi_wstrb <= _T_4806 @[el2_lsu_bus_buffer.scala 603:20] + node _T_4783 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 602:35] + node _T_4784 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:50] + node _T_4785 = and(_T_4783, _T_4784) @[el2_lsu_bus_buffer.scala 602:48] + node _T_4786 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:68] + node _T_4787 = and(_T_4785, _T_4786) @[el2_lsu_bus_buffer.scala 602:66] + io.lsu_axi_wvalid <= _T_4787 @[el2_lsu_bus_buffer.scala 602:21] + node _T_4788 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4789 = mux(_T_4788, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4790 = and(obuf_byteen, _T_4789) @[el2_lsu_bus_buffer.scala 603:35] + io.lsu_axi_wstrb <= _T_4790 @[el2_lsu_bus_buffer.scala 603:20] io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 604:20] io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 605:20] - node _T_4807 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4808 = and(obuf_valid, _T_4807) @[el2_lsu_bus_buffer.scala 607:36] - node _T_4809 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:52] - node _T_4810 = and(_T_4808, _T_4809) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4811 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:67] - node _T_4812 = and(_T_4810, _T_4811) @[el2_lsu_bus_buffer.scala 607:65] - io.lsu_axi_arvalid <= _T_4812 @[el2_lsu_bus_buffer.scala 607:22] + node _T_4791 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4792 = and(obuf_valid, _T_4791) @[el2_lsu_bus_buffer.scala 607:36] + node _T_4793 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:52] + node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4795 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:67] + node _T_4796 = and(_T_4794, _T_4795) @[el2_lsu_bus_buffer.scala 607:65] + io.lsu_axi_arvalid <= _T_4796 @[el2_lsu_bus_buffer.scala 607:22] io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 608:19] - node _T_4813 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 609:69] - node _T_4814 = cat(_T_4813, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4815 = mux(obuf_sideeffect, obuf_addr, _T_4814) @[el2_lsu_bus_buffer.scala 609:27] - io.lsu_axi_araddr <= _T_4815 @[el2_lsu_bus_buffer.scala 609:21] - node _T_4816 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4817 = mux(obuf_sideeffect, _T_4816, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 610:27] - io.lsu_axi_arsize <= _T_4817 @[el2_lsu_bus_buffer.scala 610:21] + node _T_4797 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 609:69] + node _T_4798 = cat(_T_4797, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4799 = mux(obuf_sideeffect, obuf_addr, _T_4798) @[el2_lsu_bus_buffer.scala 609:27] + io.lsu_axi_araddr <= _T_4799 @[el2_lsu_bus_buffer.scala 609:21] + node _T_4800 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4801 = mux(obuf_sideeffect, _T_4800, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 610:27] + io.lsu_axi_arsize <= _T_4801 @[el2_lsu_bus_buffer.scala 610:21] io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] - node _T_4818 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 612:28] - io.lsu_axi_arcache <= _T_4818 @[el2_lsu_bus_buffer.scala 612:22] - node _T_4819 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 613:35] - io.lsu_axi_arregion <= _T_4819 @[el2_lsu_bus_buffer.scala 613:23] + node _T_4802 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 612:28] + io.lsu_axi_arcache <= _T_4802 @[el2_lsu_bus_buffer.scala 612:22] + node _T_4803 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 613:35] + io.lsu_axi_arregion <= _T_4803 @[el2_lsu_bus_buffer.scala 613:23] io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 614:20] io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 615:22] io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 616:20] io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 617:21] io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 618:21] io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 619:21] - node _T_4820 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] - node _T_4821 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 620:125] - node _T_4822 = and(io.lsu_bus_clk_en_q, _T_4821) @[el2_lsu_bus_buffer.scala 620:114] - node _T_4823 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 620:140] - node _T_4824 = and(_T_4822, _T_4823) @[el2_lsu_bus_buffer.scala 620:129] - node _T_4825 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] - node _T_4826 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 620:125] - node _T_4827 = and(io.lsu_bus_clk_en_q, _T_4826) @[el2_lsu_bus_buffer.scala 620:114] - node _T_4828 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 620:140] - node _T_4829 = and(_T_4827, _T_4828) @[el2_lsu_bus_buffer.scala 620:129] - node _T_4830 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] - node _T_4831 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 620:125] - node _T_4832 = and(io.lsu_bus_clk_en_q, _T_4831) @[el2_lsu_bus_buffer.scala 620:114] - node _T_4833 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 620:140] - node _T_4834 = and(_T_4832, _T_4833) @[el2_lsu_bus_buffer.scala 620:129] - node _T_4835 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] - node _T_4836 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 620:125] - node _T_4837 = and(io.lsu_bus_clk_en_q, _T_4836) @[el2_lsu_bus_buffer.scala 620:114] - node _T_4838 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 620:140] - node _T_4839 = and(_T_4837, _T_4838) @[el2_lsu_bus_buffer.scala 620:129] - node _T_4840 = mux(_T_4820, _T_4824, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4841 = mux(_T_4825, _T_4829, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4842 = mux(_T_4830, _T_4834, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4843 = mux(_T_4835, _T_4839, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4844 = or(_T_4840, _T_4841) @[Mux.scala 27:72] - node _T_4845 = or(_T_4844, _T_4842) @[Mux.scala 27:72] - node _T_4846 = or(_T_4845, _T_4843) @[Mux.scala 27:72] - wire _T_4847 : UInt<1> @[Mux.scala 27:72] - _T_4847 <= _T_4846 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4847 @[el2_lsu_bus_buffer.scala 620:36] - node _T_4848 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] - node _T_4849 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 621:109] - node _T_4850 = and(_T_4848, _T_4849) @[el2_lsu_bus_buffer.scala 621:98] - node _T_4851 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 621:124] - node _T_4852 = and(_T_4850, _T_4851) @[el2_lsu_bus_buffer.scala 621:113] - node _T_4853 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] - node _T_4854 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 621:109] - node _T_4855 = and(_T_4853, _T_4854) @[el2_lsu_bus_buffer.scala 621:98] - node _T_4856 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 621:124] - node _T_4857 = and(_T_4855, _T_4856) @[el2_lsu_bus_buffer.scala 621:113] - node _T_4858 = mux(_T_4852, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4859 = mux(_T_4857, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4860 = or(_T_4858, _T_4859) @[Mux.scala 27:72] + node _T_4804 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4805 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4806 = and(io.lsu_bus_clk_en_q, _T_4805) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4807 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4808 = and(_T_4806, _T_4807) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4809 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4810 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4811 = and(io.lsu_bus_clk_en_q, _T_4810) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4812 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4813 = and(_T_4811, _T_4812) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4814 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4815 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4816 = and(io.lsu_bus_clk_en_q, _T_4815) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4817 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4818 = and(_T_4816, _T_4817) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4819 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] + node _T_4820 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 620:125] + node _T_4821 = and(io.lsu_bus_clk_en_q, _T_4820) @[el2_lsu_bus_buffer.scala 620:114] + node _T_4822 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 620:140] + node _T_4823 = and(_T_4821, _T_4822) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4824 = mux(_T_4804, _T_4808, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4825 = mux(_T_4809, _T_4813, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4826 = mux(_T_4814, _T_4818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4827 = mux(_T_4819, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4828 = or(_T_4824, _T_4825) @[Mux.scala 27:72] + node _T_4829 = or(_T_4828, _T_4826) @[Mux.scala 27:72] + node _T_4830 = or(_T_4829, _T_4827) @[Mux.scala 27:72] + wire _T_4831 : UInt<1> @[Mux.scala 27:72] + _T_4831 <= _T_4830 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4831 @[el2_lsu_bus_buffer.scala 620:36] + node _T_4832 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] + node _T_4833 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 621:109] + node _T_4834 = and(_T_4832, _T_4833) @[el2_lsu_bus_buffer.scala 621:98] + node _T_4835 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 621:124] + node _T_4836 = and(_T_4834, _T_4835) @[el2_lsu_bus_buffer.scala 621:113] + node _T_4837 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] + node _T_4838 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 621:109] + node _T_4839 = and(_T_4837, _T_4838) @[el2_lsu_bus_buffer.scala 621:98] + node _T_4840 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 621:124] + node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 621:113] + node _T_4842 = mux(_T_4836, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4843 = mux(_T_4841, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4844 = or(_T_4842, _T_4843) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4860 @[Mux.scala 27:72] - node _T_4861 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 623:72] - node _T_4862 = and(io.lsu_nonblock_load_data_error, _T_4861) @[el2_lsu_bus_buffer.scala 623:70] - io.lsu_imprecise_error_load_any <= _T_4862 @[el2_lsu_bus_buffer.scala 623:35] - node _T_4863 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4864 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4865 = mux(_T_4863, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4866 = mux(_T_4864, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4867 = or(_T_4865, _T_4866) @[Mux.scala 27:72] - wire _T_4868 : UInt<32> @[Mux.scala 27:72] - _T_4868 <= _T_4867 @[Mux.scala 27:72] - node _T_4869 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4870 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4871 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4872 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4873 = mux(_T_4869, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4874 = mux(_T_4870, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4875 = mux(_T_4871, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4876 = mux(_T_4872, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4877 = or(_T_4873, _T_4874) @[Mux.scala 27:72] - node _T_4878 = or(_T_4877, _T_4875) @[Mux.scala 27:72] - node _T_4879 = or(_T_4878, _T_4876) @[Mux.scala 27:72] - wire _T_4880 : UInt<32> @[Mux.scala 27:72] - _T_4880 <= _T_4879 @[Mux.scala 27:72] - node _T_4881 = mux(io.lsu_imprecise_error_store_any, _T_4868, _T_4880) @[el2_lsu_bus_buffer.scala 624:41] - io.lsu_imprecise_error_addr_any <= _T_4881 @[el2_lsu_bus_buffer.scala 624:35] + lsu_imprecise_error_store_tag <= _T_4844 @[Mux.scala 27:72] + node _T_4845 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 623:72] + node _T_4846 = and(io.lsu_nonblock_load_data_error, _T_4845) @[el2_lsu_bus_buffer.scala 623:70] + io.lsu_imprecise_error_load_any <= _T_4846 @[el2_lsu_bus_buffer.scala 623:35] + node _T_4847 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4848 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4849 = mux(_T_4847, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4850 = mux(_T_4848, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4851 = or(_T_4849, _T_4850) @[Mux.scala 27:72] + wire _T_4852 : UInt<32> @[Mux.scala 27:72] + _T_4852 <= _T_4851 @[Mux.scala 27:72] + node _T_4853 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4854 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4855 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4856 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4857 = mux(_T_4853, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4858 = mux(_T_4854, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4859 = mux(_T_4855, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4860 = mux(_T_4856, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4861 = or(_T_4857, _T_4858) @[Mux.scala 27:72] + node _T_4862 = or(_T_4861, _T_4859) @[Mux.scala 27:72] + node _T_4863 = or(_T_4862, _T_4860) @[Mux.scala 27:72] + wire _T_4864 : UInt<32> @[Mux.scala 27:72] + _T_4864 <= _T_4863 @[Mux.scala 27:72] + node _T_4865 = mux(io.lsu_imprecise_error_store_any, _T_4852, _T_4864) @[el2_lsu_bus_buffer.scala 624:41] + io.lsu_imprecise_error_addr_any <= _T_4865 @[el2_lsu_bus_buffer.scala 624:35] lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:25] io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 627:23] - node _T_4882 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 630:46] - node _T_4883 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 630:89] - node _T_4884 = or(_T_4882, _T_4883) @[el2_lsu_bus_buffer.scala 630:68] - node _T_4885 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 630:132] - node _T_4886 = or(_T_4884, _T_4885) @[el2_lsu_bus_buffer.scala 630:110] - io.lsu_pmu_bus_trxn <= _T_4886 @[el2_lsu_bus_buffer.scala 630:23] - node _T_4887 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 631:48] - node _T_4888 = and(_T_4887, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 631:65] - io.lsu_pmu_bus_misaligned <= _T_4888 @[el2_lsu_bus_buffer.scala 631:29] - node _T_4889 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 632:59] - io.lsu_pmu_bus_error <= _T_4889 @[el2_lsu_bus_buffer.scala 632:24] - node _T_4890 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:48] - node _T_4891 = and(io.lsu_axi_awvalid, _T_4890) @[el2_lsu_bus_buffer.scala 634:46] - node _T_4892 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:92] - node _T_4893 = and(io.lsu_axi_wvalid, _T_4892) @[el2_lsu_bus_buffer.scala 634:90] - node _T_4894 = or(_T_4891, _T_4893) @[el2_lsu_bus_buffer.scala 634:69] - node _T_4895 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:136] - node _T_4896 = and(io.lsu_axi_arvalid, _T_4895) @[el2_lsu_bus_buffer.scala 634:134] - node _T_4897 = or(_T_4894, _T_4896) @[el2_lsu_bus_buffer.scala 634:112] - io.lsu_pmu_bus_busy <= _T_4897 @[el2_lsu_bus_buffer.scala 634:23] - reg _T_4898 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 636:49] - _T_4898 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 636:49] - WrPtr0_r <= _T_4898 @[el2_lsu_bus_buffer.scala 636:12] - reg _T_4899 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 637:49] - _T_4899 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 637:49] - WrPtr1_r <= _T_4899 @[el2_lsu_bus_buffer.scala 637:12] - node _T_4900 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:75] - node _T_4901 = and(io.lsu_busreq_m, _T_4900) @[el2_lsu_bus_buffer.scala 638:73] - node _T_4902 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:89] - node _T_4903 = and(_T_4901, _T_4902) @[el2_lsu_bus_buffer.scala 638:87] - reg _T_4904 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 638:56] - _T_4904 <= _T_4903 @[el2_lsu_bus_buffer.scala 638:56] - io.lsu_busreq_r <= _T_4904 @[el2_lsu_bus_buffer.scala 638:19] - reg _T_4905 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 639:66] - _T_4905 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 639:66] - lsu_nonblock_load_valid_r <= _T_4905 @[el2_lsu_bus_buffer.scala 639:29] + node _T_4866 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 630:46] + node _T_4867 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 630:89] + node _T_4868 = or(_T_4866, _T_4867) @[el2_lsu_bus_buffer.scala 630:68] + node _T_4869 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 630:132] + node _T_4870 = or(_T_4868, _T_4869) @[el2_lsu_bus_buffer.scala 630:110] + io.lsu_pmu_bus_trxn <= _T_4870 @[el2_lsu_bus_buffer.scala 630:23] + node _T_4871 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 631:48] + node _T_4872 = and(_T_4871, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 631:65] + io.lsu_pmu_bus_misaligned <= _T_4872 @[el2_lsu_bus_buffer.scala 631:29] + node _T_4873 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 632:59] + io.lsu_pmu_bus_error <= _T_4873 @[el2_lsu_bus_buffer.scala 632:24] + node _T_4874 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:48] + node _T_4875 = and(io.lsu_axi_awvalid, _T_4874) @[el2_lsu_bus_buffer.scala 634:46] + node _T_4876 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:92] + node _T_4877 = and(io.lsu_axi_wvalid, _T_4876) @[el2_lsu_bus_buffer.scala 634:90] + node _T_4878 = or(_T_4875, _T_4877) @[el2_lsu_bus_buffer.scala 634:69] + node _T_4879 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:136] + node _T_4880 = and(io.lsu_axi_arvalid, _T_4879) @[el2_lsu_bus_buffer.scala 634:134] + node _T_4881 = or(_T_4878, _T_4880) @[el2_lsu_bus_buffer.scala 634:112] + io.lsu_pmu_bus_busy <= _T_4881 @[el2_lsu_bus_buffer.scala 634:23] + reg _T_4882 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 636:49] + _T_4882 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 636:49] + WrPtr0_r <= _T_4882 @[el2_lsu_bus_buffer.scala 636:12] + reg _T_4883 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 637:49] + _T_4883 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 637:49] + WrPtr1_r <= _T_4883 @[el2_lsu_bus_buffer.scala 637:12] + node _T_4884 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:75] + node _T_4885 = and(io.lsu_busreq_m, _T_4884) @[el2_lsu_bus_buffer.scala 638:73] + node _T_4886 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:89] + node _T_4887 = and(_T_4885, _T_4886) @[el2_lsu_bus_buffer.scala 638:87] + reg _T_4888 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 638:56] + _T_4888 <= _T_4887 @[el2_lsu_bus_buffer.scala 638:56] + io.lsu_busreq_r <= _T_4888 @[el2_lsu_bus_buffer.scala 638:19] + reg _T_4889 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 639:66] + _T_4889 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 639:66] + lsu_nonblock_load_valid_r <= _T_4889 @[el2_lsu_bus_buffer.scala 639:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index f6b611f3..a4b6ec2c 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -970,41 +970,33 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 544:79] - wire _T_4350 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] - wire _T_4351 = buf_write[3] & _T_4350; // @[el2_lsu_bus_buffer.scala 544:64] - wire _T_4352 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 544:91] - wire _T_4353 = _T_4351 & _T_4352; // @[el2_lsu_bus_buffer.scala 544:89] - wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 544:79] - wire _T_4344 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] - wire _T_4345 = buf_write[2] & _T_4344; // @[el2_lsu_bus_buffer.scala 544:64] - wire _T_4346 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 544:91] - wire _T_4347 = _T_4345 & _T_4346; // @[el2_lsu_bus_buffer.scala 544:89] - wire [1:0] _T_4354 = _T_4353 + _T_4347; // @[el2_lsu_bus_buffer.scala 544:142] - wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 544:79] - wire _T_4338 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] - wire _T_4339 = buf_write[1] & _T_4338; // @[el2_lsu_bus_buffer.scala 544:64] - wire _T_4340 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 544:91] - wire _T_4341 = _T_4339 & _T_4340; // @[el2_lsu_bus_buffer.scala 544:89] - wire [1:0] _GEN_368 = {{1'd0}, _T_4341}; // @[el2_lsu_bus_buffer.scala 544:142] - wire [2:0] _T_4355 = _T_4354 + _GEN_368; // @[el2_lsu_bus_buffer.scala 544:142] - wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 544:79] - wire _T_4332 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 544:79] - wire _T_4333 = buf_write[0] & _T_4332; // @[el2_lsu_bus_buffer.scala 544:64] - wire _T_4334 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 544:91] - wire _T_4335 = _T_4333 & _T_4334; // @[el2_lsu_bus_buffer.scala 544:89] - wire [2:0] _GEN_370 = {{2'd0}, _T_4335}; // @[el2_lsu_bus_buffer.scala 544:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4355 + _GEN_370; // @[el2_lsu_bus_buffer.scala 544:142] + wire _T_4347 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4348 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4349 = _T_4347 & _T_4348; // @[el2_lsu_bus_buffer.scala 544:89] + wire _T_4342 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4343 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4344 = _T_4342 & _T_4343; // @[el2_lsu_bus_buffer.scala 544:89] + wire [1:0] _T_4350 = _T_4349 + _T_4344; // @[el2_lsu_bus_buffer.scala 544:142] + wire _T_4337 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4338 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4339 = _T_4337 & _T_4338; // @[el2_lsu_bus_buffer.scala 544:89] + wire [1:0] _GEN_365 = {{1'd0}, _T_4339}; // @[el2_lsu_bus_buffer.scala 544:142] + wire [2:0] _T_4351 = _T_4350 + _GEN_365; // @[el2_lsu_bus_buffer.scala 544:142] + wire _T_4332 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 544:64] + wire _T_4333 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 544:91] + wire _T_4334 = _T_4332 & _T_4333; // @[el2_lsu_bus_buffer.scala 544:89] + wire [2:0] _GEN_366 = {{2'd0}, _T_4334}; // @[el2_lsu_bus_buffer.scala 544:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4351 + _GEN_366; // @[el2_lsu_bus_buffer.scala 544:142] wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4372 = _T_4350 & _T_4352; // @[el2_lsu_bus_buffer.scala 545:73] - wire _T_4368 = _T_4344 & _T_4346; // @[el2_lsu_bus_buffer.scala 545:73] - wire [1:0] _T_4373 = _T_4372 + _T_4368; // @[el2_lsu_bus_buffer.scala 545:126] - wire _T_4364 = _T_4338 & _T_4340; // @[el2_lsu_bus_buffer.scala 545:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 545:126] - wire [2:0] _T_4374 = _T_4373 + _GEN_374; // @[el2_lsu_bus_buffer.scala 545:126] - wire _T_4360 = _T_4332 & _T_4334; // @[el2_lsu_bus_buffer.scala 545:73] - wire [2:0] _GEN_376 = {{2'd0}, _T_4360}; // @[el2_lsu_bus_buffer.scala 545:126] - wire [3:0] buf_numvld_cmd_any = _T_4374 + _GEN_376; // @[el2_lsu_bus_buffer.scala 545:126] + wire _T_4364 = _T_2531 & _T_4348; // @[el2_lsu_bus_buffer.scala 545:73] + wire _T_4361 = _T_2527 & _T_4343; // @[el2_lsu_bus_buffer.scala 545:73] + wire [1:0] _T_4365 = _T_4364 + _T_4361; // @[el2_lsu_bus_buffer.scala 545:126] + wire _T_4358 = _T_2523 & _T_4338; // @[el2_lsu_bus_buffer.scala 545:73] + wire [1:0] _GEN_367 = {{1'd0}, _T_4358}; // @[el2_lsu_bus_buffer.scala 545:126] + wire [2:0] _T_4366 = _T_4365 + _GEN_367; // @[el2_lsu_bus_buffer.scala 545:126] + wire _T_4355 = _T_2519 & _T_4333; // @[el2_lsu_bus_buffer.scala 545:73] + wire [2:0] _GEN_368 = {{2'd0}, _T_4355}; // @[el2_lsu_bus_buffer.scala 545:126] + wire [3:0] buf_numvld_cmd_any = _T_4366 + _GEN_368; // @[el2_lsu_bus_buffer.scala 545:126] wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 293:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] @@ -1034,59 +1026,59 @@ module el2_lsu_bus_buffer( wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 296:79] wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4398 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] - wire _T_4403 = _T_4398 | _T_4372; // @[el2_lsu_bus_buffer.scala 546:73] - wire _T_4391 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] - wire _T_4396 = _T_4391 | _T_4368; // @[el2_lsu_bus_buffer.scala 546:73] - wire [1:0] _T_4404 = _T_4403 + _T_4396; // @[el2_lsu_bus_buffer.scala 546:153] - wire _T_4384 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] - wire _T_4389 = _T_4384 | _T_4364; // @[el2_lsu_bus_buffer.scala 546:73] - wire [1:0] _GEN_383 = {{1'd0}, _T_4389}; // @[el2_lsu_bus_buffer.scala 546:153] - wire [2:0] _T_4405 = _T_4404 + _GEN_383; // @[el2_lsu_bus_buffer.scala 546:153] - wire _T_4377 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] - wire _T_4382 = _T_4377 | _T_4360; // @[el2_lsu_bus_buffer.scala 546:73] - wire [2:0] _GEN_386 = {{2'd0}, _T_4382}; // @[el2_lsu_bus_buffer.scala 546:153] - wire [3:0] buf_numvld_pend_any = _T_4405 + _GEN_386; // @[el2_lsu_bus_buffer.scala 546:153] + wire _T_4383 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] + wire _T_4387 = _T_4383 | _T_4364; // @[el2_lsu_bus_buffer.scala 546:74] + wire _T_4378 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] + wire _T_4382 = _T_4378 | _T_4361; // @[el2_lsu_bus_buffer.scala 546:74] + wire [1:0] _T_4388 = _T_4387 + _T_4382; // @[el2_lsu_bus_buffer.scala 546:154] + wire _T_4373 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] + wire _T_4377 = _T_4373 | _T_4358; // @[el2_lsu_bus_buffer.scala 546:74] + wire [1:0] _GEN_369 = {{1'd0}, _T_4377}; // @[el2_lsu_bus_buffer.scala 546:154] + wire [2:0] _T_4389 = _T_4388 + _GEN_369; // @[el2_lsu_bus_buffer.scala 546:154] + wire _T_4368 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] + wire _T_4372 = _T_4368 | _T_4355; // @[el2_lsu_bus_buffer.scala 546:74] + wire [2:0] _GEN_370 = {{2'd0}, _T_4372}; // @[el2_lsu_bus_buffer.scala 546:154] + wire [3:0] buf_numvld_pend_any = _T_4389 + _GEN_370; // @[el2_lsu_bus_buffer.scala 546:154] wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 299:31] wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 299:61] wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4694 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] - wire _T_4696 = _T_4694 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4697 = _T_4696 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] - wire _T_4698 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] - wire _T_4700 = _T_4698 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4701 = _T_4700 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] - wire _T_4710 = _T_4697 | _T_4701; // @[el2_lsu_bus_buffer.scala 573:141] - wire _T_4702 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] - wire _T_4704 = _T_4702 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4705 = _T_4704 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] - wire _T_4711 = _T_4710 | _T_4705; // @[el2_lsu_bus_buffer.scala 573:141] - wire _T_4706 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] - wire _T_4708 = _T_4706 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4709 = _T_4708 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] - wire bus_sideeffect_pend = _T_4711 | _T_4709; // @[el2_lsu_bus_buffer.scala 573:141] + wire _T_4678 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4680 = _T_4678 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4681 = _T_4680 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire _T_4682 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4684 = _T_4682 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4685 = _T_4684 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire _T_4694 = _T_4681 | _T_4685; // @[el2_lsu_bus_buffer.scala 573:141] + wire _T_4686 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4688 = _T_4686 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4689 = _T_4688 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire _T_4695 = _T_4694 | _T_4689; // @[el2_lsu_bus_buffer.scala 573:141] + wire _T_4690 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] + wire _T_4692 = _T_4690 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4693 = _T_4692 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] + wire bus_sideeffect_pend = _T_4695 | _T_4693; // @[el2_lsu_bus_buffer.scala 573:141] wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 314:52] wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 314:50] wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 402:45] wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1909 = _T_1907 & _T_4352; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1909 = _T_1907 & _T_4348; // @[el2_lsu_bus_buffer.scala 402:88] wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 402:45] wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1903 = _T_1901 & _T_4346; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1903 = _T_1901 & _T_4343; // @[el2_lsu_bus_buffer.scala 402:88] wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 402:45] wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1897 = _T_1895 & _T_4340; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1897 = _T_1895 & _T_4338; // @[el2_lsu_bus_buffer.scala 402:88] wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 402:58] wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 402:45] wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1891 = _T_1889 & _T_4334; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_1891 = _T_1889 & _T_4333; // @[el2_lsu_bus_buffer.scala 402:88] wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 407:31] wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] @@ -1117,28 +1109,28 @@ module el2_lsu_bus_buffer( wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 403:81] wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1955 = _T_1953 & _T_4352; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1955 = _T_1953 & _T_4348; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 403:76] wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 403:81] wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1944 = _T_1942 & _T_4346; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1944 = _T_1942 & _T_4343; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 403:76] wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 403:81] wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1933 = _T_1931 & _T_4340; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1933 = _T_1931 & _T_4338; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 403:76] wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 403:45] wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 403:83] wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 403:81] wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1922 = _T_1920 & _T_4334; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1922 = _T_1920 & _T_4333; // @[el2_lsu_bus_buffer.scala 403:123] wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 408:31] wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 317:181] @@ -1150,10 +1142,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4769 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 577:54] - wire _T_4770 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:75] - wire _T_4772 = _T_4769 ? _T_4770 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:39] - wire bus_cmd_ready = obuf_write ? _T_4772 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 577:23] + wire _T_4753 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 577:54] + wire _T_4754 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:75] + wire _T_4756 = _T_4753 ? _T_4754 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:39] + wire bus_cmd_ready = obuf_write ? _T_4756 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 577:23] wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 318:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1162,52 +1154,52 @@ module el2_lsu_bus_buffer( wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 318:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4717 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] - wire _T_4718 = obuf_valid & _T_4717; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_4720 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4721 = obuf_merge & _T_4720; // @[el2_lsu_bus_buffer.scala 575:114] - wire _T_4722 = _T_3471 | _T_4721; // @[el2_lsu_bus_buffer.scala 575:100] - wire _T_4723 = ~_T_4722; // @[el2_lsu_bus_buffer.scala 575:80] - wire _T_4724 = _T_4718 & _T_4723; // @[el2_lsu_bus_buffer.scala 575:78] - wire _T_4761 = _T_4694 & _T_4724; // @[Mux.scala 27:72] - wire _T_4729 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] - wire _T_4730 = obuf_valid & _T_4729; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_4732 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4733 = obuf_merge & _T_4732; // @[el2_lsu_bus_buffer.scala 575:114] - wire _T_4734 = _T_3664 | _T_4733; // @[el2_lsu_bus_buffer.scala 575:100] - wire _T_4735 = ~_T_4734; // @[el2_lsu_bus_buffer.scala 575:80] - wire _T_4736 = _T_4730 & _T_4735; // @[el2_lsu_bus_buffer.scala 575:78] - wire _T_4762 = _T_4698 & _T_4736; // @[Mux.scala 27:72] - wire _T_4765 = _T_4761 | _T_4762; // @[Mux.scala 27:72] - wire _T_4741 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] - wire _T_4742 = obuf_valid & _T_4741; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_4744 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4745 = obuf_merge & _T_4744; // @[el2_lsu_bus_buffer.scala 575:114] - wire _T_4746 = _T_3857 | _T_4745; // @[el2_lsu_bus_buffer.scala 575:100] - wire _T_4747 = ~_T_4746; // @[el2_lsu_bus_buffer.scala 575:80] - wire _T_4748 = _T_4742 & _T_4747; // @[el2_lsu_bus_buffer.scala 575:78] - wire _T_4763 = _T_4702 & _T_4748; // @[Mux.scala 27:72] - wire _T_4766 = _T_4765 | _T_4763; // @[Mux.scala 27:72] - wire _T_4753 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] - wire _T_4754 = obuf_valid & _T_4753; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_4756 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4757 = obuf_merge & _T_4756; // @[el2_lsu_bus_buffer.scala 575:114] - wire _T_4758 = _T_4050 | _T_4757; // @[el2_lsu_bus_buffer.scala 575:100] - wire _T_4759 = ~_T_4758; // @[el2_lsu_bus_buffer.scala 575:80] - wire _T_4760 = _T_4754 & _T_4759; // @[el2_lsu_bus_buffer.scala 575:78] - wire _T_4764 = _T_4706 & _T_4760; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4766 | _T_4764; // @[Mux.scala 27:72] + wire _T_4701 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4702 = obuf_valid & _T_4701; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4704 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4705 = obuf_merge & _T_4704; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4706 = _T_3471 | _T_4705; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4707 = ~_T_4706; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4708 = _T_4702 & _T_4707; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4745 = _T_4678 & _T_4708; // @[Mux.scala 27:72] + wire _T_4713 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4714 = obuf_valid & _T_4713; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4716 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4717 = obuf_merge & _T_4716; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4718 = _T_3664 | _T_4717; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4719 = ~_T_4718; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4720 = _T_4714 & _T_4719; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4746 = _T_4682 & _T_4720; // @[Mux.scala 27:72] + wire _T_4749 = _T_4745 | _T_4746; // @[Mux.scala 27:72] + wire _T_4725 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4726 = obuf_valid & _T_4725; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4728 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4729 = obuf_merge & _T_4728; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4730 = _T_3857 | _T_4729; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4731 = ~_T_4730; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4732 = _T_4726 & _T_4731; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4747 = _T_4686 & _T_4732; // @[Mux.scala 27:72] + wire _T_4750 = _T_4749 | _T_4747; // @[Mux.scala 27:72] + wire _T_4737 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] + wire _T_4738 = obuf_valid & _T_4737; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_4740 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4741 = obuf_merge & _T_4740; // @[el2_lsu_bus_buffer.scala 575:114] + wire _T_4742 = _T_4050 | _T_4741; // @[el2_lsu_bus_buffer.scala 575:100] + wire _T_4743 = ~_T_4742; // @[el2_lsu_bus_buffer.scala 575:80] + wire _T_4744 = _T_4738 & _T_4743; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4748 = _T_4690 & _T_4744; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4750 | _T_4748; // @[Mux.scala 27:72] wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 318:116] wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 578:39] - wire _T_4776 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 580:35] + wire _T_4760 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 580:35] wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 579:39] - wire _T_4777 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 580:70] - wire _T_4778 = _T_4776 & _T_4777; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4779 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 580:111] - wire bus_cmd_sent = _T_4778 | _T_4779; // @[el2_lsu_bus_buffer.scala 580:89] + wire _T_4761 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 580:70] + wire _T_4762 = _T_4760 & _T_4761; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4763 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 580:111] + wire bus_cmd_sent = _T_4762 | _T_4763; // @[el2_lsu_bus_buffer.scala 580:89] wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 320:33] wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 320:63] @@ -1480,16 +1472,16 @@ module el2_lsu_bus_buffer( reg _T_4210; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4216,_T_4214,_T_4212,_T_4210}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_3547 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 494:47] + wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 494:47] wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 494:27] wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 493:77] wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 495:26] wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 495:42] wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_3555 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 495:94] + wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 495:94] wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 495:74] wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 494:71] wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 493:25] @@ -1506,8 +1498,8 @@ module el2_lsu_bus_buffer( wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 507:58] wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 507:58] - wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_3597 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 507:58] wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 507:38] wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 506:95] wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 506:45] @@ -1533,14 +1525,11 @@ module el2_lsu_bus_buffer( wire _GEN_64 = _T_3460 ? _T_3463 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3437 ? _T_3453 : _GEN_64; // @[Conditional.scala 40:58] wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_2055 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] - wire _T_2058 = _T_2519 & _T_4334; // @[el2_lsu_bus_buffer.scala 431:57] - wire _T_2059 = _T_2055 | _T_2058; // @[el2_lsu_bus_buffer.scala 431:31] wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 432:23] wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 432:41] wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:71] wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2067 = _T_2059 | _T_2066; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2067 = _T_4372 | _T_2066; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 433:17] wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 433:35] wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 433:52] @@ -1548,29 +1537,20 @@ module el2_lsu_bus_buffer( wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2080 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] - wire _T_2083 = _T_2523 & _T_4340; // @[el2_lsu_bus_buffer.scala 431:57] - wire _T_2084 = _T_2080 | _T_2083; // @[el2_lsu_bus_buffer.scala 431:31] wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2092 = _T_2084 | _T_2091; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2092 = _T_4377 | _T_2091; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2105 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] - wire _T_2108 = _T_2527 & _T_4346; // @[el2_lsu_bus_buffer.scala 431:57] - wire _T_2109 = _T_2105 | _T_2108; // @[el2_lsu_bus_buffer.scala 431:31] wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2117 = _T_2109 | _T_2116; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2117 = _T_4382 | _T_2116; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2130 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 431:20] - wire _T_2133 = _T_2531 & _T_4352; // @[el2_lsu_bus_buffer.scala 431:57] - wire _T_2134 = _T_2130 | _T_2133; // @[el2_lsu_bus_buffer.scala 431:31] wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2142 = _T_2134 | _T_2141; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2142 = _T_4387 | _T_2141; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 430:113] @@ -1589,8 +1569,8 @@ module el2_lsu_bus_buffer( wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 492:73] wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 492:52] wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 493:46] - wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_3740 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 494:47] + wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 494:47] wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 494:27] wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 493:77] wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 495:26] @@ -1598,8 +1578,8 @@ module el2_lsu_bus_buffer( wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 495:42] wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_3748 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 495:94] + wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 495:94] wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 495:74] wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 494:71] wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 493:25] @@ -1613,8 +1593,8 @@ module el2_lsu_bus_buffer( wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 507:58] wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 507:58] - wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_3790 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 507:58] wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 507:38] wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 506:95] wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 506:45] @@ -1641,26 +1621,26 @@ module el2_lsu_bus_buffer( wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:71] wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2169 = _T_2059 | _T_2168; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2169 = _T_4372 | _T_2168; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 433:52] wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 433:97] wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2194 = _T_2084 | _T_2193; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2194 = _T_4377 | _T_2193; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 433:97] wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2219 = _T_2109 | _T_2218; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2219 = _T_4382 | _T_2218; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 433:97] wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2244 = _T_2134 | _T_2243; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2244 = _T_4387 | _T_2243; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 430:113] @@ -1679,8 +1659,8 @@ module el2_lsu_bus_buffer( wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 492:73] wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 492:52] wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 493:46] - wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_3933 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 494:47] + wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 494:47] wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 494:27] wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 493:77] wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 495:26] @@ -1688,8 +1668,8 @@ module el2_lsu_bus_buffer( wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 495:42] wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_3941 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 495:94] + wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 495:94] wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 495:74] wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 494:71] wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 493:25] @@ -1703,8 +1683,8 @@ module el2_lsu_bus_buffer( wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 507:58] wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 507:58] - wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_3983 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 507:58] wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 507:38] wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 506:95] wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 506:45] @@ -1731,26 +1711,26 @@ module el2_lsu_bus_buffer( wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:71] wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2271 = _T_2059 | _T_2270; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2271 = _T_4372 | _T_2270; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 433:52] wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 433:97] wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2296 = _T_2084 | _T_2295; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2296 = _T_4377 | _T_2295; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 433:97] wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2321 = _T_2109 | _T_2320; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2321 = _T_4382 | _T_2320; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 433:97] wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2346 = _T_2134 | _T_2345; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2346 = _T_4387 | _T_2345; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 430:113] @@ -1769,8 +1749,8 @@ module el2_lsu_bus_buffer( wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 492:73] wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 492:52] wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 493:46] - wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_4126 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 494:47] + wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 494:47] + wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 494:47] wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 494:27] wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 493:77] wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 495:26] @@ -1778,8 +1758,8 @@ module el2_lsu_bus_buffer( wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 495:42] wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 495:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_4134 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 495:94] + wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 495:94] + wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 495:94] wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 495:74] wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 494:71] wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 493:25] @@ -1793,8 +1773,8 @@ module el2_lsu_bus_buffer( wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 507:58] wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 507:58] - wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_4176 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 507:58] + wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 507:58] + wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 507:58] wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 507:38] wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 506:95] wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 506:45] @@ -1821,26 +1801,26 @@ module el2_lsu_bus_buffer( wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:71] wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2373 = _T_2059 | _T_2372; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2373 = _T_4372 | _T_2372; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 433:52] wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 433:97] wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2398 = _T_2084 | _T_2397; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2398 = _T_4377 | _T_2397; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 433:97] wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2423 = _T_2109 | _T_2422; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2423 = _T_4382 | _T_2422; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 430:113] wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 433:97] wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2448 = _T_2134 | _T_2447; // @[el2_lsu_bus_buffer.scala 431:86] + wire _T_2448 = _T_4387 | _T_2447; // @[el2_lsu_bus_buffer.scala 431:86] wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 432:114] wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 430:113] @@ -2019,15 +1999,15 @@ module el2_lsu_bus_buffer( wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] - wire _T_4785 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 586:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4785; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_4769 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 586:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4769; // @[el2_lsu_bus_buffer.scala 586:38] wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 499:91] wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 500:31] wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 500:46] wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 499:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4785; // @[el2_lsu_bus_buffer.scala 585:40] + wire bus_rsp_write_error = bus_rsp_write & _T_4769; // @[el2_lsu_bus_buffer.scala 585:40] wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 501:53] wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 500:88] wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 499:68] @@ -2047,9 +2027,9 @@ module el2_lsu_bus_buffer( wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 490:90] wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 490:61] - wire _T_4411 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 547:93] - wire _T_4412 = _T_4411 | _T_2649; // @[el2_lsu_bus_buffer.scala 547:93] - wire any_done_wait_state = _T_4412 | _T_2646; // @[el2_lsu_bus_buffer.scala 547:93] + wire _T_4395 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 547:93] + wire _T_4396 = _T_4395 | _T_2649; // @[el2_lsu_bus_buffer.scala 547:93] + wire any_done_wait_state = _T_4396 | _T_2646; // @[el2_lsu_bus_buffer.scala 547:93] wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2343,184 +2323,184 @@ module el2_lsu_bus_buffer( wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 541:86] wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 541:128] wire [1:0] _T_4328 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 543:96] - wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 543:96] - wire [2:0] _T_4329 = _T_4328 + _GEN_407; // @[el2_lsu_bus_buffer.scala 543:96] - wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 543:96] - wire [3:0] buf_numvld_any = _T_4329 + _GEN_408; // @[el2_lsu_bus_buffer.scala 543:96] - wire _T_4415 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 549:52] - wire _T_4416 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 549:92] - wire _T_4417 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 549:119] - wire _T_4419 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 550:52] - wire _T_4420 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 550:52] - wire _T_4421 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 550:52] - wire _T_4422 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 550:52] - wire _T_4423 = _T_4419 | _T_4420; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4424 = _T_4423 | _T_4421; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4425 = _T_4424 | _T_4422; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4426 = ~_T_4425; // @[el2_lsu_bus_buffer.scala 550:34] - wire _T_4428 = _T_4426 & _T_765; // @[el2_lsu_bus_buffer.scala 550:70] - wire _T_4431 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 552:51] - wire _T_4432 = _T_4431 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 552:72] - wire _T_4433 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 552:94] - wire _T_4434 = _T_4432 & _T_4433; // @[el2_lsu_bus_buffer.scala 552:92] - wire _T_4435 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 552:111] - wire _T_4437 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 555:61] + wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 543:96] + wire [2:0] _T_4329 = _T_4328 + _GEN_391; // @[el2_lsu_bus_buffer.scala 543:96] + wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 543:96] + wire [3:0] buf_numvld_any = _T_4329 + _GEN_392; // @[el2_lsu_bus_buffer.scala 543:96] + wire _T_4399 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 549:52] + wire _T_4400 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 549:92] + wire _T_4401 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 549:119] + wire _T_4403 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4404 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4405 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4406 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 550:52] + wire _T_4407 = _T_4403 | _T_4404; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4408 = _T_4407 | _T_4405; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4409 = _T_4408 | _T_4406; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4410 = ~_T_4409; // @[el2_lsu_bus_buffer.scala 550:34] + wire _T_4412 = _T_4410 & _T_765; // @[el2_lsu_bus_buffer.scala 550:70] + wire _T_4415 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 552:51] + wire _T_4416 = _T_4415 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 552:72] + wire _T_4417 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 552:94] + wire _T_4418 = _T_4416 & _T_4417; // @[el2_lsu_bus_buffer.scala 552:92] + wire _T_4419 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 552:111] + wire _T_4421 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 555:61] reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 639:66] - wire _T_4455 = _T_2708 & _T_1130; // @[Mux.scala 27:72] - wire _T_4456 = _T_2730 & _T_3745; // @[Mux.scala 27:72] - wire _T_4457 = _T_2752 & _T_3938; // @[Mux.scala 27:72] - wire _T_4458 = _T_2774 & _T_4131; // @[Mux.scala 27:72] - wire _T_4459 = _T_4455 | _T_4456; // @[Mux.scala 27:72] - wire _T_4460 = _T_4459 | _T_4457; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4460 | _T_4458; // @[Mux.scala 27:72] - wire _T_4466 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 558:108] - wire _T_4471 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 558:108] - wire _T_4476 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 558:108] - wire _T_4481 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 558:108] - wire _T_4482 = _T_2708 & _T_4466; // @[Mux.scala 27:72] - wire _T_4483 = _T_2730 & _T_4471; // @[Mux.scala 27:72] - wire _T_4484 = _T_2752 & _T_4476; // @[Mux.scala 27:72] - wire _T_4485 = _T_2774 & _T_4481; // @[Mux.scala 27:72] - wire _T_4486 = _T_4482 | _T_4483; // @[Mux.scala 27:72] - wire _T_4487 = _T_4486 | _T_4484; // @[Mux.scala 27:72] - wire _T_4494 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 559:109] - wire _T_4495 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4439 = _T_2708 & _T_1130; // @[Mux.scala 27:72] + wire _T_4440 = _T_2730 & _T_3745; // @[Mux.scala 27:72] + wire _T_4441 = _T_2752 & _T_3938; // @[Mux.scala 27:72] + wire _T_4442 = _T_2774 & _T_4131; // @[Mux.scala 27:72] + wire _T_4443 = _T_4439 | _T_4440; // @[Mux.scala 27:72] + wire _T_4444 = _T_4443 | _T_4441; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4444 | _T_4442; // @[Mux.scala 27:72] + wire _T_4450 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4455 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4460 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4465 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4466 = _T_2708 & _T_4450; // @[Mux.scala 27:72] + wire _T_4467 = _T_2730 & _T_4455; // @[Mux.scala 27:72] + wire _T_4468 = _T_2752 & _T_4460; // @[Mux.scala 27:72] + wire _T_4469 = _T_2774 & _T_4465; // @[Mux.scala 27:72] + wire _T_4470 = _T_4466 | _T_4467; // @[Mux.scala 27:72] + wire _T_4471 = _T_4470 | _T_4468; // @[Mux.scala 27:72] + wire _T_4478 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4479 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4480 = _T_4478 | _T_4479; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4481 = _T_4439 & _T_4480; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4486 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4487 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4488 = _T_4486 | _T_4487; // @[el2_lsu_bus_buffer.scala 559:122] + wire _T_4489 = _T_4440 & _T_4488; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4494 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4495 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 559:124] wire _T_4496 = _T_4494 | _T_4495; // @[el2_lsu_bus_buffer.scala 559:122] - wire _T_4497 = _T_4455 & _T_4496; // @[el2_lsu_bus_buffer.scala 559:106] - wire _T_4502 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 559:109] - wire _T_4503 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 559:124] + wire _T_4497 = _T_4441 & _T_4496; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4502 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 559:109] + wire _T_4503 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 559:124] wire _T_4504 = _T_4502 | _T_4503; // @[el2_lsu_bus_buffer.scala 559:122] - wire _T_4505 = _T_4456 & _T_4504; // @[el2_lsu_bus_buffer.scala 559:106] - wire _T_4510 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 559:109] - wire _T_4511 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 559:124] - wire _T_4512 = _T_4510 | _T_4511; // @[el2_lsu_bus_buffer.scala 559:122] - wire _T_4513 = _T_4457 & _T_4512; // @[el2_lsu_bus_buffer.scala 559:106] - wire _T_4518 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 559:109] - wire _T_4519 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 559:124] - wire _T_4520 = _T_4518 | _T_4519; // @[el2_lsu_bus_buffer.scala 559:122] - wire _T_4521 = _T_4458 & _T_4520; // @[el2_lsu_bus_buffer.scala 559:106] - wire [1:0] _T_4524 = _T_4513 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4525 = _T_4521 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_409 = {{1'd0}, _T_4505}; // @[Mux.scala 27:72] - wire [1:0] _T_4527 = _GEN_409 | _T_4524; // @[Mux.scala 27:72] - wire [31:0] _T_4562 = _T_4497 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4563 = _T_4505 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4564 = _T_4513 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4565 = _T_4521 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4566 = _T_4562 | _T_4563; // @[Mux.scala 27:72] - wire [31:0] _T_4567 = _T_4566 | _T_4564; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4567 | _T_4565; // @[Mux.scala 27:72] - wire _T_4573 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 561:120] - wire _T_4574 = _T_4455 & _T_4573; // @[el2_lsu_bus_buffer.scala 561:105] - wire _T_4579 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 561:120] - wire _T_4580 = _T_4456 & _T_4579; // @[el2_lsu_bus_buffer.scala 561:105] - wire _T_4585 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 561:120] - wire _T_4586 = _T_4457 & _T_4585; // @[el2_lsu_bus_buffer.scala 561:105] - wire _T_4591 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 561:120] - wire _T_4592 = _T_4458 & _T_4591; // @[el2_lsu_bus_buffer.scala 561:105] - wire [31:0] _T_4593 = _T_4574 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4594 = _T_4580 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4595 = _T_4586 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4596 = _T_4592 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4597 = _T_4593 | _T_4594; // @[Mux.scala 27:72] - wire [31:0] _T_4598 = _T_4597 | _T_4595; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4598 | _T_4596; // @[Mux.scala 27:72] - wire _T_4600 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4601 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4602 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4603 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4604 = _T_4600 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4605 = _T_4601 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4606 = _T_4602 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4607 = _T_4603 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4608 = _T_4604 | _T_4605; // @[Mux.scala 27:72] - wire [31:0] _T_4609 = _T_4608 | _T_4606; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_addr_offset = _T_4609 | _T_4607; // @[Mux.scala 27:72] - wire [1:0] _T_4615 = _T_4600 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4616 = _T_4601 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4617 = _T_4602 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4618 = _T_4603 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4619 = _T_4615 | _T_4616; // @[Mux.scala 27:72] - wire [1:0] _T_4620 = _T_4619 | _T_4617; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4620 | _T_4618; // @[Mux.scala 27:72] - wire _T_4630 = _T_4600 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4631 = _T_4601 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4632 = _T_4602 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4633 = _T_4603 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4634 = _T_4630 | _T_4631; // @[Mux.scala 27:72] - wire _T_4635 = _T_4634 | _T_4632; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4635 | _T_4633; // @[Mux.scala 27:72] - wire [63:0] _T_4655 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [35:0] _T_4656 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 566:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4655 >> _T_4656; // @[el2_lsu_bus_buffer.scala 566:92] - wire _T_4657 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 567:69] - wire _T_4659 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 568:81] - wire _T_4660 = lsu_nonblock_unsign & _T_4659; // @[el2_lsu_bus_buffer.scala 568:63] - wire [31:0] _T_4662 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4663 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 569:45] - wire _T_4664 = lsu_nonblock_unsign & _T_4663; // @[el2_lsu_bus_buffer.scala 569:26] - wire [31:0] _T_4666 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4667 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 570:6] - wire _T_4669 = _T_4667 & _T_4659; // @[el2_lsu_bus_buffer.scala 570:27] - wire [23:0] _T_4672 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4674 = {_T_4672,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4677 = _T_4667 & _T_4663; // @[el2_lsu_bus_buffer.scala 571:27] - wire [15:0] _T_4680 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4682 = {_T_4680,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4683 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 572:21] - wire [31:0] _T_4684 = _T_4660 ? _T_4662 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4685 = _T_4664 ? _T_4666 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4686 = _T_4669 ? _T_4674 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4687 = _T_4677 ? _T_4682 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4688 = _T_4683 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4689 = _T_4684 | _T_4685; // @[Mux.scala 27:72] - wire [31:0] _T_4690 = _T_4689 | _T_4686; // @[Mux.scala 27:72] - wire [31:0] _T_4691 = _T_4690 | _T_4687; // @[Mux.scala 27:72] - wire [63:0] _GEN_410 = {{32'd0}, _T_4691}; // @[Mux.scala 27:72] - wire [63:0] _T_4692 = _GEN_410 | _T_4688; // @[Mux.scala 27:72] - wire _T_4787 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 590:36] - wire _T_4788 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 590:51] - wire _T_4789 = _T_4787 & _T_4788; // @[el2_lsu_bus_buffer.scala 590:49] - wire [31:0] _T_4793 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4795 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4800 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 602:50] - wire _T_4801 = _T_4787 & _T_4800; // @[el2_lsu_bus_buffer.scala 602:48] - wire [7:0] _T_4805 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4808 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 607:36] - wire _T_4810 = _T_4808 & _T_1275; // @[el2_lsu_bus_buffer.scala 607:50] - wire _T_4822 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 620:114] - wire _T_4824 = _T_4822 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 620:129] - wire _T_4827 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 620:114] - wire _T_4829 = _T_4827 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 620:129] - wire _T_4832 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 620:114] - wire _T_4834 = _T_4832 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 620:129] - wire _T_4837 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 620:114] - wire _T_4839 = _T_4837 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 620:129] - wire _T_4840 = _T_2708 & _T_4824; // @[Mux.scala 27:72] - wire _T_4841 = _T_2730 & _T_4829; // @[Mux.scala 27:72] - wire _T_4842 = _T_2752 & _T_4834; // @[Mux.scala 27:72] - wire _T_4843 = _T_2774 & _T_4839; // @[Mux.scala 27:72] - wire _T_4844 = _T_4840 | _T_4841; // @[Mux.scala 27:72] - wire _T_4845 = _T_4844 | _T_4842; // @[Mux.scala 27:72] - wire _T_4855 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 621:98] - wire lsu_imprecise_error_store_tag = _T_4855 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 621:113] - wire _T_4861 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 623:72] - wire _T_4863 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4865 = _T_4863 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4866 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4867 = _T_4865 | _T_4866; // @[Mux.scala 27:72] - wire _T_4884 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 630:68] - wire _T_4887 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 631:48] - wire _T_4890 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 634:48] - wire _T_4891 = io_lsu_axi_awvalid & _T_4890; // @[el2_lsu_bus_buffer.scala 634:46] - wire _T_4892 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 634:92] - wire _T_4893 = io_lsu_axi_wvalid & _T_4892; // @[el2_lsu_bus_buffer.scala 634:90] - wire _T_4894 = _T_4891 | _T_4893; // @[el2_lsu_bus_buffer.scala 634:69] - wire _T_4895 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 634:136] - wire _T_4896 = io_lsu_axi_arvalid & _T_4895; // @[el2_lsu_bus_buffer.scala 634:134] - wire _T_4900 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 638:75] - wire _T_4901 = io_lsu_busreq_m & _T_4900; // @[el2_lsu_bus_buffer.scala 638:73] - reg _T_4904; // @[el2_lsu_bus_buffer.scala 638:56] + wire _T_4505 = _T_4442 & _T_4504; // @[el2_lsu_bus_buffer.scala 559:106] + wire [1:0] _T_4508 = _T_4497 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4509 = _T_4505 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_393 = {{1'd0}, _T_4489}; // @[Mux.scala 27:72] + wire [1:0] _T_4511 = _GEN_393 | _T_4508; // @[Mux.scala 27:72] + wire [31:0] _T_4546 = _T_4481 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4547 = _T_4489 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4548 = _T_4497 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4549 = _T_4505 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4550 = _T_4546 | _T_4547; // @[Mux.scala 27:72] + wire [31:0] _T_4551 = _T_4550 | _T_4548; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4551 | _T_4549; // @[Mux.scala 27:72] + wire _T_4557 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4558 = _T_4439 & _T_4557; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4563 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4564 = _T_4440 & _T_4563; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4569 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4570 = _T_4441 & _T_4569; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4575 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 561:120] + wire _T_4576 = _T_4442 & _T_4575; // @[el2_lsu_bus_buffer.scala 561:105] + wire [31:0] _T_4577 = _T_4558 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4578 = _T_4564 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4579 = _T_4570 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4580 = _T_4576 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4581 = _T_4577 | _T_4578; // @[Mux.scala 27:72] + wire [31:0] _T_4582 = _T_4581 | _T_4579; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4582 | _T_4580; // @[Mux.scala 27:72] + wire _T_4584 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4585 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4586 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4587 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4588 = _T_4584 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4589 = _T_4585 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4590 = _T_4586 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4591 = _T_4587 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4592 = _T_4588 | _T_4589; // @[Mux.scala 27:72] + wire [31:0] _T_4593 = _T_4592 | _T_4590; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_addr_offset = _T_4593 | _T_4591; // @[Mux.scala 27:72] + wire [1:0] _T_4599 = _T_4584 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4600 = _T_4585 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4601 = _T_4586 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4602 = _T_4587 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4603 = _T_4599 | _T_4600; // @[Mux.scala 27:72] + wire [1:0] _T_4604 = _T_4603 | _T_4601; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4604 | _T_4602; // @[Mux.scala 27:72] + wire _T_4614 = _T_4584 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4615 = _T_4585 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4616 = _T_4586 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4617 = _T_4587 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4618 = _T_4614 | _T_4615; // @[Mux.scala 27:72] + wire _T_4619 = _T_4618 | _T_4616; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4619 | _T_4617; // @[Mux.scala 27:72] + wire [63:0] _T_4639 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [35:0] _T_4640 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 566:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4639 >> _T_4640; // @[el2_lsu_bus_buffer.scala 566:92] + wire _T_4641 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 567:69] + wire _T_4643 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 568:81] + wire _T_4644 = lsu_nonblock_unsign & _T_4643; // @[el2_lsu_bus_buffer.scala 568:63] + wire [31:0] _T_4646 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4647 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 569:45] + wire _T_4648 = lsu_nonblock_unsign & _T_4647; // @[el2_lsu_bus_buffer.scala 569:26] + wire [31:0] _T_4650 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4651 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 570:6] + wire _T_4653 = _T_4651 & _T_4643; // @[el2_lsu_bus_buffer.scala 570:27] + wire [23:0] _T_4656 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4658 = {_T_4656,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4661 = _T_4651 & _T_4647; // @[el2_lsu_bus_buffer.scala 571:27] + wire [15:0] _T_4664 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4666 = {_T_4664,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4667 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 572:21] + wire [31:0] _T_4668 = _T_4644 ? _T_4646 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4648 ? _T_4650 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4653 ? _T_4658 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4661 ? _T_4666 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4672 = _T_4667 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4673 = _T_4668 | _T_4669; // @[Mux.scala 27:72] + wire [31:0] _T_4674 = _T_4673 | _T_4670; // @[Mux.scala 27:72] + wire [31:0] _T_4675 = _T_4674 | _T_4671; // @[Mux.scala 27:72] + wire [63:0] _GEN_394 = {{32'd0}, _T_4675}; // @[Mux.scala 27:72] + wire [63:0] _T_4676 = _GEN_394 | _T_4672; // @[Mux.scala 27:72] + wire _T_4771 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 590:36] + wire _T_4772 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 590:51] + wire _T_4773 = _T_4771 & _T_4772; // @[el2_lsu_bus_buffer.scala 590:49] + wire [31:0] _T_4777 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4779 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4784 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 602:50] + wire _T_4785 = _T_4771 & _T_4784; // @[el2_lsu_bus_buffer.scala 602:48] + wire [7:0] _T_4789 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4792 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 607:36] + wire _T_4794 = _T_4792 & _T_1275; // @[el2_lsu_bus_buffer.scala 607:50] + wire _T_4806 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4808 = _T_4806 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4811 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4813 = _T_4811 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4816 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4818 = _T_4816 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4821 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 620:114] + wire _T_4823 = _T_4821 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4824 = _T_2708 & _T_4808; // @[Mux.scala 27:72] + wire _T_4825 = _T_2730 & _T_4813; // @[Mux.scala 27:72] + wire _T_4826 = _T_2752 & _T_4818; // @[Mux.scala 27:72] + wire _T_4827 = _T_2774 & _T_4823; // @[Mux.scala 27:72] + wire _T_4828 = _T_4824 | _T_4825; // @[Mux.scala 27:72] + wire _T_4829 = _T_4828 | _T_4826; // @[Mux.scala 27:72] + wire _T_4839 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 621:98] + wire lsu_imprecise_error_store_tag = _T_4839 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 621:113] + wire _T_4845 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 623:72] + wire _T_4847 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4849 = _T_4847 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4850 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4851 = _T_4849 | _T_4850; // @[Mux.scala 27:72] + wire _T_4868 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 630:68] + wire _T_4871 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 631:48] + wire _T_4874 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 634:48] + wire _T_4875 = io_lsu_axi_awvalid & _T_4874; // @[el2_lsu_bus_buffer.scala 634:46] + wire _T_4876 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 634:92] + wire _T_4877 = io_lsu_axi_wvalid & _T_4876; // @[el2_lsu_bus_buffer.scala 634:90] + wire _T_4878 = _T_4875 | _T_4877; // @[el2_lsu_bus_buffer.scala 634:69] + wire _T_4879 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 634:136] + wire _T_4880 = io_lsu_axi_arvalid & _T_4879; // @[el2_lsu_bus_buffer.scala 634:134] + wire _T_4884 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 638:75] + wire _T_4885 = io_lsu_busreq_m & _T_4884; // @[el2_lsu_bus_buffer.scala 638:73] + reg _T_4888; // @[el2_lsu_bus_buffer.scala 638:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2593,52 +2573,52 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4904; // @[el2_lsu_bus_buffer.scala 638:19] + assign io_lsu_busreq_r = _T_4888; // @[el2_lsu_bus_buffer.scala 638:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 548:30] - assign io_lsu_bus_buffer_full_any = _T_4415 ? _T_4416 : _T_4417; // @[el2_lsu_bus_buffer.scala 549:30] - assign io_lsu_bus_buffer_empty_any = _T_4428 & _T_1157; // @[el2_lsu_bus_buffer.scala 550:31] + assign io_lsu_bus_buffer_full_any = _T_4399 ? _T_4400 : _T_4401; // @[el2_lsu_bus_buffer.scala 549:30] + assign io_lsu_bus_buffer_empty_any = _T_4412 & _T_1157; // @[el2_lsu_bus_buffer.scala 550:31] assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 627:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4861; // @[el2_lsu_bus_buffer.scala 623:35] - assign io_lsu_imprecise_error_store_any = _T_4845 | _T_4843; // @[el2_lsu_bus_buffer.scala 620:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4867 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 624:35] - assign io_lsu_nonblock_load_valid_m = _T_4434 & _T_4435; // @[el2_lsu_bus_buffer.scala 552:32] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4845; // @[el2_lsu_bus_buffer.scala 623:35] + assign io_lsu_imprecise_error_store_any = _T_4829 | _T_4827; // @[el2_lsu_bus_buffer.scala 620:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4851 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 624:35] + assign io_lsu_nonblock_load_valid_m = _T_4418 & _T_4419; // @[el2_lsu_bus_buffer.scala 552:32] assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 553:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4437; // @[el2_lsu_bus_buffer.scala 555:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4421; // @[el2_lsu_bus_buffer.scala 555:30] assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 556:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4657; // @[el2_lsu_bus_buffer.scala 567:35] - assign io_lsu_nonblock_load_data_error = _T_4487 | _T_4485; // @[el2_lsu_bus_buffer.scala 558:35] - assign io_lsu_nonblock_load_data_tag = _T_4527 | _T_4525; // @[el2_lsu_bus_buffer.scala 559:33] - assign io_lsu_nonblock_load_data = _T_4692[31:0]; // @[el2_lsu_bus_buffer.scala 568:29] - assign io_lsu_pmu_bus_trxn = _T_4884 | _T_4779; // @[el2_lsu_bus_buffer.scala 630:23] - assign io_lsu_pmu_bus_misaligned = _T_4887 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 631:29] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4641; // @[el2_lsu_bus_buffer.scala 567:35] + assign io_lsu_nonblock_load_data_error = _T_4471 | _T_4469; // @[el2_lsu_bus_buffer.scala 558:35] + assign io_lsu_nonblock_load_data_tag = _T_4511 | _T_4509; // @[el2_lsu_bus_buffer.scala 559:33] + assign io_lsu_nonblock_load_data = _T_4676[31:0]; // @[el2_lsu_bus_buffer.scala 568:29] + assign io_lsu_pmu_bus_trxn = _T_4868 | _T_4763; // @[el2_lsu_bus_buffer.scala 630:23] + assign io_lsu_pmu_bus_misaligned = _T_4871 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 631:29] assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 632:24] - assign io_lsu_pmu_bus_busy = _T_4894 | _T_4896; // @[el2_lsu_bus_buffer.scala 634:23] - assign io_lsu_axi_awvalid = _T_4789 & _T_1165; // @[el2_lsu_bus_buffer.scala 590:22] + assign io_lsu_pmu_bus_busy = _T_4878 | _T_4880; // @[el2_lsu_bus_buffer.scala 634:23] + assign io_lsu_axi_awvalid = _T_4773 & _T_1165; // @[el2_lsu_bus_buffer.scala 590:22] assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 591:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4793; // @[el2_lsu_bus_buffer.scala 592:21] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4777; // @[el2_lsu_bus_buffer.scala 592:21] assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 596:23] assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 597:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4795 : 3'h2; // @[el2_lsu_bus_buffer.scala 593:21] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4779 : 3'h2; // @[el2_lsu_bus_buffer.scala 593:21] assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 598:22] assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 600:21] assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 595:22] assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 594:21] assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 599:20] - assign io_lsu_axi_wvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 602:21] + assign io_lsu_axi_wvalid = _T_4785 & _T_1165; // @[el2_lsu_bus_buffer.scala 602:21] assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 604:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4805; // @[el2_lsu_bus_buffer.scala 603:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4789; // @[el2_lsu_bus_buffer.scala 603:20] assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 605:20] assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 618:21] - assign io_lsu_axi_arvalid = _T_4810 & _T_1165; // @[el2_lsu_bus_buffer.scala 607:22] + assign io_lsu_axi_arvalid = _T_4794 & _T_1165; // @[el2_lsu_bus_buffer.scala 607:22] assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 608:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4793; // @[el2_lsu_bus_buffer.scala 609:21] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4777; // @[el2_lsu_bus_buffer.scala 609:21] assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 613:23] assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 614:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4795 : 3'h3; // @[el2_lsu_bus_buffer.scala 610:21] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4779 : 3'h3; // @[el2_lsu_bus_buffer.scala 610:21] assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 615:22] assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 617:21] assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 612:22] @@ -2930,7 +2910,7 @@ initial begin _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4904 = _RAND_106[0:0]; + _T_4888 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -3251,7 +3231,7 @@ initial begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4904 = 1'h0; + _T_4888 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3950,14 +3930,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1231 & _T_4776; + obuf_cmd_done <= _T_1231 & _T_4760; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1231 & _T_4777; + obuf_data_done <= _T_1231 & _T_4761; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4355,9 +4335,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4904 <= 1'h0; + _T_4888 <= 1'h0; end else begin - _T_4904 <= _T_4901 & _T_4435; + _T_4888 <= _T_4885 & _T_4419; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index daf08baa..2c074442 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -541,9 +541,9 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & !buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) val buf_numvld_any = (0 until DEPTH).map(i=>(buf_state(i)=/=idle_C).asUInt).reverse.reduce(_ +& _) - buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_write(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) - buf_numvld_cmd_any := (0 until DEPTH).map(i=>((buf_write(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) - buf_numvld_pend_any := (0 until DEPTH).map(i=>((buf_write(i)===wait_C)| ((buf_write(i)===cmd_C) & !buf_cmd_state_bus_en(i))).asUInt).reverse.reduce(_ +& _) + buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) + buf_numvld_cmd_any := (0 until DEPTH).map(i=>((buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) + buf_numvld_pend_any := (0 until DEPTH).map(i=>((buf_state(i)===wait_C) | ((buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i))).asUInt).reverse.reduce(_ +& _) any_done_wait_state := (0 until DEPTH).map(i=>buf_state(i)===done_wait_C).reverse.reduce(_|_) io.lsu_bus_buffer_pend_any := buf_numvld_pend_any.orR io.lsu_bus_buffer_full_any := Mux(io.ldst_dual_d & io.dec_lsu_valid_raw_d, buf_numvld_any>=(DEPTH-1), buf_numvld_any===(DEPTH-1)) diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index c35ef61b330dcf4f3004c88335e073a088fbba00..f00175a521ed881eeaa5ef258faa1d9c40ddc306 100644 GIT binary patch delta 50757 zcmb_l2YeLO*1vaWwq$pb%*bb`Y>&1Myi=5qOG<3g5YNXLn|kpuTVK_j}9EJ@=gd{hxDgpN-$bb&0pv zBu?Ll`&aZ2sfdSJ@JjM(CEO)(14eSnkTGM&jF>nkWz6u&DQV4{N`ey~b|QiVNA~lNd#e z8acH7xFL@Y8EuzOVH8!fb5PK-R&Pl@A;0dDUz6W}C+Arga>#EN?Il3M0}m} zOU9Mu|3=6+4|{Or_~D}()t@+F|eWrF%lmf zKIDE$5%3YGVo^lWqzZ28uqk$0a*)gYaH2DR0MKB3GbA+NL5!C@v)uBiryt zCJq@jzAzcbCH0k*Xhn9qA{7NAY5cGuqlVPKXX3a~!<*R^H%2p-UHh>b=~@*xrT?Tz zkP_z;ipQzMD6wQoRqVkPC`^GSj&~|vrJ{tgQBDN1D3vg>3`&zA`9L*BNpheLRMJpV zsxnE61LaalBT1>jBsCooq<_?sPQDh+a_l|sYIE17nM$y59XfiD>84*e=r8SwJf-5y{6M-r!Z83@q>Wv#R z?BO8~3{UBmJ7xllV^##JptOfvMV)ZMQw}+sf`>ahI>8eB8CY}KjGqTa;8RK`C*XD_ zTdU!Ej&4eKr}MJXgM3yEd#m2#RC-CP9jK=yBx_?uxzB;_k%a23(9eN-k*n2lMdGN9 zCDNuk&Xfi!gP_wYgQIQAkY)4FRv_c5<48ePhLNO3xDL5g9ouZfRn$NkLB6k!>ogz9 z^dAEKhgFoOjBubn4%F9y0KC5g4RD}=lB-*1&@ko_0F_P@Zw1L6R$-A}o*MM}bikedC{gNx2NjHLYlZu*A>2S%F!=zh5x=lsR3#fR62A zt!VWa2O2B6Ix)MGQ1PmYGHAs(GNBe$3*H9NJ1S~TMH3`f7p8a?q~}zWNu?7VXp-d0 zXV4!)#RV0$p%s%QS63$e6r`W2s4bN~=0Nc8;|}zM13f9Zx-pHfK;vr_wWAtS9cY>Z z6-ch`wnoV`*grt!k1EQdmD3$)h6Bx%gj%fI{g+fv#eM4iqTHmKzk=p(Dr&Dl+y>j) zQPCeD`cp;Ou9cEdkJ;QIyHasN3f62?OSDZDRFp$)9@K198;I;G>fl>f zsmSybKwL>honQp)0CE2$CQb%%6%}=+FtZ(Kjswk=ghm>BwWcIAWlyONG*1#*utHr) zXvLoDJJ7QZG+(y)CD&)Jix330G2k^JRqEh-9nI9{D(a%PAT#RV)DbP!R!+xdHN$}x zNUrY~)i$8qRz>+V+zTZklc8qA{1URRHfoqMEGX@mKs_0&7V`Datf@nOcSPxpC z#FQq3kvT0aKa|k{K%MN0p+O($gS%hO7pq)^nKBT#(FD(EzHX zGNlC|TBxFdlwvNUxJ1H@ZAWRMmO0QOj6BPZUyiY-*wsP^`m&PCo?hA{u09u`5_M(h zn%B^-u9k#+2DAoNl%Z23bsbDu(&OrS$@wjv?~~PylF*&0Y?g$3*wYqLP#?D`*yaS# z?GCh9LW?8pl*EgYa4%EY4eQbUV+W;-8a{r!_K&2#{ItT&=LtPVFvpgXsH7& zlZ3v^?0_T;Vo$}eTcQ9HMvfjnUQ!P;<0B5VTtdq=<7BnOfmS$Bp(G4tHYX)vID0zf zbX;@(=NOs*N0N4W_S;t;{T%=&Se~9o{YA|OjXfzpwqJjZl%$QnFYex zDw+wxwJmV%m?@HUPI?hsidhadD^&ChwDVaDtpOoj`>LgV`Maj$P8J}#x)nYSUjs65 zH4#?1N-Hw4hpf)PlGcC+My&@%?K)_{mx0rnFb{;CRI~wvcQSBoYL`ITV(d;x3l3h- zeOg!b^a0(zD%uRX16t#8SyLR?Dn<6v<^&In2oH>ituQBGn-tlbNx@SOc)E>B?Na2u zOgaOkGgY)59Fl<}#At&B$B~Ro9NCK|(eo@Q%vaG)nB8!M13RV2>CAEoR4i4|i*ywa zoore(Hu$b!d<#LDsAw1PU6+XynZv6=_`HgCgYfN4T$u^igK&e2_JHtKQ1}7}x2WhP z5Y}uH(A)vSohsT3!rmav(CF>~;Y%tiQbu4@A!G_nOEcy`&&!O~KG5E;qL%@ps10t& zAdZ0WsEYQN2hqgv>^Ors0ot#q$OjO~Z3EO^1L5l`@`JEzTilR&_AUs|s^}mH7YBtO zf$(D$9RlImpzsS2{zpYeK&ZA0(E1*PKd9(fIkXy@X#K=!T?6gwDk=ep834f;{0_of zDmnqe69L>JPcq*t_1%y>q^cDzz&eBI+Y+Vr6Q_(vh%xoVpZwkU@Dmnwg=|N#8 z2-~RWEC`Rc547GMgxM-O2g09%!dwvMspvciD`f{X^Fi2EMel*IQ&89wg!icEeGpE` z#x1pg#r6l`02O@*!dJ3!6P0$c*byLnKt&(HG9f=koH@8YlaB@YI2C&fM}L1KSMsr!2`W> zVqw?zEyY+thUVf|VknKch-h1!Q$<%OjWvMXA`iF3Bm5Kda1)CNqo@ICn}}fA;!1E~TPlM814U)FPys(y z_?$2xa|-H6g{YqVI6UF_TzHPK$WxFE0$KLT;4FBP4dP#sgEoX-N%gsct&}_mtxS&< zMIx*gJjA+ESm=5s&=h)wHT5L9zCuVMAFdQyWlq%_tSe75H#ijQ00jxC*(x1LW64AyTwd zk@E<)3e~NaDhirGHr%kJl0}2;l7wpn=;IoU{&a{`k_c+6$ngUfFR5E7sAMtdrqq*X z1mic;?9L2uWv1rNQ)I&P!aMdLd>DH!lspgdbMfN?}-+^{5& z)oX-|*m)FR%Ze4t^E3rIqyQ?BNNa^wRptlr7MStogX#iJeWCxMwQ!Qgi~LL02^}$6 zyiRcX|Fd4WfbkOl;f=y2Ob%@X(F>b}nHVo41ve~-Bxj3|;a*Om18pzYz{rp!A)UOt zMTo9asC({}iP`f)&?B1ea|CY{_TW`y?^a>CYqhHm5>O#Zke?^rwh5^M9lcYx3H_?9 z)jg;uuQPkF7C_c%KX*;@*)js*+0r^X}{FPR7K>lvO<949td#&b& zU`+(lYGj8d|1lsh*dJjDnEWK(uT@?PRPK)ugLT%8$SFegRwNh6zW}?ReDbfF`frB1 zEwxDgOSbzI)TPdG#j+C1bxIUVeqEnpg_$T_golW9tJg~F&~>l1L@BVO+q^ct-cFw) z(j8uhrf}Np0PFM!Z-id&q)!p)F0V_g-|2PHddVy4^^x=`B0b6*rPa^zM$!6cZ?s-7 z)2E1ZxQ)~5hkKQhUZ9|QRb9bNpCZy@yfKsIO+HPNP;w4rXLp;4uns+zc!rbdn1RMWz(q#HFJ zt)@uHEK=IR)H}UM$u4q!DJS`&4*Q}?d`bs$Y`+lOKTn@<<;Ub$zjIoVbB@nB-=}nO zEBOq|`N#eUZ@E~uAXETjid@lzkw?;eLTp`t>S{n$WKhm8eM(P8iINKJ;!PDmXSPA7QK~O$JpB|a&)$X3Tv;}m zm!-4Nrz{R~pBFkDKu#Vl7Gi2bt4oYln?j58=%-jI3~iO{E{2f(LnHI$N+w1@PvL&g zYm!5g#AvcPG&i4qij~!&O$NCykfWJ7>_lNcD4zrwbO;Cvl<{~-9M7jJXg9H}`+A7cp8YC8%&hQ3S6yaD`6)ib1nG zcHefBNG{XXD&6#Wz{XYS(umh$?nX}3lr9_$fY1FKa`$58mS zV7vQ?5x5~h0OmEhU9ocME+lsSFGGw5&gcBb=Oig7g$lH@er`l>SUH{5ydfTWlJ)P) zhRN`MF!AdqUl=A2{DX=0RUtVSi2cVf8Ch;xp+A+gP!!2Yrkv!Fv9Gdq=}QJjGy5=D z!sHd*rC9kkG^k`ZXjlG+&PTokhIFp|&R{tD@0|*U{Yr2g?UyT?cmmoL18r=%{#t|6 z27r*KPYE%mPUMs0OmNVXzc>7S1UgV-`YBd^3iUV0#xF;j%>N+(L2pRhYpfHoqrP?$ z9sl={ApKuso#?vZ?!lW$)WYU5iZElrHGD7Q)7 z*M<5RkpZuR)XJo41ljnyP`%)Ekz*rV?g>7}PP@->EVWpTf|vwPUuraKgr2@0XS_=5 z$Asv64E-m|O9>Gap}0hV+nG<;WI)F^`6r5`$);VLb5ubw0sEp@C?XDl$Jk4 zobST#Jw}oC??N+=l&+r0nxRMSz|bq9%}-;^JXKmgn>91Pw0tpbrV`0IE4ZUiYYx`& z*3leXN`{|>OVj0K)>)xp;}u5M7qbjH))c9$U?Q*`QJ?Q46ge-!vj$MlTTcU6OMW;j zBvjbob6$tYim+;$I*7W7B%KqyaA}-=4u0*jiHxD8tz^zQp>d5(KIbnzOPtU7oX`8z z9VL!$e2yz_RX-Tf+q$J11v03T7+ZZ4v@0HQ?CT?>`qW(kGv_*uOJi?ijmsWV`MfZ; zLXlg2*+}Se4F#x{6^JttnGz~VjR$J`<@Vs50d-dgIpaV zOWza5MjZu0!S44Bxf~}{^s3PEF#}^&0RA}1dS7VZG40o%H>&2mFVwHBZ^WtBYxOGV zh3VdO&97I<2k*nJ`fH@t2g2wo>g#URw0B;w=@*$30GuUp_ zaQx(gPzOiRt8aSd+N=r5*^h(|y9Q)iSc9gGzc!>?nLby$U_`Ek>@Q%5=^G0Qx{ZPU z`mykGu%R8S^#h*>c1wJqr5DSzL_YdVTNl;9x(IN)L$uf?Sp9Es7eH!UWE1bthOaM$ zm}nF4gSyr)x)uNzmDSn~T6DU*30nTM7lnH)@u$Ii)77YHvqds5GqSghmVF_ZjI7yY zfo^igFgfrKCZB*wOmDXv879S=3F-d1C6-kGLfBtTizsxi!&(Krxl44rMMM9nCNBJs z@CZu?XqGUDg8vA+g0d4q*f@XpFX7!#5ci~3V~&Jr#w9Zpc>PoHYaxo#j4_%$#qct| z7McaycwLkEXMQcjVEn0{d?QQ{@M$7_Z_;^N=K(TMnfpEbyarTG{vfmn!o15=TtAv* z=a{UAS@s^2tppkVl$`$&-f*2Jjjn2?(O0$7J6g$a|4A5(<3h4+S_i3%%@gG6rd0@h zU1j+Csn(D=LZz(9r`LoiIBK|88%7t)7{NSd>e^ahQ?CnAu+MhuS9f#{`JCCAmS6MX z6n-jR?hPc!LvJA6YZw)}2!E04lQz*$v3mn7FQsmN8`3wxIO!kHSn~JAxYWr13FN8M z8x5zwEXQg2Vkx`48$uLZ_qaD1c3+3sX@mN)8`{u!Z`QS7P=8xi>mX>+p80~Ibw$(i zU%erW!uWgA?`L5ie0}}1aJE)pa{7S*N%0Vr@3a|ETlxa0XmykS^Izcisn9{Ae-+-R zC|~_1EdJXLMArSz#>gqdt80wO@!#RcpuvgpMp-A~{$Q@2Hk|mmtk!*?1y@JzGltep zrd6u1kTrh@aifFxeYl=n#niRCxZS2}i{D^A$)KNN_g$_naDBOg$((IJ&2PH2Y$g9` z-V)*lrM84_{RV^f##?Zq_AC7qyFV)18@0}uR^FDdl$U|!3O5YA`mnLPX>tU(bO$#- zW`Uw@{t4~ZfvW}363b%My3Ke6ZWBPuR#xjF(1O*^P1kb&(%ZsxY}a1^?e~gN z!2+p=#oB=y|6nZ2!7Be`i}<@GGB}HB6sKB6I{so3gF$gRwZ{ELR&hvhGvbsqAxXB2 z#e;tS5aR|I{k?t2n~g5+nEJtv z;EYN2#lVZOn6%=UCU@xw*|M`tes1N{&{`vpLgLO^M@w3#ks zuZKIAx}%9{XE3c&27rurv2g?JMWm}_%zZjKFm3wJcFDcKq>o|JJjCQK$?OxD3AKq300OV< zfor-3;Qv(-$5~jv17WKW)bbsu(m&(|8Bm8Bv|4Kb^xz0J-83+u)7<+Ogtdy3;)D6{o|IZ*YQ`1YZN=#q&m~XGL*Z zV2kO@VZ1L@H0#euFzZjMWYX`*^eu_v42Bp-Rws&1c;1pIzE?`Lrn1R0k6G4ADr5Oh zQW?vW$tKIr%(7b*v3ZbpK9d!KtROJXyJ<4>^hiS(TpmY zXAihKmg%QBX4ze4$uUu(>!rV6Rq+91t#~6v{J;`eN63~MVy|GqQd2Al6#R2)iX-U( z;6^PGHu3&CsbY5v6sOe@gXaNfZ|3x?AcKAWojPI>XcW~ITSNvz&=;=7JJ@J09O{y;r`nyA)Heg?@FVe$~C&}ocgBeO%12IOe1_23N=(s)fu8;g& zUu*>pLv^)>C?&XAb?UDTJhk=hC7j|@eV*n%PXo87g@LTUDlqMB*eEo;ln7>WQOE+2 zM@kxqarQ25Pdepr5eiP@CmrMtlx=LYMv29c25DmTU_W};aELqx6bLy=iqG

t#{S<93U}Pb59_p8l4Z?A)wC_$8PbJ-#4`qommmNi(ND2w?p;W{ z7&_mY25@Y1QPEZdI`0&*-u$ofRTR<u`7T^wri>6K7maymW4sgt2S$RF8KoP63TfL0X7|+@VlUj9T+a|6H`FbjQUk{)ZPC>I&$ouni$UMw zEtRojnP&Qy_|U#bZiiM^3=I;=Xk!k#B_Y%roEyeSFK+|8x6|zTJFtNbfZSHRR|xJ7 z#6MW*G8XW=WeyO`jw+*Lee!8`n)bQe!L z;RUS|UI@b`XKQ~k7gVnI7mwjh{=Ea>kc~GI_aO0-Yg1qfxAZ1w28tfPI#@g}8m2$q zA25w2U51O>0p{1?;#ctX*$D9&KpFNx2+9KtW$1%q35{{phs1ioyvIXgJ6FtUciiQJ zabH=`RwTer@iiCy+a`+ZZGA4>K@!4t_(K2z{;|s!?B3aTa4f1oMRxC8yJE3B_WeH| z$c86HZ^8e^Sfg_Xnpgin9D>%-U|r>(T_F9Yir#K_@Tj!J7^%P_^;`COS1}kOzIYh3B2f) zq9~t0mHrjQs{f+HlWx;RPvLYiBIAF`M`_1N^3!50nLgYakz?70lK&OGzhy@O<4MUV z!I8xat$ScvfHY$PRpmo6k&)zw_N{2kzhHM_>)mz z#rOKG96VxUHH&^RHI3fR`D1^j|P{Z zYk|YgMbwoK(Mc?xywFM|y*nS0k28||(9B84-BC|IG~s9CbmPGf%}f){x4rn#oXKeN zLMxT>d-;%@rI94^MjitQz(PW~0rla-avo!OY!eTb02acsC+f$CTy}J4*!v3z;#xLXj=mW7U;(hraPg;SxiT8gA$*r#7dNu-aYNG&j;vSG z{#Y43z=!D;#*`Zx9ntp$#*frnJLM*$k$lMRU}QO=?WFHp=w-pUmql-wa|h&nHzW5j zA6Ivi(fdUud{#w_)o4Dfipb|FRx26n7o)?c=3~^x@}cGnQnQn32g5#KLqdxgzeo7+ zD>m_4c!&qTqm18pKKza{e(R3#NbLzmZ6Y6PC+Jvk4G|!Q7uZdCN&sOIGd+J~u3-}QHGJI|y zl;W0wW^mxv{cZ7MEypD4?XWjm0CqJ@N7F8g7g?Wsii39yEu_z7plA31f5B*SLxV+^ z&M&k1u=|>^Y56vr#CO5RPJ*=MWw&+fxz8j)RypZ^cLN(iuig6{M{}6T;hmHhjfy_sc%&R;gRXvz%`1lKO0fsj6=e2OB96EYp^$-re z!NXrb3lhx1gV6>)e#h%!(9@F7}7C&~}5%njj0w3QFn z>N;0`aAmkYinjCNn##DYIBE^^2bXkgHo)*(o#2%#{CIUI50V~hJxpE_tYIEW{a6Fi zU!XLwTEl#SLh%~vncl_6Pk^JpEr9nsEgaUW;q6~2y{0<7J$&c^TvP z<>p-!@PKraMkLsD@2p2MOwMJ+75S^qG

u-Gy|RGL-cW-C_gxDq@L%)Zko=H z9~`iw{qcQ1{ATL>IKqXbIR|~nhv+Oulw9i^_JR+?5YP_hTjRrAM*(P_9>0(HcnNTD zwIAl6$@qcSLOooA?%@$GiWZXA4(KyJ4lmVtCf>(`=W`f-=LCPHNB^3K{oQC~bcqAe zgJ<*)t2oC(x>8%0QFn{Uf2YTDWUF-m36(;M4guU`!A7XteTrztXS~p_)r=e%qIuhaA97m~0L6*MhXi9R)9^gnc#1fS|8g$-8^3 z_Uz8+7e0QT(8ILiDkh8=l8yB02^d<7_|ERK-edzVyB1dX0N&EO z&ca<`cO9S?6>j`yq}pz4E=J^7`(Y0U9|6%~VMCc8+yP(MuM>fnP3INI$4!76d&pWn z%pMH9oH{QrA6_mCX;@;d96qloomWLZyZ{dJs1Vz$VXyWOG?kGfV_yqHDa9ZEXz!`i1dsyi82VC-2#+iH&ds_&)ARLXPXqvKz9xS1Hi|FfIayDPSXIjq1B5I;!K_5WPC3lz*%&xN`)yxB2vdb-<5s2p9ks z2LZ?P0X!N6oX7|8gbuh7Pv!%7iUE=_4~3mo2;iIgwr&a^asZe9wau0F1RuE5Iy-)F zfj5*9SyTB?Jg4WkCNAIu_<`;-KREC?+}~|OPx&_7@jv9a?Gp=`G0PV2^)mon3=Y$0 zI7A%)zt91z;n{ouzt+>p4^A6cbNR5lqO;=%7Z_Of?5_A(J|wT|B>BM^B&%T#|3w5p zbetPHNq%tf%ctnc|Al}sZ@q-Hnk>u|RdkKOF-qIN^;o~sCX%SqCm+=9-tuMIs z{5-t12ReUgIA#tUKIx&sC<8aGoESB<(vS^L>7hGGn>^0#8VDo_PFc2Uxd) z0K~ALOpUq3V`Q_KCXmmMSjDVOJX2qRj`48s!I{(V&K48cSmN_F21xCfr2Dzi)G)Qq?@zXe`=4WAH046E4WsGjMpMItcY;p;mo@F@D&p^mzrFoI z_)5yQKPY~8z`LBZIcZ5CojcfF1s5?7ABD`@u1(F{(queu$W-CAbb$ZAV9Pq%Gr;_8N6kDvm)UJ2tLh0ANv96>NOC&YUQHON z3?kj~>@lF~%Col-Biu=&lf`OMG)&zkiO+CXVZNa=f{MDZk&FbnYh>;q9xHt^Q<3nEZ0nOvS5&}w3M z5U-L)76jz24eMR~gVxZ#eSo<%B&2l6DA9I+$npSQKLc;T*PB<4 zY`L-`sJBAbTUCy19g`Jk4F?oAm!r779NF%28h*JP*@1FwOG5Mtn8&BeQ9K=@$n?&a zqxVrcdKb%)eN&F?YC$=|-(9lYu>v)}9L7D%DysLEqu0M2 zy}{+^4KGJ;q^4J(^?Z0_tjCq3IH4TCDdp%*Ek|!=IeN3qdI@^N&z7UO$Y1?o`$+6E z4Jfi|ls&tVNx;nA7s~ca_h)7qQAgXiyG%ovoEmNKV}|ypj-b9A%|X$LUiHeL6*-DuPN>A}yYw#bhYDIsuKS z6e@##3XY=jilPDX!1e@3HKE1kw0MOUGwIV$^eKl{0_F+IV`df&yD<7(&{|oBTztQ>xP>;R|Pcmg33mXzFW6+bMKt-mRi>*Ut z3Y8^NSv4wyEeUpY1t>Y0R@9>vO=tz|NwAy-PjYKo%%a5}@Kg}nkv`_rntrsV3oYJH zpL)=z!IWD!3OJf#O{NvYX~ko-_yjG&#skYw(qaKEPNv1_wD=U*#!iRcBR?%agXKB$ zT7 z*hQUC;Dm$aVp>cGeAi%T-8Bp U*Qw51U=jnz4F6Zt?Azu41A9BhApigX delta 50768 zcmb_k349bq)~`x;W+pS8q`N0GVUm!L5Uv0T;U*BSkU$6okV}v&oTA(a2nqpI5JcoI zLGD9NK?2NR5DbWTa|qsYxn9evfa{40->a^k>FFe>`%Ud{+v$4s-oO6uy}G)(!|ip6 zi#H_BK8Odzhudv8?BDqY#N%OMcnvA5io4>?Bq|Xn;BBOCBEG-j9*pGFqH*KLjhr$r zb=-()shQ1NNJ2Dz*^a{GeUhNEyZw?7%kB=4*Aj6aewj!~xPhh2jzsx5X`h7a<-aPQ zbRdiT8b%RgMi0%HP&BD%tW|y;qo~?l0)m!x`bhGt@|zC%4f!p&v!8PyoBWQ1TH5B* zCQoA&zkL5sagIKc{So=B9W{~9kC^lu68 zV#@!qp>{UZUPA3z`8sKqj1%z>q)#$Vs{3Pv{9pMe37@j%GxMP=pR}e09%0+n*C`?JjC~R=1q*S8>T}rYIb)=Gpl9I|K zHEbxKN*YN@Z6>K>L!GIlv82>vlKM7OKqXBir2&&<+E5oNX(}m=nWTvgbp=Vv;AWE2 zoQYc4P$5Q<{`mGCJ!u34q7@mOirtph5lXhwhCGsrt6JJcpm?P{S)Ym%bYjvHkRDJ`7M0#FIr15T52S}x)Don{XtWJI zXhUOcXsqPu%&cC4`Z5)@qE_QTanWJF1OZZ;0AN#6wI1$k zYp%9XQCBsKJX#N@)orC_+ih3X);9FC)0h`7_C^=VA$VUSE$Q9r6=XG)KPXeQ}Hm1Z-gIUt#)6jOQvMDxfhP-?z_ zDJ=xaQz{xrl~ks*7(~ygXb`2C&nPaFaAV6cnvxaddOEI(35m?Wi9J_GsL!fv%qw6+ ztGZSa3Yp=0SYL)tm(-0gM@ci(O_Kc^x=19eTO^?eQ`sg7z1ZD$j9So{u?kt0fm4fj z+5vo*4K0z-k_anp`~^wq%T)KlA~k&c;M6fACQsJ>BdPlxFWGGe)e;+8Dxsy!;E)Y1 zv!UgZ(4U#TBngAr-4WO?QGka=j~y{tQjap@V>YxxLMt@m1ogNLt+b(4k}#Cnye0`F z*xl>C{tfV>IGT=Zr#sr>j8)NKSYW=)#58{%vx%m!g=6%A8H`Mzn0 zuVFlcoNtVu!=uRfCb%*DY;A)3!_S>2xDC{`X^LI3(3@YAq+Qxn=KK@@K2yReRziN_hvYsag2f95i?Uovw=>}7Pys0CuSZ9=c{Ng z2-mm3bz^2o(tFY#a4BX5)T~s|6VT4Z7Fq*BmiALe`|)+l!UbVK^to2}IQ$IE#x+D( zt*WkUgI(mgY%FOFxY|ZrT;#8o;0Q6=6oz9-b{ibon4bLHJG^oWz71LAXgp`#^XrAlwGR=T-C~ z2y3_XYwiN!ZWZkZVIL4?YjpR4@I@7s(0RFviB)E1Lxa`!5`=*M@0Adb6Fb2PY@Ro{B zfbfJL*BusZaSJLsSqW~V1_5{777f=8%d8ug+1CIfGY5Kp7U`R#d+m;aI+u#xfVwAg z^fukFP`Y8Ed<%r90-CTOx?w?l8-zdR=%dbEAB5>DdKZLkI{3|-g0Pv2&VX=sK-dO^ zZB=v*gvUGhTkinETot_s!s`JctZQyq*Up2mYOY_i5QN=SbOD3~0bx%N_EORNAe^3y zTWSGw4*=mn6@3W8S95U_m3A@rNDz)v(Z{ed$kT~E4`(nrEKqJ(pgyJYnWP{fhc(F! zYtlt3pF&`;S;?gGm*f%A4H)&glYbNfMzNR|oLFa;sZc?2@rt_gBA0dwy;@g6L`Z~?)NQ^JBZ9ih4-p^^Dp@b!q zs~5uJ$(1W%BAM~5kVadbxJHO2N1qiIJHGZejlO10&m=cj3f0IntA$p1v-I}s%f-R% z&w{p#we}&#diz^+PsdkiKP9MrX^oH;BR^b;PLB_Cj?s}VgW0E$q8>uL&e?-U5G7Bn zg;U7>w}q>448G#o0#ld|4oq?n?h*<=n8>aH4Wbx3%;-!(j*xi*JJwHj4hO^zQ9 z^N{+h1Qm3p)O2}nAk>cqyE+%#d`xroaq`7;!a@Ot6`8nJ=$|?tJwQ`~>dQ~UT_88} zLHS9|0LB`b^FvqyajX-v-A_?`ErH=!eo9lI!*1egA(1?~PH2_#bO3L0Fy7Okx>!?x z#`noOcqGS5e0YP<36r{;1-q~3M&Tn&99!YG$!6gLjF=q)iW4qQw9yGCo?ARlu;hnzodxU#1S-DrJLbCS?_r~p(ny{XS`a;jg zG!Z2dN%P7t5IjV5k`sG{9K4rU_6gPSiv)ie<|3{30d@)Lyib^c5Bgr)C)|r09fFp^ zkQG|;qSgSD4QF*ekclV_CCZ0ECZLx<_7cb}XbVb~OG)?rLIOTQ#_k8`qZB%U?Ak9( zbQ}v{y+Uf12+fO6q#c$|!Syy=@1~X5R(fsE9E>IJJv>Mr_$-no@iw{MF`Au$! z!?ntr{>noUVxTU3GqQ_Ny&cIV^3TBThFAVoQ~%9Sx1^QGw`HqW!CmVeSA^AAu2-fA zh4sCPrOb9PON1MHR=6je)}fo8@G_-X$g+4WdcBq2*|TgOo2GEuV*~4~2v3AwZ>M+m zEQiOT)$jH=Xuaf-^!iA8XU~fAL}~R;dZK83v?p4xm+757OYtaL{Rodz)*BSyL0eaF z(mQ)rj3-7@Xzz)k04|S9uXocsdsb|T677lgD$dm?;fUhu=Mgm^+3?gzUA|j}!rc(aHsWdZcoIy2NPNk($G4ot_hOO(74`xkOG zZ`8})s4}n8iDVoS+ye^qWkh~hcKhtJO6*U1?Tfrhp;PI`u7S@eIoTg;(jtC{c0&B=W@}p;}5`r*g01Nmb^f*M2suil>U#5ph`Q zPilIFPD%F}N_v*4Qk*AFQyNH~_6i-9L55PYhD4Ij2+nHC{RoxVKK0rzdu?AjmC;Pg zG4W7D4MXc5Wt>TCyrET#IikhaT8StAQ)qD^y-F2gZj~gKLdgE6kwtRVAVvXC;q=cFB!?!SHJWS=%`Ku=sj}AG zWPtnAax^oK*XD!DNsu#?pwZ@HQ`Di&bw-<6(B3=rDpfY!rOjvLm>|lPHyI$f#NSr2 zM$jO!q?x5|i=p7v*WG2*&HgfiC`J8!;7CHd>XY?vnO3f_< zc&@H2XZmcaHTUOHA-OKhV@DXQ?Z%;qjuqxTxosc$L@Tn!9f)(v2_&o>!punR$} z7_^QWumzRQP-))E!n@9#&44@W$`9RRMl`xYBz~t?sd7S#Mw^Or=6e6w4Ia8di;Jmm zk?>0`+@6G^eR=(-o^drE9Qsw=- zkk}zRg3Qf1s4xq`tR#@s6GBzG8~MP9-M~s?XDrHO{0URwcA1t%=r{Vq5#0$5hKCdA zJ93Xw<M5hwbxEoyed?oo%N~_y;%`i9on$8eGE7GOjmZ=+ z$p>Oz7$&1DO)K=LN*0O|xmr+83drS?Y@zs)!O_e<2$nE;MRzS#{%sB_NqkL+P5PV8 zM|!=+=Gtop!?AzuR3Plv0^{hAoD{?p{QZxCHoj7S!voU>fRGN!P=s=C>RjS-D`x{{6lOu!7|0VzdZ^-;ptP`g(9f{SC zO3{gO8=BK^X#G@;Wq7oo&H~fJ^eR>3&3Pk{Zwj&5^P#SH1$U|i(F=ZjIce%4^GVU0 zf-9%SU6fxlD_2NR(5yyYdQ)2*)tW|nW}6JO75kR1Pgjf>cYFkEtCKw)k z9RH9Z^6Y6L zQ|%I*f5*~NwLAIrv`|a!U0&XgG&n0HtAonRhmwwGKt8Ix{6R9~415hTmK;0-%}gp^ zJ(V>>AGQ5MuZ%Wd%$j+;y!=Vl%+uxNOKCGz$$_(iGy06?U_DPg&B5j5{j+drx{~~S zR%qCGm67!&EQ7XnCF*l95m=6@>-q^L_RDau0i=7{!`B~`?FsATCcjh%=Wd{cFn2k4@UH~ zZka}boJkPfHMc^$;!)edenOg8-Rn2AZ_v0j_B7VGyhxsTPZ(e2fKxqaBy@#_0#;t) zJ}+drd`8tOqpJUTAze9aR6WbuzFk#}1={=13vOIW-Z)Rc+#z8Xgz-_Y0HHwl`jzz$F#6 zJeSyX_cLhu+FcTQg~go#?}Ls;gEm`a-xWsow$ZZJgvrPToBXMp+%ZfJ|BXq(RUtX1 zuhWSPlTyuu^tck{CabOrhiYgMh0gV|R*~k7OmsR$L;o1lpYXXbk!1u_%b4u?=fVpC z*@*ydtnc{0;FVDT_@q`79EzYRm)w-${m)Zh3Q?42jM45XhWEmkLbE^{Z)h^#4_^u~ z7+>^RzZM=6@EP*xwIH2$nB8%Zfy!^!;QJgxisXP)adymOZ1j{ZkS;TiR zv@epJ@8HGP8M1|yF0xYg_q5J8?R#Mejy0t_Xd$F7Hcyai1}#J2>l(w?&$NbuBUH|s zbh#l!!Lx?*Im75u1tXZqg8H@=*fn4WhZ@echTRor7qtEcKb_*F%Fi)CZa#u^1|0`} zp5~Rd(5uv0$#Gyq`eGYb``d>s`CDUPYUIbq7tX&%jN7!!45{XbBp2h zS0+1cRDW|*8~e_!x)zM;Zz^il`GJj~ZHCr0P0Kgv2Vo4x-;%fgD=dVcK0gZQ>iB1; zZyAsj2SNF_HU(;HpZ_sh-RkT96MWeUJ*W6*;eCqI`&VJfUv?l8{Tmx2rwp%dFeaJ5 z!MCD;nem5;PRzf>Ts>_#@nc1;H$V%{j+|!hsN}5x&XB7Fnd?tZ<(pgzO&SktxIwu+q4RyC$y;!u_6xmA zogd$&$;`_3gyov_uUELC|J{d$9Zr+_e+aQXz|BvLHvfS3exp~Z^U__~Y*g9HkD!&3 zto(yz>9P@j_7d4YF=*ZP16}J%K+BFQ<}&tAhUGt-xN*qN-Y%Q4?$x#Y#}S|WGZ zYxz$gK+955>l4s|-M*79=Dx7o!fb5S-vId*+<^~i{H2xa#k&6cLuW-fP~rO;i@$|M z1}0I>+wVk?E?_ZQA`$X6~_i-GLtO?S#5pc zv#Xk{{L5A2tbioh#MpsGckf_&vr*`b(ck+*celr+d1K&3SWISVOq09xgIw8Op}Uji zHgP{2!3Pi7-HcFh-xw4j(lhTE|2tuDuZpGx6U=MQm{vx3;+TiKBE)vJ{2nHl04we+ z=xm$P*)+jJTdj3aV3f!}JKDu|_xVAqnLuf_w298N*TY@PozcX!H<+eW03iRevvlVe zTB)q*Dh~0ee~Po$tY~@NA<~^(Ouk`RyP{SDiQ#uPw9**<2uVB|=q2?unXf^lxIo`= zLJZO?!kv$1jrKO+8dTJZk(pK>L#ts$t=^yoD|}2}L#we#i={32=v!MPy|PGm1~JAv zc6-ymw@dB~CjIoLz`5oole;9dpMN6M=0O1HZ*a}h0DPkqaY7jDchE&!nNTZtAZ38* z1Q}3=8njw#0HjfjxZ1y+w70DQYT8c5h{>G*WViv+-ehnW-v*lwlK@}@0FeGJu`PW2 z^qfmvLN}WAJmPG4L#Plas z73VO-SmH<&?Qri-6fcw$MJ5GVc4n5VlPXwdSF2#zI626&3$rXs7Mlln7c!Y8MQmQ| zALrdQS@85oLl{^c$C^5YF-wj$t?DpKKEpCXXUTiu=vby#Y0UDw%#!1x%*&<-9qlKFFpb_UqDSDU;~(K-E?s{*e*#I2mjSWpEh6< zGDP~U;+EQ-&C$2A?N7UGzkd49^f-LBmj8;{i$uPFC&uYXetZeRl~@@o8RH zbFZs`)78R2)?XC_ZEe^n40q5<9!^rU>oLm`;Y5-6Ma9M2SMLQ1~L z6gU1|N?NWpc@DV=nmsmauK2f2m9L#G%`bTYfX*KDoCK!Ut^WYPwca#Z0AM5l_(nDo zb8yEqcO83M{GUy*jcFj0Tgtu7bWNloyV2MjGNp+a+ppqw`|cfN+g%V`(R zO3FRFxt17A4+6`_=~e1l5yUh;=sgTRV19Zdna#zTp1@aT_@Mbs9GPIQK4f~!KA-F} zS9{AlAK?Az!0ogGoOXw`N3CPNrY;>^f1e19|maMHD zuzfzM$>2Y5l;Qp+XfzOafp`yt1GQ7kbZUXv2fsqzEf6!n&p!)9C$`boGd6fVL#lKY zpM-7Xrmo^S_}SN8Oz=HZC>{yJyL|;c#FKX0mJ&O>5r$n(>;N$zREh?Oui&k|;IAgEA6#Hcu+KvSH7GHDGS7nO0(bDhZJ4iy< z3V#V8z<;dr$5zk$JJ^k?P?6QMz^VvV+rj_G4N)EyJ;nbYV~x%oXkPvQa0pmOgLTT^ zyFlIsp55=tGXNKHS26(=i=jdq&lJ;&UH^}`m5Vk5$Dbh&fLoRS2~jSeH}c&~u?i1X zR!4ZCzc?cApg}19AJg-cXBF_6teYh!@S<0aqI?2X{YMn5|AP)s%8Er7nNTc7WdBbI zDepO1`k3e@H-?8taR92phh~aKliZjiT0+lsO1V(h;={2f3ne!+Qz-EYREH1ERv`vZd0tFs zLj_ks^*L|?z=kYzywHO4i)Nt=J|vqmlHAbFE)y+Q7%FS5>a%3xd@(jBlMm4>MwA;` zd7@QA??J5@#YTJ_ZcWNlbmcBaP56MfXH>bN`G@sEIz!?Hs2Lxcd5k76G%MX^!7h~C zS}1bJcW1_~1s`7l%^q&)0b}PN{XdOkOVpANcA>_UTv-(IN(1v+p=l=SjI#M~yoW`T z8=AjMvPF9>YQu+SA4ZcKT7^MQ5|@a!&?lq*jAuJOUiUXoM&p;k&G%gG!xZxD|zJo0Y)*OkHZg`rlTZO zzz235BgqZTJRPN=u6$@t(rA(;t9gte0MnPC8~%r#OQ;(kqElHsd7+g{dJjG%XE2i7 z&`e3kJy1_RG-olI+|W!k;rX^VADVL+OHG-0 zbhx2MLVM9*4iSVFRE5496?EZFk!yJ#98z*CGKH?#&#!lm2-G@TE-w-`HaX!;f*dY!gKXpJ7>L-RDF z$qlVM&6#{?zDKT{5~D(&t={wRsRMB==0os{(7An3j$1aG!-3oJx5ZDi9FtjZhrH1O zu!}0uG8d)R_?4{tcsrF!ZeC8CEdRbwQoZY0x*Bh zBgnq*I3TKeAlGqm7SR0sEM&}$P$wGj*sX`}>`e~N0$6}v9v*@=adA3M40~q5pGW1OMT@IN$*Ef8Uo;iMS=83B{ zI>U$PRGlb4I5W{~bdC?v89GsZaN0;c&xhSCogF_oU`PAo`+WG#)%o#*Gp9KZeaMIC zJVulZ=@RmS55o}9>J)~@g}9Ca&_X?aAM^1N;NTQL#J`B~0k6e+xX$$C5iUS8r?n%x z$j9MjI?u&@dGLG|!zWGf2YK`lci3N>B%#Y3h%Ox%zDiFtCpd!rA2qcc7#`wBO+KKv zBh#JMBouO@?wuA3s zp+Wcn;)meyu|KFsZ{cVT;cNFo_|I&><<`LpAHZ9B*SWnXBP7Ad(k940+cCDjJ-vYB_75XhaxGF$4j*2F zG*9j1Y$A`m4rOS$9dSoK^hWA{^Kd>Nzz1}Huh-<^?>HT8PaPg;kB9nl+YFCV~p+F+H)ge*&l-+KEXf(ru?ypIpP#r_E9 zHPIeHwHG1fF;$eK4t!IFwtRUn83-mVY^p@aJeCR!|1CGa| z`2g`6kryf3D2(X&AZrgeBAyXaKqt7^Y8fh&lj%t^?M<^Z5XNrKgb} zoHno)@L_jNXU7lDKd`L1-SAUsnW zC}|-e-OlmI3`A9fq?Al;9K zi#c0(roIXt8h9s9x~YsV zXG~bpLVbzJ^1pGBSer52&CP2KGdQ3x@Pw|%=yJx?wg@NjKAub)Fs7U_wSPRaJKoQY z>6NF&tXv02K*)b%`p1(}ZazN1jV7SBA`Mb477`U_4fQn}>-=TU0HpRY=^<`3HB7DZ z*GjgA`cE=2nzL7mZ5d4;H<}tIyce|ldeyeR7mu%zS{xQYKkML!>Z~W{stRtB)KSwJ-;qf-lZ@&h z_2yYsB6PB5gL%77n%yq=p>{jSnsgza9LcjrlGgdw8p2>@2r0|A#(?T0`PTNr14j9M zTK-x2#b2M%8OmWsc>ygu^fCo6q0YF;>62j=GN8a(T~G|=>;h0u2vYWv)4AZ~iv`v? zLb9QElj?N}(kms(IfzibLcr@0B!79;dB3>7VSc9zm=6n5D_VXC~x!B!g z`vEEV8EpUP4(>f+m@e(1+5Ct7S(r-qIa`~J*sR#+=ot}WV(7=14X8?g} zeMP&EuC>O{D(Yp@x<+Ba`g^q0h{qgi6Y-1>oWW{2Xq@M|A7=Y@XKE|ux9oN z#`@4y%Iq7cqX@Ui;1+&_kAjf@Ao(r*@~Z}Nn|lM+z;d-w0<5?&(y5)_=2yd}YrkNd z?WPj$A6$2zQKEPfNtg$CWBNhVgF18ceE}P)C6lWQ{8~*658_lZ@oB%jwZW$9fPgi4 zFwImtXDZbl7|^8cKzCZ<$GgwKyKHCyUW-8{n-r3<+HVsyF218$Y=)r7HA%)=U5hLj zY>fq+O@pL z8DV?V_Ll7(+gosV9@%Wim9dq`rYR2x^d8pro~lH)Qdt$yTcztgSBY#RlNDMkR`5=|fg$9rdwFs^*u+00>ev-0BQdm-tpaU>%JeK?8-@$5?Y41qqn9 z&W|egH|ND*8JRoAy3-LfG)dF3)_%dzzBOa5e`1r$=JB8sY-5>V%`C3ax@&sHgt}%` zB73Y7*^`yXo-Q9J+i}-&zn%ya(8Ipc3D(H4@WyUMZR~qzlJ!&U2%4Tq$wSt2!2;jn zDOLdw90oJiH03E}rShDzQQ4;KQuZkam6w#`%InG*<%05wa#{ICxvtz&ZmZ#Hgc_yB zsBvnNnhISwM=emhslC*D)q!e}I#PW=ov2PxXQ;E($JF`iB1qQvQ>|IJ<1aX}jio(~ zZ13oaUHJ+WJJDhnTD+GQd(h%kT7;bdj_l_cgCnOo=Hkf7P$5r%3O8LI#y@OLN%{p# z^+77RKSc28_*)%(!BVvwRJIU4zc}?17QWWjo7gRDplCyVaEo5cI*Ub$2PEX z%%l~tGsKPuX~i5`F@v_bQ`&%IA9KEdZ%8>lCnsVBRrC(i>y-R$a zvFX;T#ZdnXmENLK*oxq&aC&!~-o?k~jd`e(S4DPwms6lDy0aule@G;{8HRHjf_B9+ykGT3Th zM>l|ylW9ddt!P3kV6TDYOt_O<(_#)S-UD~VZrFfhxscY}M{By$;&6I*54{^gxpk+2 zV=2}&S}}rFOsB<1X%V*CSe{9XvuP1_6j+`^i;sh?dp7hQ`3ZR*mKVqiv7-ltT11OW zXx>j2(=M=--o8!mR)a~bjhd{dI-8&(R-qMJ>D?@Pw@Ye*<=yl@d!?_jgF2zWlM9xQ z&|((gJBC2(j$u%t!XpfJ+)s-owBiJn(a<^$(9Zg*V{SBdyh5wb(;A2}8TqKSMlp?v z<3}q0jmqI!1Uqig;-9n#&mh=w8;TO9MR)|kQW&kxfledQe5o`hl7*^7&?1eAWT(YQ zT7-uWEYU