diff --git a/ifu_bp_ctl.fir b/ifu_bp_ctl.fir index 03be19f0..4473ab17 100644 --- a/ifu_bp_ctl.fir +++ b/ifu_bp_ctl.fir @@ -1964,25 +1964,25 @@ circuit ifu_bp_ctl : node btb_wr_en_way1 = or(_T_594, _T_595) @[ifu_bp_ctl.scala 435:80] node _T_596 = bits(dec_tlu_error_wb, 0, 0) @[ifu_bp_ctl.scala 438:42] node btb_wr_addr = mux(_T_596, btb_error_addr_wb, io.exu_bp.exu_mp_index) @[ifu_bp_ctl.scala 438:24] - node _T_597 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 439:44] + node _T_597 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 440:43] node _T_598 = bits(_T_597, 0, 0) @[Bitwise.scala 72:15] node _T_599 = mux(_T_598, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_600 = bits(wayhit_f, 1, 0) @[ifu_bp_ctl.scala 439:59] - node _T_601 = and(_T_599, _T_600) @[ifu_bp_ctl.scala 439:49] - node _T_602 = bits(io.ifc_fetch_addr_f, 1, 1) @[ifu_bp_ctl.scala 439:95] + node _T_600 = bits(wayhit_f, 1, 0) @[ifu_bp_ctl.scala 440:58] + node _T_601 = and(_T_599, _T_600) @[ifu_bp_ctl.scala 440:48] + node _T_602 = bits(io.ifc_fetch_addr_f, 1, 1) @[ifu_bp_ctl.scala 440:95] node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] node _T_604 = mux(_T_603, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_605 = bits(wayhit_p1_f, 0, 0) @[ifu_bp_ctl.scala 439:117] - node _T_606 = bits(wayhit_f, 1, 1) @[ifu_bp_ctl.scala 439:129] + node _T_605 = bits(wayhit_p1_f, 0, 0) @[ifu_bp_ctl.scala 440:117] + node _T_606 = bits(wayhit_f, 1, 1) @[ifu_bp_ctl.scala 440:129] node _T_607 = cat(_T_605, _T_606) @[Cat.scala 29:58] - node _T_608 = and(_T_604, _T_607) @[ifu_bp_ctl.scala 439:100] - node _T_609 = or(_T_601, _T_608) @[ifu_bp_ctl.scala 439:66] - node _T_610 = cat(eoc_mask, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_611 = and(_T_609, _T_610) @[ifu_bp_ctl.scala 439:136] - vwayhit_f <= _T_611 @[ifu_bp_ctl.scala 439:13] - node _T_612 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 441:98] - node _T_613 = and(_T_612, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_614 = bits(_T_613, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_608 = and(_T_604, _T_607) @[ifu_bp_ctl.scala 440:100] + node _T_609 = cat(eoc_mask, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_610 = and(_T_608, _T_609) @[ifu_bp_ctl.scala 440:135] + node _T_611 = or(_T_601, _T_610) @[ifu_bp_ctl.scala 440:65] + vwayhit_f <= _T_611 @[ifu_bp_ctl.scala 440:13] + node _T_612 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 442:98] + node _T_613 = and(_T_612, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_614 = bits(_T_613, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 399:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset @@ -1993,9 +1993,9 @@ circuit ifu_bp_ctl : when _T_614 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_0 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_615 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 441:98] - node _T_616 = and(_T_615, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_617 = bits(_T_616, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_615 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 442:98] + node _T_616 = and(_T_615, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_617 = bits(_T_616, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 399:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset @@ -2006,9 +2006,9 @@ circuit ifu_bp_ctl : when _T_617 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_1 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_618 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 441:98] - node _T_619 = and(_T_618, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_620 = bits(_T_619, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_618 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 442:98] + node _T_619 = and(_T_618, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_620 = bits(_T_619, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 399:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset @@ -2019,9 +2019,9 @@ circuit ifu_bp_ctl : when _T_620 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_2 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_621 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 441:98] - node _T_622 = and(_T_621, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_623 = bits(_T_622, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_621 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 442:98] + node _T_622 = and(_T_621, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_623 = bits(_T_622, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_12 of rvclkhdr_12 @[lib.scala 399:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset @@ -2032,9 +2032,9 @@ circuit ifu_bp_ctl : when _T_623 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_3 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_624 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 441:98] - node _T_625 = and(_T_624, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_626 = bits(_T_625, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_624 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 442:98] + node _T_625 = and(_T_624, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_626 = bits(_T_625, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_13 of rvclkhdr_13 @[lib.scala 399:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset @@ -2045,9 +2045,9 @@ circuit ifu_bp_ctl : when _T_626 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_4 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_627 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 441:98] - node _T_628 = and(_T_627, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_629 = bits(_T_628, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_627 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 442:98] + node _T_628 = and(_T_627, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_629 = bits(_T_628, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_14 of rvclkhdr_14 @[lib.scala 399:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset @@ -2058,9 +2058,9 @@ circuit ifu_bp_ctl : when _T_629 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_5 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_630 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 441:98] - node _T_631 = and(_T_630, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_632 = bits(_T_631, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_630 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 442:98] + node _T_631 = and(_T_630, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_632 = bits(_T_631, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_15 of rvclkhdr_15 @[lib.scala 399:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset @@ -2071,9 +2071,9 @@ circuit ifu_bp_ctl : when _T_632 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_6 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_633 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 441:98] - node _T_634 = and(_T_633, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_635 = bits(_T_634, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_633 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 442:98] + node _T_634 = and(_T_633, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_635 = bits(_T_634, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_16 of rvclkhdr_16 @[lib.scala 399:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset @@ -2084,9 +2084,9 @@ circuit ifu_bp_ctl : when _T_635 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_7 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_636 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 441:98] - node _T_637 = and(_T_636, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_638 = bits(_T_637, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_636 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 442:98] + node _T_637 = and(_T_636, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_638 = bits(_T_637, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_17 of rvclkhdr_17 @[lib.scala 399:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset @@ -2097,9 +2097,9 @@ circuit ifu_bp_ctl : when _T_638 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_8 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_639 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 441:98] - node _T_640 = and(_T_639, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_641 = bits(_T_640, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_639 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 442:98] + node _T_640 = and(_T_639, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_641 = bits(_T_640, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_18 of rvclkhdr_18 @[lib.scala 399:23] rvclkhdr_18.clock <= clock rvclkhdr_18.reset <= reset @@ -2110,9 +2110,9 @@ circuit ifu_bp_ctl : when _T_641 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_9 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_642 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 441:98] - node _T_643 = and(_T_642, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_644 = bits(_T_643, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_642 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 442:98] + node _T_643 = and(_T_642, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_644 = bits(_T_643, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_19 of rvclkhdr_19 @[lib.scala 399:23] rvclkhdr_19.clock <= clock rvclkhdr_19.reset <= reset @@ -2123,9 +2123,9 @@ circuit ifu_bp_ctl : when _T_644 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_10 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_645 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 441:98] - node _T_646 = and(_T_645, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_647 = bits(_T_646, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_645 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 442:98] + node _T_646 = and(_T_645, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_647 = bits(_T_646, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_20 of rvclkhdr_20 @[lib.scala 399:23] rvclkhdr_20.clock <= clock rvclkhdr_20.reset <= reset @@ -2136,9 +2136,9 @@ circuit ifu_bp_ctl : when _T_647 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_11 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_648 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 441:98] - node _T_649 = and(_T_648, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_650 = bits(_T_649, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_648 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 442:98] + node _T_649 = and(_T_648, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_650 = bits(_T_649, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_21 of rvclkhdr_21 @[lib.scala 399:23] rvclkhdr_21.clock <= clock rvclkhdr_21.reset <= reset @@ -2149,9 +2149,9 @@ circuit ifu_bp_ctl : when _T_650 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_12 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_651 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 441:98] - node _T_652 = and(_T_651, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_653 = bits(_T_652, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_651 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 442:98] + node _T_652 = and(_T_651, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_653 = bits(_T_652, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_22 of rvclkhdr_22 @[lib.scala 399:23] rvclkhdr_22.clock <= clock rvclkhdr_22.reset <= reset @@ -2162,9 +2162,9 @@ circuit ifu_bp_ctl : when _T_653 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_13 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_654 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 441:98] - node _T_655 = and(_T_654, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_656 = bits(_T_655, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_654 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 442:98] + node _T_655 = and(_T_654, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_656 = bits(_T_655, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_23 of rvclkhdr_23 @[lib.scala 399:23] rvclkhdr_23.clock <= clock rvclkhdr_23.reset <= reset @@ -2175,9 +2175,9 @@ circuit ifu_bp_ctl : when _T_656 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_14 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_657 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 441:98] - node _T_658 = and(_T_657, btb_wr_en_way0) @[ifu_bp_ctl.scala 441:107] - node _T_659 = bits(_T_658, 0, 0) @[ifu_bp_ctl.scala 441:125] + node _T_657 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 442:98] + node _T_658 = and(_T_657, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] + node _T_659 = bits(_T_658, 0, 0) @[ifu_bp_ctl.scala 442:125] inst rvclkhdr_24 of rvclkhdr_24 @[lib.scala 399:23] rvclkhdr_24.clock <= clock rvclkhdr_24.reset <= reset @@ -2188,9 +2188,9 @@ circuit ifu_bp_ctl : when _T_659 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_15 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_660 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 442:98] - node _T_661 = and(_T_660, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_662 = bits(_T_661, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_660 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:98] + node _T_661 = and(_T_660, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_662 = bits(_T_661, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_25 of rvclkhdr_25 @[lib.scala 399:23] rvclkhdr_25.clock <= clock rvclkhdr_25.reset <= reset @@ -2201,9 +2201,9 @@ circuit ifu_bp_ctl : when _T_662 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_0 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_663 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 442:98] - node _T_664 = and(_T_663, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_665 = bits(_T_664, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_663 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:98] + node _T_664 = and(_T_663, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_665 = bits(_T_664, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_26 of rvclkhdr_26 @[lib.scala 399:23] rvclkhdr_26.clock <= clock rvclkhdr_26.reset <= reset @@ -2214,9 +2214,9 @@ circuit ifu_bp_ctl : when _T_665 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_1 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_666 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 442:98] - node _T_667 = and(_T_666, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_668 = bits(_T_667, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_666 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:98] + node _T_667 = and(_T_666, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_668 = bits(_T_667, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_27 of rvclkhdr_27 @[lib.scala 399:23] rvclkhdr_27.clock <= clock rvclkhdr_27.reset <= reset @@ -2227,9 +2227,9 @@ circuit ifu_bp_ctl : when _T_668 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_2 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_669 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 442:98] - node _T_670 = and(_T_669, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_671 = bits(_T_670, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_669 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:98] + node _T_670 = and(_T_669, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_671 = bits(_T_670, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_28 of rvclkhdr_28 @[lib.scala 399:23] rvclkhdr_28.clock <= clock rvclkhdr_28.reset <= reset @@ -2240,9 +2240,9 @@ circuit ifu_bp_ctl : when _T_671 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_3 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_672 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 442:98] - node _T_673 = and(_T_672, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_674 = bits(_T_673, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_672 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:98] + node _T_673 = and(_T_672, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_674 = bits(_T_673, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_29 of rvclkhdr_29 @[lib.scala 399:23] rvclkhdr_29.clock <= clock rvclkhdr_29.reset <= reset @@ -2253,9 +2253,9 @@ circuit ifu_bp_ctl : when _T_674 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_4 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_675 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 442:98] - node _T_676 = and(_T_675, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_677 = bits(_T_676, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_675 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:98] + node _T_676 = and(_T_675, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_677 = bits(_T_676, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_30 of rvclkhdr_30 @[lib.scala 399:23] rvclkhdr_30.clock <= clock rvclkhdr_30.reset <= reset @@ -2266,9 +2266,9 @@ circuit ifu_bp_ctl : when _T_677 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_5 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_678 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 442:98] - node _T_679 = and(_T_678, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_680 = bits(_T_679, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_678 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:98] + node _T_679 = and(_T_678, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_680 = bits(_T_679, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_31 of rvclkhdr_31 @[lib.scala 399:23] rvclkhdr_31.clock <= clock rvclkhdr_31.reset <= reset @@ -2279,9 +2279,9 @@ circuit ifu_bp_ctl : when _T_680 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_6 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_681 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 442:98] - node _T_682 = and(_T_681, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_683 = bits(_T_682, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_681 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:98] + node _T_682 = and(_T_681, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_683 = bits(_T_682, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_32 of rvclkhdr_32 @[lib.scala 399:23] rvclkhdr_32.clock <= clock rvclkhdr_32.reset <= reset @@ -2292,9 +2292,9 @@ circuit ifu_bp_ctl : when _T_683 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_7 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_684 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 442:98] - node _T_685 = and(_T_684, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_686 = bits(_T_685, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_684 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:98] + node _T_685 = and(_T_684, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_686 = bits(_T_685, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_33 of rvclkhdr_33 @[lib.scala 399:23] rvclkhdr_33.clock <= clock rvclkhdr_33.reset <= reset @@ -2305,9 +2305,9 @@ circuit ifu_bp_ctl : when _T_686 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_8 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_687 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 442:98] - node _T_688 = and(_T_687, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_689 = bits(_T_688, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_687 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:98] + node _T_688 = and(_T_687, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_689 = bits(_T_688, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_34 of rvclkhdr_34 @[lib.scala 399:23] rvclkhdr_34.clock <= clock rvclkhdr_34.reset <= reset @@ -2318,9 +2318,9 @@ circuit ifu_bp_ctl : when _T_689 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_9 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_690 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 442:98] - node _T_691 = and(_T_690, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_692 = bits(_T_691, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_690 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:98] + node _T_691 = and(_T_690, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_692 = bits(_T_691, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_35 of rvclkhdr_35 @[lib.scala 399:23] rvclkhdr_35.clock <= clock rvclkhdr_35.reset <= reset @@ -2331,9 +2331,9 @@ circuit ifu_bp_ctl : when _T_692 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_10 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_693 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 442:98] - node _T_694 = and(_T_693, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_695 = bits(_T_694, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_693 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:98] + node _T_694 = and(_T_693, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_695 = bits(_T_694, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_36 of rvclkhdr_36 @[lib.scala 399:23] rvclkhdr_36.clock <= clock rvclkhdr_36.reset <= reset @@ -2344,9 +2344,9 @@ circuit ifu_bp_ctl : when _T_695 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_11 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_696 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 442:98] - node _T_697 = and(_T_696, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_698 = bits(_T_697, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_696 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:98] + node _T_697 = and(_T_696, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_698 = bits(_T_697, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_37 of rvclkhdr_37 @[lib.scala 399:23] rvclkhdr_37.clock <= clock rvclkhdr_37.reset <= reset @@ -2357,9 +2357,9 @@ circuit ifu_bp_ctl : when _T_698 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_12 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_699 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 442:98] - node _T_700 = and(_T_699, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_701 = bits(_T_700, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_699 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:98] + node _T_700 = and(_T_699, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_701 = bits(_T_700, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_38 of rvclkhdr_38 @[lib.scala 399:23] rvclkhdr_38.clock <= clock rvclkhdr_38.reset <= reset @@ -2370,9 +2370,9 @@ circuit ifu_bp_ctl : when _T_701 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_13 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_702 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 442:98] - node _T_703 = and(_T_702, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_704 = bits(_T_703, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_702 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:98] + node _T_703 = and(_T_702, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_704 = bits(_T_703, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_39 of rvclkhdr_39 @[lib.scala 399:23] rvclkhdr_39.clock <= clock rvclkhdr_39.reset <= reset @@ -2383,9 +2383,9 @@ circuit ifu_bp_ctl : when _T_704 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_14 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_705 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 442:98] - node _T_706 = and(_T_705, btb_wr_en_way1) @[ifu_bp_ctl.scala 442:107] - node _T_707 = bits(_T_706, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_705 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:98] + node _T_706 = and(_T_705, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] + node _T_707 = bits(_T_706, 0, 0) @[ifu_bp_ctl.scala 443:125] inst rvclkhdr_40 of rvclkhdr_40 @[lib.scala 399:23] rvclkhdr_40.clock <= clock rvclkhdr_40.reset <= reset @@ -2396,38 +2396,38 @@ circuit ifu_bp_ctl : when _T_707 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_15 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_708 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:80] - node _T_709 = bits(_T_708, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_710 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:80] - node _T_711 = bits(_T_710, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_712 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:80] - node _T_713 = bits(_T_712, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_714 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:80] - node _T_715 = bits(_T_714, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_716 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:80] - node _T_717 = bits(_T_716, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_718 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:80] - node _T_719 = bits(_T_718, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_720 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:80] - node _T_721 = bits(_T_720, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_722 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:80] - node _T_723 = bits(_T_722, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_724 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:80] - node _T_725 = bits(_T_724, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_726 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:80] - node _T_727 = bits(_T_726, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_728 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:80] - node _T_729 = bits(_T_728, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_730 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:80] - node _T_731 = bits(_T_730, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_732 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:80] - node _T_733 = bits(_T_732, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_734 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:80] - node _T_735 = bits(_T_734, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_736 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:80] - node _T_737 = bits(_T_736, 0, 0) @[ifu_bp_ctl.scala 444:89] - node _T_738 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:80] - node _T_739 = bits(_T_738, 0, 0) @[ifu_bp_ctl.scala 444:89] + node _T_708 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 445:80] + node _T_709 = bits(_T_708, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_710 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 445:80] + node _T_711 = bits(_T_710, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_712 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 445:80] + node _T_713 = bits(_T_712, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_714 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 445:80] + node _T_715 = bits(_T_714, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_716 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 445:80] + node _T_717 = bits(_T_716, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_718 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 445:80] + node _T_719 = bits(_T_718, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_720 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 445:80] + node _T_721 = bits(_T_720, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_722 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 445:80] + node _T_723 = bits(_T_722, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_724 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 445:80] + node _T_725 = bits(_T_724, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_726 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 445:80] + node _T_727 = bits(_T_726, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_728 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 445:80] + node _T_729 = bits(_T_728, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_730 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 445:80] + node _T_731 = bits(_T_730, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_732 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 445:80] + node _T_733 = bits(_T_732, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_734 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 445:80] + node _T_735 = bits(_T_734, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_736 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 445:80] + node _T_737 = bits(_T_736, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_738 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 445:80] + node _T_739 = bits(_T_738, 0, 0) @[ifu_bp_ctl.scala 445:89] node _T_740 = mux(_T_709, btb_bank0_rd_data_way0_out_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_741 = mux(_T_711, btb_bank0_rd_data_way0_out_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_742 = mux(_T_713, btb_bank0_rd_data_way0_out_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2461,39 +2461,39 @@ circuit ifu_bp_ctl : node _T_770 = or(_T_769, _T_755) @[Mux.scala 27:72] wire _T_771 : UInt @[Mux.scala 27:72] _T_771 <= _T_770 @[Mux.scala 27:72] - btb_bank0_rd_data_way0_f <= _T_771 @[ifu_bp_ctl.scala 444:28] - node _T_772 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 445:80] - node _T_773 = bits(_T_772, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_774 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 445:80] - node _T_775 = bits(_T_774, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_776 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 445:80] - node _T_777 = bits(_T_776, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_778 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 445:80] - node _T_779 = bits(_T_778, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_780 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 445:80] - node _T_781 = bits(_T_780, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_782 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 445:80] - node _T_783 = bits(_T_782, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_784 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 445:80] - node _T_785 = bits(_T_784, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_786 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 445:80] - node _T_787 = bits(_T_786, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_788 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 445:80] - node _T_789 = bits(_T_788, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_790 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 445:80] - node _T_791 = bits(_T_790, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_792 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 445:80] - node _T_793 = bits(_T_792, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_794 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 445:80] - node _T_795 = bits(_T_794, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_796 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 445:80] - node _T_797 = bits(_T_796, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_798 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 445:80] - node _T_799 = bits(_T_798, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_800 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 445:80] - node _T_801 = bits(_T_800, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_802 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 445:80] - node _T_803 = bits(_T_802, 0, 0) @[ifu_bp_ctl.scala 445:89] + btb_bank0_rd_data_way0_f <= _T_771 @[ifu_bp_ctl.scala 445:28] + node _T_772 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 446:80] + node _T_773 = bits(_T_772, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_774 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 446:80] + node _T_775 = bits(_T_774, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_776 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 446:80] + node _T_777 = bits(_T_776, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_778 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 446:80] + node _T_779 = bits(_T_778, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_780 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 446:80] + node _T_781 = bits(_T_780, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_782 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 446:80] + node _T_783 = bits(_T_782, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_784 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 446:80] + node _T_785 = bits(_T_784, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_786 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 446:80] + node _T_787 = bits(_T_786, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_788 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 446:80] + node _T_789 = bits(_T_788, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_790 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 446:80] + node _T_791 = bits(_T_790, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_792 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 446:80] + node _T_793 = bits(_T_792, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_794 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 446:80] + node _T_795 = bits(_T_794, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_796 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 446:80] + node _T_797 = bits(_T_796, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_798 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 446:80] + node _T_799 = bits(_T_798, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_800 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 446:80] + node _T_801 = bits(_T_800, 0, 0) @[ifu_bp_ctl.scala 446:89] + node _T_802 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 446:80] + node _T_803 = bits(_T_802, 0, 0) @[ifu_bp_ctl.scala 446:89] node _T_804 = mux(_T_773, btb_bank0_rd_data_way1_out_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_805 = mux(_T_775, btb_bank0_rd_data_way1_out_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_806 = mux(_T_777, btb_bank0_rd_data_way1_out_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2527,39 +2527,39 @@ circuit ifu_bp_ctl : node _T_834 = or(_T_833, _T_819) @[Mux.scala 27:72] wire _T_835 : UInt @[Mux.scala 27:72] _T_835 <= _T_834 @[Mux.scala 27:72] - btb_bank0_rd_data_way1_f <= _T_835 @[ifu_bp_ctl.scala 445:28] - node _T_836 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 448:86] - node _T_837 = bits(_T_836, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_838 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 448:86] - node _T_839 = bits(_T_838, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_840 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 448:86] - node _T_841 = bits(_T_840, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_842 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 448:86] - node _T_843 = bits(_T_842, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_844 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 448:86] - node _T_845 = bits(_T_844, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_846 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 448:86] - node _T_847 = bits(_T_846, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_848 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 448:86] - node _T_849 = bits(_T_848, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_850 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 448:86] - node _T_851 = bits(_T_850, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_852 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 448:86] - node _T_853 = bits(_T_852, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_854 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 448:86] - node _T_855 = bits(_T_854, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_856 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 448:86] - node _T_857 = bits(_T_856, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_858 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 448:86] - node _T_859 = bits(_T_858, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_860 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 448:86] - node _T_861 = bits(_T_860, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_862 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 448:86] - node _T_863 = bits(_T_862, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_864 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 448:86] - node _T_865 = bits(_T_864, 0, 0) @[ifu_bp_ctl.scala 448:95] - node _T_866 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 448:86] - node _T_867 = bits(_T_866, 0, 0) @[ifu_bp_ctl.scala 448:95] + btb_bank0_rd_data_way1_f <= _T_835 @[ifu_bp_ctl.scala 446:28] + node _T_836 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 449:86] + node _T_837 = bits(_T_836, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_838 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 449:86] + node _T_839 = bits(_T_838, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_840 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 449:86] + node _T_841 = bits(_T_840, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_842 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 449:86] + node _T_843 = bits(_T_842, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_844 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 449:86] + node _T_845 = bits(_T_844, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_846 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 449:86] + node _T_847 = bits(_T_846, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_848 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 449:86] + node _T_849 = bits(_T_848, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_850 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 449:86] + node _T_851 = bits(_T_850, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_852 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 449:86] + node _T_853 = bits(_T_852, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_854 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 449:86] + node _T_855 = bits(_T_854, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_856 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 449:86] + node _T_857 = bits(_T_856, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_858 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 449:86] + node _T_859 = bits(_T_858, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_860 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 449:86] + node _T_861 = bits(_T_860, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_862 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 449:86] + node _T_863 = bits(_T_862, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_864 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 449:86] + node _T_865 = bits(_T_864, 0, 0) @[ifu_bp_ctl.scala 449:95] + node _T_866 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 449:86] + node _T_867 = bits(_T_866, 0, 0) @[ifu_bp_ctl.scala 449:95] node _T_868 = mux(_T_837, btb_bank0_rd_data_way0_out_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_869 = mux(_T_839, btb_bank0_rd_data_way0_out_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_870 = mux(_T_841, btb_bank0_rd_data_way0_out_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2593,39 +2593,39 @@ circuit ifu_bp_ctl : node _T_898 = or(_T_897, _T_883) @[Mux.scala 27:72] wire _T_899 : UInt @[Mux.scala 27:72] _T_899 <= _T_898 @[Mux.scala 27:72] - btb_bank0_rd_data_way0_p1_f <= _T_899 @[ifu_bp_ctl.scala 448:31] - node _T_900 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 449:86] - node _T_901 = bits(_T_900, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_902 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 449:86] - node _T_903 = bits(_T_902, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_904 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 449:86] - node _T_905 = bits(_T_904, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_906 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 449:86] - node _T_907 = bits(_T_906, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_908 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 449:86] - node _T_909 = bits(_T_908, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_910 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 449:86] - node _T_911 = bits(_T_910, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_912 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 449:86] - node _T_913 = bits(_T_912, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_914 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 449:86] - node _T_915 = bits(_T_914, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_916 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 449:86] - node _T_917 = bits(_T_916, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_918 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 449:86] - node _T_919 = bits(_T_918, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_920 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 449:86] - node _T_921 = bits(_T_920, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_922 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 449:86] - node _T_923 = bits(_T_922, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_924 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 449:86] - node _T_925 = bits(_T_924, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_926 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 449:86] - node _T_927 = bits(_T_926, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_928 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 449:86] - node _T_929 = bits(_T_928, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_930 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 449:86] - node _T_931 = bits(_T_930, 0, 0) @[ifu_bp_ctl.scala 449:95] + btb_bank0_rd_data_way0_p1_f <= _T_899 @[ifu_bp_ctl.scala 449:31] + node _T_900 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 450:86] + node _T_901 = bits(_T_900, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_902 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 450:86] + node _T_903 = bits(_T_902, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_904 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 450:86] + node _T_905 = bits(_T_904, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_906 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 450:86] + node _T_907 = bits(_T_906, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_908 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 450:86] + node _T_909 = bits(_T_908, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_910 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 450:86] + node _T_911 = bits(_T_910, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_912 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 450:86] + node _T_913 = bits(_T_912, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_914 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 450:86] + node _T_915 = bits(_T_914, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_916 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 450:86] + node _T_917 = bits(_T_916, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_918 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 450:86] + node _T_919 = bits(_T_918, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_920 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 450:86] + node _T_921 = bits(_T_920, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_922 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 450:86] + node _T_923 = bits(_T_922, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_924 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 450:86] + node _T_925 = bits(_T_924, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_926 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 450:86] + node _T_927 = bits(_T_926, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_928 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 450:86] + node _T_929 = bits(_T_928, 0, 0) @[ifu_bp_ctl.scala 450:95] + node _T_930 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 450:86] + node _T_931 = bits(_T_930, 0, 0) @[ifu_bp_ctl.scala 450:95] node _T_932 = mux(_T_901, btb_bank0_rd_data_way1_out_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_933 = mux(_T_903, btb_bank0_rd_data_way1_out_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_934 = mux(_T_905, btb_bank0_rd_data_way1_out_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2659,1169 +2659,1169 @@ circuit ifu_bp_ctl : node _T_962 = or(_T_961, _T_947) @[Mux.scala 27:72] wire _T_963 : UInt @[Mux.scala 27:72] _T_963 <= _T_962 @[Mux.scala 27:72] - btb_bank0_rd_data_way1_p1_f <= _T_963 @[ifu_bp_ctl.scala 449:31] - wire bht_bank_clken : UInt<1>[1][2] @[ifu_bp_ctl.scala 505:28] - wire bht_bank_clk : Clock[1][2] @[ifu_bp_ctl.scala 507:26] + btb_bank0_rd_data_way1_p1_f <= _T_963 @[ifu_bp_ctl.scala 450:31] + wire bht_bank_clken : UInt<1>[1][2] @[ifu_bp_ctl.scala 506:28] + wire bht_bank_clk : Clock[1][2] @[ifu_bp_ctl.scala 508:26] inst rvclkhdr_41 of rvclkhdr_41 @[lib.scala 343:22] rvclkhdr_41.clock <= clock rvclkhdr_41.reset <= reset rvclkhdr_41.io.clk <= clock @[lib.scala 344:17] rvclkhdr_41.io.en <= bht_bank_clken[0][0] @[lib.scala 345:16] rvclkhdr_41.io.scan_mode <= UInt<1>("h00") @[lib.scala 346:23] - bht_bank_clk[0][0] <= rvclkhdr_41.io.l1clk @[ifu_bp_ctl.scala 509:84] + bht_bank_clk[0][0] <= rvclkhdr_41.io.l1clk @[ifu_bp_ctl.scala 510:84] inst rvclkhdr_42 of rvclkhdr_42 @[lib.scala 343:22] rvclkhdr_42.clock <= clock rvclkhdr_42.reset <= reset rvclkhdr_42.io.clk <= clock @[lib.scala 344:17] rvclkhdr_42.io.en <= bht_bank_clken[1][0] @[lib.scala 345:16] rvclkhdr_42.io.scan_mode <= UInt<1>("h00") @[lib.scala 346:23] - bht_bank_clk[1][0] <= rvclkhdr_42.io.l1clk @[ifu_bp_ctl.scala 509:84] - node _T_964 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 514:40] - node _T_965 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 514:60] - node _T_966 = eq(_T_965, UInt<1>("h00")) @[ifu_bp_ctl.scala 514:109] - node _T_967 = or(_T_966, UInt<1>("h01")) @[ifu_bp_ctl.scala 514:117] - node _T_968 = and(_T_964, _T_967) @[ifu_bp_ctl.scala 514:44] - node _T_969 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 515:40] - node _T_970 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 515:60] - node _T_971 = eq(_T_970, UInt<1>("h00")) @[ifu_bp_ctl.scala 515:109] - node _T_972 = or(_T_971, UInt<1>("h01")) @[ifu_bp_ctl.scala 515:117] - node _T_973 = and(_T_969, _T_972) @[ifu_bp_ctl.scala 515:44] - node _T_974 = or(_T_968, _T_973) @[ifu_bp_ctl.scala 514:142] - bht_bank_clken[0][0] <= _T_974 @[ifu_bp_ctl.scala 514:26] - node _T_975 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 514:40] - node _T_976 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 514:60] - node _T_977 = eq(_T_976, UInt<1>("h00")) @[ifu_bp_ctl.scala 514:109] - node _T_978 = or(_T_977, UInt<1>("h01")) @[ifu_bp_ctl.scala 514:117] - node _T_979 = and(_T_975, _T_978) @[ifu_bp_ctl.scala 514:44] - node _T_980 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 515:40] - node _T_981 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 515:60] - node _T_982 = eq(_T_981, UInt<1>("h00")) @[ifu_bp_ctl.scala 515:109] - node _T_983 = or(_T_982, UInt<1>("h01")) @[ifu_bp_ctl.scala 515:117] - node _T_984 = and(_T_980, _T_983) @[ifu_bp_ctl.scala 515:44] - node _T_985 = or(_T_979, _T_984) @[ifu_bp_ctl.scala 514:142] - bht_bank_clken[1][0] <= _T_985 @[ifu_bp_ctl.scala 514:26] - node _T_986 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_987 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_988 = eq(_T_987, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:74] - node _T_989 = and(_T_986, _T_988) @[ifu_bp_ctl.scala 520:23] - node _T_990 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_991 = eq(_T_990, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_992 = and(_T_989, _T_991) @[ifu_bp_ctl.scala 520:81] - node _T_993 = or(_T_992, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_994 = bits(_T_993, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_0 = mux(_T_994, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_995 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_996 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_997 = eq(_T_996, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:74] - node _T_998 = and(_T_995, _T_997) @[ifu_bp_ctl.scala 520:23] - node _T_999 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1000 = eq(_T_999, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1001 = and(_T_998, _T_1000) @[ifu_bp_ctl.scala 520:81] - node _T_1002 = or(_T_1001, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1003 = bits(_T_1002, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_1 = mux(_T_1003, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1004 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1005 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1006 = eq(_T_1005, UInt<2>("h02")) @[ifu_bp_ctl.scala 520:74] - node _T_1007 = and(_T_1004, _T_1006) @[ifu_bp_ctl.scala 520:23] - node _T_1008 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1009 = eq(_T_1008, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1010 = and(_T_1007, _T_1009) @[ifu_bp_ctl.scala 520:81] - node _T_1011 = or(_T_1010, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1012 = bits(_T_1011, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_2 = mux(_T_1012, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1013 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1014 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1015 = eq(_T_1014, UInt<2>("h03")) @[ifu_bp_ctl.scala 520:74] - node _T_1016 = and(_T_1013, _T_1015) @[ifu_bp_ctl.scala 520:23] - node _T_1017 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1018 = eq(_T_1017, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1019 = and(_T_1016, _T_1018) @[ifu_bp_ctl.scala 520:81] - node _T_1020 = or(_T_1019, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1021 = bits(_T_1020, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_3 = mux(_T_1021, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1022 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1023 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1024 = eq(_T_1023, UInt<3>("h04")) @[ifu_bp_ctl.scala 520:74] - node _T_1025 = and(_T_1022, _T_1024) @[ifu_bp_ctl.scala 520:23] - node _T_1026 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1028 = and(_T_1025, _T_1027) @[ifu_bp_ctl.scala 520:81] - node _T_1029 = or(_T_1028, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1030 = bits(_T_1029, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_4 = mux(_T_1030, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1031 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1032 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1033 = eq(_T_1032, UInt<3>("h05")) @[ifu_bp_ctl.scala 520:74] - node _T_1034 = and(_T_1031, _T_1033) @[ifu_bp_ctl.scala 520:23] - node _T_1035 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1036 = eq(_T_1035, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1037 = and(_T_1034, _T_1036) @[ifu_bp_ctl.scala 520:81] - node _T_1038 = or(_T_1037, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1039 = bits(_T_1038, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_5 = mux(_T_1039, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1040 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1041 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1042 = eq(_T_1041, UInt<3>("h06")) @[ifu_bp_ctl.scala 520:74] - node _T_1043 = and(_T_1040, _T_1042) @[ifu_bp_ctl.scala 520:23] - node _T_1044 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1045 = eq(_T_1044, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1046 = and(_T_1043, _T_1045) @[ifu_bp_ctl.scala 520:81] - node _T_1047 = or(_T_1046, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1048 = bits(_T_1047, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_6 = mux(_T_1048, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1049 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1050 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1051 = eq(_T_1050, UInt<3>("h07")) @[ifu_bp_ctl.scala 520:74] - node _T_1052 = and(_T_1049, _T_1051) @[ifu_bp_ctl.scala 520:23] - node _T_1053 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1055 = and(_T_1052, _T_1054) @[ifu_bp_ctl.scala 520:81] - node _T_1056 = or(_T_1055, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1057 = bits(_T_1056, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_7 = mux(_T_1057, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1058 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1059 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1060 = eq(_T_1059, UInt<4>("h08")) @[ifu_bp_ctl.scala 520:74] - node _T_1061 = and(_T_1058, _T_1060) @[ifu_bp_ctl.scala 520:23] - node _T_1062 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1063 = eq(_T_1062, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1064 = and(_T_1061, _T_1063) @[ifu_bp_ctl.scala 520:81] - node _T_1065 = or(_T_1064, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1066 = bits(_T_1065, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_8 = mux(_T_1066, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1067 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1068 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1069 = eq(_T_1068, UInt<4>("h09")) @[ifu_bp_ctl.scala 520:74] - node _T_1070 = and(_T_1067, _T_1069) @[ifu_bp_ctl.scala 520:23] - node _T_1071 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1072 = eq(_T_1071, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1073 = and(_T_1070, _T_1072) @[ifu_bp_ctl.scala 520:81] - node _T_1074 = or(_T_1073, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1075 = bits(_T_1074, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_9 = mux(_T_1075, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1076 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1077 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1078 = eq(_T_1077, UInt<4>("h0a")) @[ifu_bp_ctl.scala 520:74] - node _T_1079 = and(_T_1076, _T_1078) @[ifu_bp_ctl.scala 520:23] - node _T_1080 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1081 = eq(_T_1080, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1082 = and(_T_1079, _T_1081) @[ifu_bp_ctl.scala 520:81] - node _T_1083 = or(_T_1082, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1084 = bits(_T_1083, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_10 = mux(_T_1084, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1085 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1086 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1087 = eq(_T_1086, UInt<4>("h0b")) @[ifu_bp_ctl.scala 520:74] - node _T_1088 = and(_T_1085, _T_1087) @[ifu_bp_ctl.scala 520:23] - node _T_1089 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1090 = eq(_T_1089, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1091 = and(_T_1088, _T_1090) @[ifu_bp_ctl.scala 520:81] - node _T_1092 = or(_T_1091, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1093 = bits(_T_1092, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_11 = mux(_T_1093, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1094 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1095 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1096 = eq(_T_1095, UInt<4>("h0c")) @[ifu_bp_ctl.scala 520:74] - node _T_1097 = and(_T_1094, _T_1096) @[ifu_bp_ctl.scala 520:23] - node _T_1098 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1099 = eq(_T_1098, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1100 = and(_T_1097, _T_1099) @[ifu_bp_ctl.scala 520:81] - node _T_1101 = or(_T_1100, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1102 = bits(_T_1101, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_12 = mux(_T_1102, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1103 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1104 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1105 = eq(_T_1104, UInt<4>("h0d")) @[ifu_bp_ctl.scala 520:74] - node _T_1106 = and(_T_1103, _T_1105) @[ifu_bp_ctl.scala 520:23] - node _T_1107 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1108 = eq(_T_1107, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1109 = and(_T_1106, _T_1108) @[ifu_bp_ctl.scala 520:81] - node _T_1110 = or(_T_1109, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1111 = bits(_T_1110, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_13 = mux(_T_1111, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1112 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1113 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1114 = eq(_T_1113, UInt<4>("h0e")) @[ifu_bp_ctl.scala 520:74] - node _T_1115 = and(_T_1112, _T_1114) @[ifu_bp_ctl.scala 520:23] - node _T_1116 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1117 = eq(_T_1116, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1118 = and(_T_1115, _T_1117) @[ifu_bp_ctl.scala 520:81] - node _T_1119 = or(_T_1118, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1120 = bits(_T_1119, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_14 = mux(_T_1120, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1121 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 520:20] - node _T_1122 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1123 = eq(_T_1122, UInt<4>("h0f")) @[ifu_bp_ctl.scala 520:74] - node _T_1124 = and(_T_1121, _T_1123) @[ifu_bp_ctl.scala 520:23] - node _T_1125 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1126 = eq(_T_1125, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1127 = and(_T_1124, _T_1126) @[ifu_bp_ctl.scala 520:81] - node _T_1128 = or(_T_1127, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1129 = bits(_T_1128, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_0_0_15 = mux(_T_1129, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1130 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1131 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:74] - node _T_1133 = and(_T_1130, _T_1132) @[ifu_bp_ctl.scala 520:23] - node _T_1134 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1135 = eq(_T_1134, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1136 = and(_T_1133, _T_1135) @[ifu_bp_ctl.scala 520:81] - node _T_1137 = or(_T_1136, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1138 = bits(_T_1137, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_0 = mux(_T_1138, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1139 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1140 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1141 = eq(_T_1140, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:74] - node _T_1142 = and(_T_1139, _T_1141) @[ifu_bp_ctl.scala 520:23] - node _T_1143 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1144 = eq(_T_1143, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1145 = and(_T_1142, _T_1144) @[ifu_bp_ctl.scala 520:81] - node _T_1146 = or(_T_1145, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1147 = bits(_T_1146, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_1 = mux(_T_1147, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1148 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1149 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1150 = eq(_T_1149, UInt<2>("h02")) @[ifu_bp_ctl.scala 520:74] - node _T_1151 = and(_T_1148, _T_1150) @[ifu_bp_ctl.scala 520:23] - node _T_1152 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1154 = and(_T_1151, _T_1153) @[ifu_bp_ctl.scala 520:81] - node _T_1155 = or(_T_1154, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1156 = bits(_T_1155, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_2 = mux(_T_1156, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1157 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1158 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1159 = eq(_T_1158, UInt<2>("h03")) @[ifu_bp_ctl.scala 520:74] - node _T_1160 = and(_T_1157, _T_1159) @[ifu_bp_ctl.scala 520:23] - node _T_1161 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1163 = and(_T_1160, _T_1162) @[ifu_bp_ctl.scala 520:81] - node _T_1164 = or(_T_1163, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1165 = bits(_T_1164, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_3 = mux(_T_1165, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1166 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1167 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1168 = eq(_T_1167, UInt<3>("h04")) @[ifu_bp_ctl.scala 520:74] - node _T_1169 = and(_T_1166, _T_1168) @[ifu_bp_ctl.scala 520:23] - node _T_1170 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1172 = and(_T_1169, _T_1171) @[ifu_bp_ctl.scala 520:81] - node _T_1173 = or(_T_1172, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1174 = bits(_T_1173, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_4 = mux(_T_1174, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1175 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1176 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1177 = eq(_T_1176, UInt<3>("h05")) @[ifu_bp_ctl.scala 520:74] - node _T_1178 = and(_T_1175, _T_1177) @[ifu_bp_ctl.scala 520:23] - node _T_1179 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1180 = eq(_T_1179, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1181 = and(_T_1178, _T_1180) @[ifu_bp_ctl.scala 520:81] - node _T_1182 = or(_T_1181, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1183 = bits(_T_1182, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_5 = mux(_T_1183, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1184 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1185 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1186 = eq(_T_1185, UInt<3>("h06")) @[ifu_bp_ctl.scala 520:74] - node _T_1187 = and(_T_1184, _T_1186) @[ifu_bp_ctl.scala 520:23] - node _T_1188 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1189 = eq(_T_1188, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1190 = and(_T_1187, _T_1189) @[ifu_bp_ctl.scala 520:81] - node _T_1191 = or(_T_1190, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1192 = bits(_T_1191, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_6 = mux(_T_1192, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1193 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1194 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1195 = eq(_T_1194, UInt<3>("h07")) @[ifu_bp_ctl.scala 520:74] - node _T_1196 = and(_T_1193, _T_1195) @[ifu_bp_ctl.scala 520:23] - node _T_1197 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1198 = eq(_T_1197, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1199 = and(_T_1196, _T_1198) @[ifu_bp_ctl.scala 520:81] - node _T_1200 = or(_T_1199, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1201 = bits(_T_1200, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_7 = mux(_T_1201, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1202 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1203 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1204 = eq(_T_1203, UInt<4>("h08")) @[ifu_bp_ctl.scala 520:74] - node _T_1205 = and(_T_1202, _T_1204) @[ifu_bp_ctl.scala 520:23] - node _T_1206 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1208 = and(_T_1205, _T_1207) @[ifu_bp_ctl.scala 520:81] - node _T_1209 = or(_T_1208, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1210 = bits(_T_1209, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_8 = mux(_T_1210, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1211 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1212 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1213 = eq(_T_1212, UInt<4>("h09")) @[ifu_bp_ctl.scala 520:74] - node _T_1214 = and(_T_1211, _T_1213) @[ifu_bp_ctl.scala 520:23] - node _T_1215 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1217 = and(_T_1214, _T_1216) @[ifu_bp_ctl.scala 520:81] - node _T_1218 = or(_T_1217, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1219 = bits(_T_1218, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_9 = mux(_T_1219, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1220 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1221 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1222 = eq(_T_1221, UInt<4>("h0a")) @[ifu_bp_ctl.scala 520:74] - node _T_1223 = and(_T_1220, _T_1222) @[ifu_bp_ctl.scala 520:23] - node _T_1224 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1225 = eq(_T_1224, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1226 = and(_T_1223, _T_1225) @[ifu_bp_ctl.scala 520:81] - node _T_1227 = or(_T_1226, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1228 = bits(_T_1227, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_10 = mux(_T_1228, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1229 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1230 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1231 = eq(_T_1230, UInt<4>("h0b")) @[ifu_bp_ctl.scala 520:74] - node _T_1232 = and(_T_1229, _T_1231) @[ifu_bp_ctl.scala 520:23] - node _T_1233 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1235 = and(_T_1232, _T_1234) @[ifu_bp_ctl.scala 520:81] - node _T_1236 = or(_T_1235, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1237 = bits(_T_1236, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_11 = mux(_T_1237, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1238 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1239 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1240 = eq(_T_1239, UInt<4>("h0c")) @[ifu_bp_ctl.scala 520:74] - node _T_1241 = and(_T_1238, _T_1240) @[ifu_bp_ctl.scala 520:23] - node _T_1242 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1243 = eq(_T_1242, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1244 = and(_T_1241, _T_1243) @[ifu_bp_ctl.scala 520:81] - node _T_1245 = or(_T_1244, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1246 = bits(_T_1245, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_12 = mux(_T_1246, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1247 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1248 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1249 = eq(_T_1248, UInt<4>("h0d")) @[ifu_bp_ctl.scala 520:74] - node _T_1250 = and(_T_1247, _T_1249) @[ifu_bp_ctl.scala 520:23] - node _T_1251 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1252 = eq(_T_1251, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1253 = and(_T_1250, _T_1252) @[ifu_bp_ctl.scala 520:81] - node _T_1254 = or(_T_1253, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1255 = bits(_T_1254, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_13 = mux(_T_1255, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1256 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1257 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1258 = eq(_T_1257, UInt<4>("h0e")) @[ifu_bp_ctl.scala 520:74] - node _T_1259 = and(_T_1256, _T_1258) @[ifu_bp_ctl.scala 520:23] - node _T_1260 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1261 = eq(_T_1260, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1262 = and(_T_1259, _T_1261) @[ifu_bp_ctl.scala 520:81] - node _T_1263 = or(_T_1262, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1264 = bits(_T_1263, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_14 = mux(_T_1264, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - node _T_1265 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 520:20] - node _T_1266 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:37] - node _T_1267 = eq(_T_1266, UInt<4>("h0f")) @[ifu_bp_ctl.scala 520:74] - node _T_1268 = and(_T_1265, _T_1267) @[ifu_bp_ctl.scala 520:23] - node _T_1269 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 520:95] - node _T_1270 = eq(_T_1269, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:154] - node _T_1271 = and(_T_1268, _T_1270) @[ifu_bp_ctl.scala 520:81] - node _T_1272 = or(_T_1271, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:161] - node _T_1273 = bits(_T_1272, 0, 0) @[ifu_bp_ctl.scala 520:183] - node bht_bank_wr_data_1_0_15 = mux(_T_1273, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 520:8] - wire bht_bank_sel : UInt<1>[16][1][2] @[ifu_bp_ctl.scala 522:26] - node _T_1274 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1275 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:97] - node _T_1277 = and(_T_1274, _T_1276) @[ifu_bp_ctl.scala 528:45] - node _T_1278 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1280 = or(_T_1279, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1281 = and(_T_1277, _T_1280) @[ifu_bp_ctl.scala 528:110] - node _T_1282 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1283 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:74] - node _T_1285 = and(_T_1282, _T_1284) @[ifu_bp_ctl.scala 529:22] - node _T_1286 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1287 = eq(_T_1286, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1288 = or(_T_1287, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1289 = and(_T_1285, _T_1288) @[ifu_bp_ctl.scala 529:87] - node _T_1290 = or(_T_1281, _T_1289) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][0] <= _T_1290 @[ifu_bp_ctl.scala 528:27] - node _T_1291 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1292 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1293 = eq(_T_1292, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:97] - node _T_1294 = and(_T_1291, _T_1293) @[ifu_bp_ctl.scala 528:45] - node _T_1295 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1296 = eq(_T_1295, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1297 = or(_T_1296, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1298 = and(_T_1294, _T_1297) @[ifu_bp_ctl.scala 528:110] - node _T_1299 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1300 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1301 = eq(_T_1300, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:74] - node _T_1302 = and(_T_1299, _T_1301) @[ifu_bp_ctl.scala 529:22] - node _T_1303 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1304 = eq(_T_1303, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1305 = or(_T_1304, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1306 = and(_T_1302, _T_1305) @[ifu_bp_ctl.scala 529:87] - node _T_1307 = or(_T_1298, _T_1306) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][1] <= _T_1307 @[ifu_bp_ctl.scala 528:27] - node _T_1308 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1309 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1310 = eq(_T_1309, UInt<2>("h02")) @[ifu_bp_ctl.scala 528:97] - node _T_1311 = and(_T_1308, _T_1310) @[ifu_bp_ctl.scala 528:45] - node _T_1312 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1313 = eq(_T_1312, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1314 = or(_T_1313, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1315 = and(_T_1311, _T_1314) @[ifu_bp_ctl.scala 528:110] - node _T_1316 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1317 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1318 = eq(_T_1317, UInt<2>("h02")) @[ifu_bp_ctl.scala 529:74] - node _T_1319 = and(_T_1316, _T_1318) @[ifu_bp_ctl.scala 529:22] - node _T_1320 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1322 = or(_T_1321, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1323 = and(_T_1319, _T_1322) @[ifu_bp_ctl.scala 529:87] - node _T_1324 = or(_T_1315, _T_1323) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][2] <= _T_1324 @[ifu_bp_ctl.scala 528:27] - node _T_1325 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1326 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1327 = eq(_T_1326, UInt<2>("h03")) @[ifu_bp_ctl.scala 528:97] - node _T_1328 = and(_T_1325, _T_1327) @[ifu_bp_ctl.scala 528:45] - node _T_1329 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1331 = or(_T_1330, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1332 = and(_T_1328, _T_1331) @[ifu_bp_ctl.scala 528:110] - node _T_1333 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1334 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1335 = eq(_T_1334, UInt<2>("h03")) @[ifu_bp_ctl.scala 529:74] - node _T_1336 = and(_T_1333, _T_1335) @[ifu_bp_ctl.scala 529:22] - node _T_1337 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1338 = eq(_T_1337, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1339 = or(_T_1338, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1340 = and(_T_1336, _T_1339) @[ifu_bp_ctl.scala 529:87] - node _T_1341 = or(_T_1332, _T_1340) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][3] <= _T_1341 @[ifu_bp_ctl.scala 528:27] - node _T_1342 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1343 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1344 = eq(_T_1343, UInt<3>("h04")) @[ifu_bp_ctl.scala 528:97] - node _T_1345 = and(_T_1342, _T_1344) @[ifu_bp_ctl.scala 528:45] - node _T_1346 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1347 = eq(_T_1346, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1348 = or(_T_1347, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1349 = and(_T_1345, _T_1348) @[ifu_bp_ctl.scala 528:110] - node _T_1350 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1351 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1352 = eq(_T_1351, UInt<3>("h04")) @[ifu_bp_ctl.scala 529:74] - node _T_1353 = and(_T_1350, _T_1352) @[ifu_bp_ctl.scala 529:22] - node _T_1354 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1355 = eq(_T_1354, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1356 = or(_T_1355, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1357 = and(_T_1353, _T_1356) @[ifu_bp_ctl.scala 529:87] - node _T_1358 = or(_T_1349, _T_1357) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][4] <= _T_1358 @[ifu_bp_ctl.scala 528:27] - node _T_1359 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1360 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1361 = eq(_T_1360, UInt<3>("h05")) @[ifu_bp_ctl.scala 528:97] - node _T_1362 = and(_T_1359, _T_1361) @[ifu_bp_ctl.scala 528:45] - node _T_1363 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1364 = eq(_T_1363, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1365 = or(_T_1364, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1366 = and(_T_1362, _T_1365) @[ifu_bp_ctl.scala 528:110] - node _T_1367 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1368 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1369 = eq(_T_1368, UInt<3>("h05")) @[ifu_bp_ctl.scala 529:74] - node _T_1370 = and(_T_1367, _T_1369) @[ifu_bp_ctl.scala 529:22] - node _T_1371 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1372 = eq(_T_1371, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1373 = or(_T_1372, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1374 = and(_T_1370, _T_1373) @[ifu_bp_ctl.scala 529:87] - node _T_1375 = or(_T_1366, _T_1374) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][5] <= _T_1375 @[ifu_bp_ctl.scala 528:27] - node _T_1376 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1377 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1378 = eq(_T_1377, UInt<3>("h06")) @[ifu_bp_ctl.scala 528:97] - node _T_1379 = and(_T_1376, _T_1378) @[ifu_bp_ctl.scala 528:45] - node _T_1380 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1381 = eq(_T_1380, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1382 = or(_T_1381, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1383 = and(_T_1379, _T_1382) @[ifu_bp_ctl.scala 528:110] - node _T_1384 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1385 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1386 = eq(_T_1385, UInt<3>("h06")) @[ifu_bp_ctl.scala 529:74] - node _T_1387 = and(_T_1384, _T_1386) @[ifu_bp_ctl.scala 529:22] - node _T_1388 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1389 = eq(_T_1388, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1390 = or(_T_1389, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1391 = and(_T_1387, _T_1390) @[ifu_bp_ctl.scala 529:87] - node _T_1392 = or(_T_1383, _T_1391) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][6] <= _T_1392 @[ifu_bp_ctl.scala 528:27] - node _T_1393 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1394 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1395 = eq(_T_1394, UInt<3>("h07")) @[ifu_bp_ctl.scala 528:97] - node _T_1396 = and(_T_1393, _T_1395) @[ifu_bp_ctl.scala 528:45] - node _T_1397 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1398 = eq(_T_1397, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1399 = or(_T_1398, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1400 = and(_T_1396, _T_1399) @[ifu_bp_ctl.scala 528:110] - node _T_1401 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1402 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1403 = eq(_T_1402, UInt<3>("h07")) @[ifu_bp_ctl.scala 529:74] - node _T_1404 = and(_T_1401, _T_1403) @[ifu_bp_ctl.scala 529:22] - node _T_1405 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1406 = eq(_T_1405, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1407 = or(_T_1406, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1408 = and(_T_1404, _T_1407) @[ifu_bp_ctl.scala 529:87] - node _T_1409 = or(_T_1400, _T_1408) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][7] <= _T_1409 @[ifu_bp_ctl.scala 528:27] - node _T_1410 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1411 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1412 = eq(_T_1411, UInt<4>("h08")) @[ifu_bp_ctl.scala 528:97] - node _T_1413 = and(_T_1410, _T_1412) @[ifu_bp_ctl.scala 528:45] - node _T_1414 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1416 = or(_T_1415, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1417 = and(_T_1413, _T_1416) @[ifu_bp_ctl.scala 528:110] - node _T_1418 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1419 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1420 = eq(_T_1419, UInt<4>("h08")) @[ifu_bp_ctl.scala 529:74] - node _T_1421 = and(_T_1418, _T_1420) @[ifu_bp_ctl.scala 529:22] - node _T_1422 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1423 = eq(_T_1422, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1424 = or(_T_1423, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1425 = and(_T_1421, _T_1424) @[ifu_bp_ctl.scala 529:87] - node _T_1426 = or(_T_1417, _T_1425) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][8] <= _T_1426 @[ifu_bp_ctl.scala 528:27] - node _T_1427 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1428 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1429 = eq(_T_1428, UInt<4>("h09")) @[ifu_bp_ctl.scala 528:97] - node _T_1430 = and(_T_1427, _T_1429) @[ifu_bp_ctl.scala 528:45] - node _T_1431 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1432 = eq(_T_1431, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1433 = or(_T_1432, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1434 = and(_T_1430, _T_1433) @[ifu_bp_ctl.scala 528:110] - node _T_1435 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1436 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1437 = eq(_T_1436, UInt<4>("h09")) @[ifu_bp_ctl.scala 529:74] - node _T_1438 = and(_T_1435, _T_1437) @[ifu_bp_ctl.scala 529:22] - node _T_1439 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1440 = eq(_T_1439, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1441 = or(_T_1440, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1442 = and(_T_1438, _T_1441) @[ifu_bp_ctl.scala 529:87] - node _T_1443 = or(_T_1434, _T_1442) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][9] <= _T_1443 @[ifu_bp_ctl.scala 528:27] - node _T_1444 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1445 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1446 = eq(_T_1445, UInt<4>("h0a")) @[ifu_bp_ctl.scala 528:97] - node _T_1447 = and(_T_1444, _T_1446) @[ifu_bp_ctl.scala 528:45] - node _T_1448 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1449 = eq(_T_1448, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1450 = or(_T_1449, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1451 = and(_T_1447, _T_1450) @[ifu_bp_ctl.scala 528:110] - node _T_1452 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1453 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1454 = eq(_T_1453, UInt<4>("h0a")) @[ifu_bp_ctl.scala 529:74] - node _T_1455 = and(_T_1452, _T_1454) @[ifu_bp_ctl.scala 529:22] - node _T_1456 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1457 = eq(_T_1456, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1458 = or(_T_1457, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1459 = and(_T_1455, _T_1458) @[ifu_bp_ctl.scala 529:87] - node _T_1460 = or(_T_1451, _T_1459) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][10] <= _T_1460 @[ifu_bp_ctl.scala 528:27] - node _T_1461 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1462 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1463 = eq(_T_1462, UInt<4>("h0b")) @[ifu_bp_ctl.scala 528:97] - node _T_1464 = and(_T_1461, _T_1463) @[ifu_bp_ctl.scala 528:45] - node _T_1465 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1467 = or(_T_1466, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1468 = and(_T_1464, _T_1467) @[ifu_bp_ctl.scala 528:110] - node _T_1469 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1470 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1471 = eq(_T_1470, UInt<4>("h0b")) @[ifu_bp_ctl.scala 529:74] - node _T_1472 = and(_T_1469, _T_1471) @[ifu_bp_ctl.scala 529:22] - node _T_1473 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1474 = eq(_T_1473, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1475 = or(_T_1474, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1476 = and(_T_1472, _T_1475) @[ifu_bp_ctl.scala 529:87] - node _T_1477 = or(_T_1468, _T_1476) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][11] <= _T_1477 @[ifu_bp_ctl.scala 528:27] - node _T_1478 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1479 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1480 = eq(_T_1479, UInt<4>("h0c")) @[ifu_bp_ctl.scala 528:97] - node _T_1481 = and(_T_1478, _T_1480) @[ifu_bp_ctl.scala 528:45] - node _T_1482 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1483 = eq(_T_1482, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1484 = or(_T_1483, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1485 = and(_T_1481, _T_1484) @[ifu_bp_ctl.scala 528:110] - node _T_1486 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1487 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1488 = eq(_T_1487, UInt<4>("h0c")) @[ifu_bp_ctl.scala 529:74] - node _T_1489 = and(_T_1486, _T_1488) @[ifu_bp_ctl.scala 529:22] - node _T_1490 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1492 = or(_T_1491, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1493 = and(_T_1489, _T_1492) @[ifu_bp_ctl.scala 529:87] - node _T_1494 = or(_T_1485, _T_1493) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][12] <= _T_1494 @[ifu_bp_ctl.scala 528:27] - node _T_1495 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1496 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1497 = eq(_T_1496, UInt<4>("h0d")) @[ifu_bp_ctl.scala 528:97] - node _T_1498 = and(_T_1495, _T_1497) @[ifu_bp_ctl.scala 528:45] - node _T_1499 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1500 = eq(_T_1499, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1501 = or(_T_1500, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1502 = and(_T_1498, _T_1501) @[ifu_bp_ctl.scala 528:110] - node _T_1503 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1504 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1505 = eq(_T_1504, UInt<4>("h0d")) @[ifu_bp_ctl.scala 529:74] - node _T_1506 = and(_T_1503, _T_1505) @[ifu_bp_ctl.scala 529:22] - node _T_1507 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1508 = eq(_T_1507, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1509 = or(_T_1508, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1510 = and(_T_1506, _T_1509) @[ifu_bp_ctl.scala 529:87] - node _T_1511 = or(_T_1502, _T_1510) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][13] <= _T_1511 @[ifu_bp_ctl.scala 528:27] - node _T_1512 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1513 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1514 = eq(_T_1513, UInt<4>("h0e")) @[ifu_bp_ctl.scala 528:97] - node _T_1515 = and(_T_1512, _T_1514) @[ifu_bp_ctl.scala 528:45] - node _T_1516 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1518 = or(_T_1517, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1519 = and(_T_1515, _T_1518) @[ifu_bp_ctl.scala 528:110] - node _T_1520 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1521 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1522 = eq(_T_1521, UInt<4>("h0e")) @[ifu_bp_ctl.scala 529:74] - node _T_1523 = and(_T_1520, _T_1522) @[ifu_bp_ctl.scala 529:22] - node _T_1524 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1525 = eq(_T_1524, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1526 = or(_T_1525, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1527 = and(_T_1523, _T_1526) @[ifu_bp_ctl.scala 529:87] - node _T_1528 = or(_T_1519, _T_1527) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][14] <= _T_1528 @[ifu_bp_ctl.scala 528:27] - node _T_1529 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 528:41] - node _T_1530 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1531 = eq(_T_1530, UInt<4>("h0f")) @[ifu_bp_ctl.scala 528:97] - node _T_1532 = and(_T_1529, _T_1531) @[ifu_bp_ctl.scala 528:45] - node _T_1533 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1534 = eq(_T_1533, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1535 = or(_T_1534, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1536 = and(_T_1532, _T_1535) @[ifu_bp_ctl.scala 528:110] - node _T_1537 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 529:18] - node _T_1538 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1539 = eq(_T_1538, UInt<4>("h0f")) @[ifu_bp_ctl.scala 529:74] - node _T_1540 = and(_T_1537, _T_1539) @[ifu_bp_ctl.scala 529:22] - node _T_1541 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1542 = eq(_T_1541, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1543 = or(_T_1542, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1544 = and(_T_1540, _T_1543) @[ifu_bp_ctl.scala 529:87] - node _T_1545 = or(_T_1536, _T_1544) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[0][0][15] <= _T_1545 @[ifu_bp_ctl.scala 528:27] - node _T_1546 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1547 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1548 = eq(_T_1547, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:97] - node _T_1549 = and(_T_1546, _T_1548) @[ifu_bp_ctl.scala 528:45] - node _T_1550 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1551 = eq(_T_1550, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1552 = or(_T_1551, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1553 = and(_T_1549, _T_1552) @[ifu_bp_ctl.scala 528:110] - node _T_1554 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1555 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1556 = eq(_T_1555, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:74] - node _T_1557 = and(_T_1554, _T_1556) @[ifu_bp_ctl.scala 529:22] - node _T_1558 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1559 = eq(_T_1558, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1560 = or(_T_1559, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1561 = and(_T_1557, _T_1560) @[ifu_bp_ctl.scala 529:87] - node _T_1562 = or(_T_1553, _T_1561) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][0] <= _T_1562 @[ifu_bp_ctl.scala 528:27] - node _T_1563 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1564 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1565 = eq(_T_1564, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:97] - node _T_1566 = and(_T_1563, _T_1565) @[ifu_bp_ctl.scala 528:45] - node _T_1567 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1568 = eq(_T_1567, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1569 = or(_T_1568, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1570 = and(_T_1566, _T_1569) @[ifu_bp_ctl.scala 528:110] - node _T_1571 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1572 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1573 = eq(_T_1572, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:74] - node _T_1574 = and(_T_1571, _T_1573) @[ifu_bp_ctl.scala 529:22] - node _T_1575 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1576 = eq(_T_1575, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1577 = or(_T_1576, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1578 = and(_T_1574, _T_1577) @[ifu_bp_ctl.scala 529:87] - node _T_1579 = or(_T_1570, _T_1578) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][1] <= _T_1579 @[ifu_bp_ctl.scala 528:27] - node _T_1580 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1581 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1582 = eq(_T_1581, UInt<2>("h02")) @[ifu_bp_ctl.scala 528:97] - node _T_1583 = and(_T_1580, _T_1582) @[ifu_bp_ctl.scala 528:45] - node _T_1584 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1585 = eq(_T_1584, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1586 = or(_T_1585, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1587 = and(_T_1583, _T_1586) @[ifu_bp_ctl.scala 528:110] - node _T_1588 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1589 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1590 = eq(_T_1589, UInt<2>("h02")) @[ifu_bp_ctl.scala 529:74] - node _T_1591 = and(_T_1588, _T_1590) @[ifu_bp_ctl.scala 529:22] - node _T_1592 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1593 = eq(_T_1592, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1594 = or(_T_1593, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1595 = and(_T_1591, _T_1594) @[ifu_bp_ctl.scala 529:87] - node _T_1596 = or(_T_1587, _T_1595) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][2] <= _T_1596 @[ifu_bp_ctl.scala 528:27] - node _T_1597 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1598 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1599 = eq(_T_1598, UInt<2>("h03")) @[ifu_bp_ctl.scala 528:97] - node _T_1600 = and(_T_1597, _T_1599) @[ifu_bp_ctl.scala 528:45] - node _T_1601 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1602 = eq(_T_1601, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1603 = or(_T_1602, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1604 = and(_T_1600, _T_1603) @[ifu_bp_ctl.scala 528:110] - node _T_1605 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1606 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1607 = eq(_T_1606, UInt<2>("h03")) @[ifu_bp_ctl.scala 529:74] - node _T_1608 = and(_T_1605, _T_1607) @[ifu_bp_ctl.scala 529:22] - node _T_1609 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1610 = eq(_T_1609, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1611 = or(_T_1610, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1612 = and(_T_1608, _T_1611) @[ifu_bp_ctl.scala 529:87] - node _T_1613 = or(_T_1604, _T_1612) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][3] <= _T_1613 @[ifu_bp_ctl.scala 528:27] - node _T_1614 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1615 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1616 = eq(_T_1615, UInt<3>("h04")) @[ifu_bp_ctl.scala 528:97] - node _T_1617 = and(_T_1614, _T_1616) @[ifu_bp_ctl.scala 528:45] - node _T_1618 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1619 = eq(_T_1618, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1620 = or(_T_1619, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1621 = and(_T_1617, _T_1620) @[ifu_bp_ctl.scala 528:110] - node _T_1622 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1623 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1624 = eq(_T_1623, UInt<3>("h04")) @[ifu_bp_ctl.scala 529:74] - node _T_1625 = and(_T_1622, _T_1624) @[ifu_bp_ctl.scala 529:22] - node _T_1626 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1627 = eq(_T_1626, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1628 = or(_T_1627, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1629 = and(_T_1625, _T_1628) @[ifu_bp_ctl.scala 529:87] - node _T_1630 = or(_T_1621, _T_1629) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][4] <= _T_1630 @[ifu_bp_ctl.scala 528:27] - node _T_1631 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1632 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1633 = eq(_T_1632, UInt<3>("h05")) @[ifu_bp_ctl.scala 528:97] - node _T_1634 = and(_T_1631, _T_1633) @[ifu_bp_ctl.scala 528:45] - node _T_1635 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1636 = eq(_T_1635, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1637 = or(_T_1636, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1638 = and(_T_1634, _T_1637) @[ifu_bp_ctl.scala 528:110] - node _T_1639 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1640 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1641 = eq(_T_1640, UInt<3>("h05")) @[ifu_bp_ctl.scala 529:74] - node _T_1642 = and(_T_1639, _T_1641) @[ifu_bp_ctl.scala 529:22] - node _T_1643 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1644 = eq(_T_1643, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1645 = or(_T_1644, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1646 = and(_T_1642, _T_1645) @[ifu_bp_ctl.scala 529:87] - node _T_1647 = or(_T_1638, _T_1646) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][5] <= _T_1647 @[ifu_bp_ctl.scala 528:27] - node _T_1648 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1649 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1650 = eq(_T_1649, UInt<3>("h06")) @[ifu_bp_ctl.scala 528:97] - node _T_1651 = and(_T_1648, _T_1650) @[ifu_bp_ctl.scala 528:45] - node _T_1652 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1653 = eq(_T_1652, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1654 = or(_T_1653, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1655 = and(_T_1651, _T_1654) @[ifu_bp_ctl.scala 528:110] - node _T_1656 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1657 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1658 = eq(_T_1657, UInt<3>("h06")) @[ifu_bp_ctl.scala 529:74] - node _T_1659 = and(_T_1656, _T_1658) @[ifu_bp_ctl.scala 529:22] - node _T_1660 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1661 = eq(_T_1660, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1662 = or(_T_1661, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1663 = and(_T_1659, _T_1662) @[ifu_bp_ctl.scala 529:87] - node _T_1664 = or(_T_1655, _T_1663) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][6] <= _T_1664 @[ifu_bp_ctl.scala 528:27] - node _T_1665 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1666 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1667 = eq(_T_1666, UInt<3>("h07")) @[ifu_bp_ctl.scala 528:97] - node _T_1668 = and(_T_1665, _T_1667) @[ifu_bp_ctl.scala 528:45] - node _T_1669 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1670 = eq(_T_1669, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1671 = or(_T_1670, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1672 = and(_T_1668, _T_1671) @[ifu_bp_ctl.scala 528:110] - node _T_1673 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1674 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1675 = eq(_T_1674, UInt<3>("h07")) @[ifu_bp_ctl.scala 529:74] - node _T_1676 = and(_T_1673, _T_1675) @[ifu_bp_ctl.scala 529:22] - node _T_1677 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1678 = eq(_T_1677, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1679 = or(_T_1678, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1680 = and(_T_1676, _T_1679) @[ifu_bp_ctl.scala 529:87] - node _T_1681 = or(_T_1672, _T_1680) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][7] <= _T_1681 @[ifu_bp_ctl.scala 528:27] - node _T_1682 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1683 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1684 = eq(_T_1683, UInt<4>("h08")) @[ifu_bp_ctl.scala 528:97] - node _T_1685 = and(_T_1682, _T_1684) @[ifu_bp_ctl.scala 528:45] - node _T_1686 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1688 = or(_T_1687, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1689 = and(_T_1685, _T_1688) @[ifu_bp_ctl.scala 528:110] - node _T_1690 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1691 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1692 = eq(_T_1691, UInt<4>("h08")) @[ifu_bp_ctl.scala 529:74] - node _T_1693 = and(_T_1690, _T_1692) @[ifu_bp_ctl.scala 529:22] - node _T_1694 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1695 = eq(_T_1694, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1696 = or(_T_1695, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1697 = and(_T_1693, _T_1696) @[ifu_bp_ctl.scala 529:87] - node _T_1698 = or(_T_1689, _T_1697) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][8] <= _T_1698 @[ifu_bp_ctl.scala 528:27] - node _T_1699 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1700 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1701 = eq(_T_1700, UInt<4>("h09")) @[ifu_bp_ctl.scala 528:97] - node _T_1702 = and(_T_1699, _T_1701) @[ifu_bp_ctl.scala 528:45] - node _T_1703 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1704 = eq(_T_1703, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1705 = or(_T_1704, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1706 = and(_T_1702, _T_1705) @[ifu_bp_ctl.scala 528:110] - node _T_1707 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1708 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1709 = eq(_T_1708, UInt<4>("h09")) @[ifu_bp_ctl.scala 529:74] - node _T_1710 = and(_T_1707, _T_1709) @[ifu_bp_ctl.scala 529:22] - node _T_1711 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1712 = eq(_T_1711, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1713 = or(_T_1712, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1714 = and(_T_1710, _T_1713) @[ifu_bp_ctl.scala 529:87] - node _T_1715 = or(_T_1706, _T_1714) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][9] <= _T_1715 @[ifu_bp_ctl.scala 528:27] - node _T_1716 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1717 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1718 = eq(_T_1717, UInt<4>("h0a")) @[ifu_bp_ctl.scala 528:97] - node _T_1719 = and(_T_1716, _T_1718) @[ifu_bp_ctl.scala 528:45] - node _T_1720 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1721 = eq(_T_1720, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1722 = or(_T_1721, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1723 = and(_T_1719, _T_1722) @[ifu_bp_ctl.scala 528:110] - node _T_1724 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1725 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1726 = eq(_T_1725, UInt<4>("h0a")) @[ifu_bp_ctl.scala 529:74] - node _T_1727 = and(_T_1724, _T_1726) @[ifu_bp_ctl.scala 529:22] - node _T_1728 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1729 = eq(_T_1728, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1730 = or(_T_1729, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1731 = and(_T_1727, _T_1730) @[ifu_bp_ctl.scala 529:87] - node _T_1732 = or(_T_1723, _T_1731) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][10] <= _T_1732 @[ifu_bp_ctl.scala 528:27] - node _T_1733 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1734 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1735 = eq(_T_1734, UInt<4>("h0b")) @[ifu_bp_ctl.scala 528:97] - node _T_1736 = and(_T_1733, _T_1735) @[ifu_bp_ctl.scala 528:45] - node _T_1737 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1739 = or(_T_1738, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1740 = and(_T_1736, _T_1739) @[ifu_bp_ctl.scala 528:110] - node _T_1741 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1742 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1743 = eq(_T_1742, UInt<4>("h0b")) @[ifu_bp_ctl.scala 529:74] - node _T_1744 = and(_T_1741, _T_1743) @[ifu_bp_ctl.scala 529:22] - node _T_1745 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1746 = eq(_T_1745, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1747 = or(_T_1746, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1748 = and(_T_1744, _T_1747) @[ifu_bp_ctl.scala 529:87] - node _T_1749 = or(_T_1740, _T_1748) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][11] <= _T_1749 @[ifu_bp_ctl.scala 528:27] - node _T_1750 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1751 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1752 = eq(_T_1751, UInt<4>("h0c")) @[ifu_bp_ctl.scala 528:97] - node _T_1753 = and(_T_1750, _T_1752) @[ifu_bp_ctl.scala 528:45] - node _T_1754 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1755 = eq(_T_1754, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1756 = or(_T_1755, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1757 = and(_T_1753, _T_1756) @[ifu_bp_ctl.scala 528:110] - node _T_1758 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1759 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1760 = eq(_T_1759, UInt<4>("h0c")) @[ifu_bp_ctl.scala 529:74] - node _T_1761 = and(_T_1758, _T_1760) @[ifu_bp_ctl.scala 529:22] - node _T_1762 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1763 = eq(_T_1762, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1764 = or(_T_1763, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1765 = and(_T_1761, _T_1764) @[ifu_bp_ctl.scala 529:87] - node _T_1766 = or(_T_1757, _T_1765) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][12] <= _T_1766 @[ifu_bp_ctl.scala 528:27] - node _T_1767 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1768 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1769 = eq(_T_1768, UInt<4>("h0d")) @[ifu_bp_ctl.scala 528:97] - node _T_1770 = and(_T_1767, _T_1769) @[ifu_bp_ctl.scala 528:45] - node _T_1771 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1773 = or(_T_1772, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1774 = and(_T_1770, _T_1773) @[ifu_bp_ctl.scala 528:110] - node _T_1775 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1776 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1777 = eq(_T_1776, UInt<4>("h0d")) @[ifu_bp_ctl.scala 529:74] - node _T_1778 = and(_T_1775, _T_1777) @[ifu_bp_ctl.scala 529:22] - node _T_1779 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1780 = eq(_T_1779, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1781 = or(_T_1780, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1782 = and(_T_1778, _T_1781) @[ifu_bp_ctl.scala 529:87] - node _T_1783 = or(_T_1774, _T_1782) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][13] <= _T_1783 @[ifu_bp_ctl.scala 528:27] - node _T_1784 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1785 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1786 = eq(_T_1785, UInt<4>("h0e")) @[ifu_bp_ctl.scala 528:97] - node _T_1787 = and(_T_1784, _T_1786) @[ifu_bp_ctl.scala 528:45] - node _T_1788 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1789 = eq(_T_1788, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1790 = or(_T_1789, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1791 = and(_T_1787, _T_1790) @[ifu_bp_ctl.scala 528:110] - node _T_1792 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1793 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1794 = eq(_T_1793, UInt<4>("h0e")) @[ifu_bp_ctl.scala 529:74] - node _T_1795 = and(_T_1792, _T_1794) @[ifu_bp_ctl.scala 529:22] - node _T_1796 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1797 = eq(_T_1796, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1798 = or(_T_1797, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1799 = and(_T_1795, _T_1798) @[ifu_bp_ctl.scala 529:87] - node _T_1800 = or(_T_1791, _T_1799) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][14] <= _T_1800 @[ifu_bp_ctl.scala 528:27] - node _T_1801 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 528:41] - node _T_1802 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:60] - node _T_1803 = eq(_T_1802, UInt<4>("h0f")) @[ifu_bp_ctl.scala 528:97] - node _T_1804 = and(_T_1801, _T_1803) @[ifu_bp_ctl.scala 528:45] - node _T_1805 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 528:126] - node _T_1806 = eq(_T_1805, UInt<1>("h00")) @[ifu_bp_ctl.scala 528:186] - node _T_1807 = or(_T_1806, UInt<1>("h01")) @[ifu_bp_ctl.scala 528:199] - node _T_1808 = and(_T_1804, _T_1807) @[ifu_bp_ctl.scala 528:110] - node _T_1809 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 529:18] - node _T_1810 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:37] - node _T_1811 = eq(_T_1810, UInt<4>("h0f")) @[ifu_bp_ctl.scala 529:74] - node _T_1812 = and(_T_1809, _T_1811) @[ifu_bp_ctl.scala 529:22] - node _T_1813 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 529:103] - node _T_1814 = eq(_T_1813, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:163] - node _T_1815 = or(_T_1814, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:176] - node _T_1816 = and(_T_1812, _T_1815) @[ifu_bp_ctl.scala 529:87] - node _T_1817 = or(_T_1808, _T_1816) @[ifu_bp_ctl.scala 528:223] - bht_bank_sel[1][0][15] <= _T_1817 @[ifu_bp_ctl.scala 528:27] - wire bht_bank_rd_data_out : UInt<2>[16][2] @[ifu_bp_ctl.scala 532:34] + bht_bank_clk[1][0] <= rvclkhdr_42.io.l1clk @[ifu_bp_ctl.scala 510:84] + node _T_964 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 515:40] + node _T_965 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 515:60] + node _T_966 = eq(_T_965, UInt<1>("h00")) @[ifu_bp_ctl.scala 515:109] + node _T_967 = or(_T_966, UInt<1>("h01")) @[ifu_bp_ctl.scala 515:117] + node _T_968 = and(_T_964, _T_967) @[ifu_bp_ctl.scala 515:44] + node _T_969 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 516:40] + node _T_970 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 516:60] + node _T_971 = eq(_T_970, UInt<1>("h00")) @[ifu_bp_ctl.scala 516:109] + node _T_972 = or(_T_971, UInt<1>("h01")) @[ifu_bp_ctl.scala 516:117] + node _T_973 = and(_T_969, _T_972) @[ifu_bp_ctl.scala 516:44] + node _T_974 = or(_T_968, _T_973) @[ifu_bp_ctl.scala 515:142] + bht_bank_clken[0][0] <= _T_974 @[ifu_bp_ctl.scala 515:26] + node _T_975 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 515:40] + node _T_976 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 515:60] + node _T_977 = eq(_T_976, UInt<1>("h00")) @[ifu_bp_ctl.scala 515:109] + node _T_978 = or(_T_977, UInt<1>("h01")) @[ifu_bp_ctl.scala 515:117] + node _T_979 = and(_T_975, _T_978) @[ifu_bp_ctl.scala 515:44] + node _T_980 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 516:40] + node _T_981 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 516:60] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[ifu_bp_ctl.scala 516:109] + node _T_983 = or(_T_982, UInt<1>("h01")) @[ifu_bp_ctl.scala 516:117] + node _T_984 = and(_T_980, _T_983) @[ifu_bp_ctl.scala 516:44] + node _T_985 = or(_T_979, _T_984) @[ifu_bp_ctl.scala 515:142] + bht_bank_clken[1][0] <= _T_985 @[ifu_bp_ctl.scala 515:26] + node _T_986 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_987 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_988 = eq(_T_987, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:74] + node _T_989 = and(_T_986, _T_988) @[ifu_bp_ctl.scala 521:23] + node _T_990 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_991 = eq(_T_990, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_992 = and(_T_989, _T_991) @[ifu_bp_ctl.scala 521:81] + node _T_993 = or(_T_992, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_994 = bits(_T_993, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_0 = mux(_T_994, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_995 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_996 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_997 = eq(_T_996, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:74] + node _T_998 = and(_T_995, _T_997) @[ifu_bp_ctl.scala 521:23] + node _T_999 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1000 = eq(_T_999, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1001 = and(_T_998, _T_1000) @[ifu_bp_ctl.scala 521:81] + node _T_1002 = or(_T_1001, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1003 = bits(_T_1002, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_1 = mux(_T_1003, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1004 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1005 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1006 = eq(_T_1005, UInt<2>("h02")) @[ifu_bp_ctl.scala 521:74] + node _T_1007 = and(_T_1004, _T_1006) @[ifu_bp_ctl.scala 521:23] + node _T_1008 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1009 = eq(_T_1008, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1010 = and(_T_1007, _T_1009) @[ifu_bp_ctl.scala 521:81] + node _T_1011 = or(_T_1010, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1012 = bits(_T_1011, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_2 = mux(_T_1012, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1013 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1014 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1015 = eq(_T_1014, UInt<2>("h03")) @[ifu_bp_ctl.scala 521:74] + node _T_1016 = and(_T_1013, _T_1015) @[ifu_bp_ctl.scala 521:23] + node _T_1017 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1018 = eq(_T_1017, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1019 = and(_T_1016, _T_1018) @[ifu_bp_ctl.scala 521:81] + node _T_1020 = or(_T_1019, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1021 = bits(_T_1020, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_3 = mux(_T_1021, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1022 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1023 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1024 = eq(_T_1023, UInt<3>("h04")) @[ifu_bp_ctl.scala 521:74] + node _T_1025 = and(_T_1022, _T_1024) @[ifu_bp_ctl.scala 521:23] + node _T_1026 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1028 = and(_T_1025, _T_1027) @[ifu_bp_ctl.scala 521:81] + node _T_1029 = or(_T_1028, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1030 = bits(_T_1029, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_4 = mux(_T_1030, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1031 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1032 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1033 = eq(_T_1032, UInt<3>("h05")) @[ifu_bp_ctl.scala 521:74] + node _T_1034 = and(_T_1031, _T_1033) @[ifu_bp_ctl.scala 521:23] + node _T_1035 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1036 = eq(_T_1035, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1037 = and(_T_1034, _T_1036) @[ifu_bp_ctl.scala 521:81] + node _T_1038 = or(_T_1037, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1039 = bits(_T_1038, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_5 = mux(_T_1039, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1040 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1041 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1042 = eq(_T_1041, UInt<3>("h06")) @[ifu_bp_ctl.scala 521:74] + node _T_1043 = and(_T_1040, _T_1042) @[ifu_bp_ctl.scala 521:23] + node _T_1044 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1045 = eq(_T_1044, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1046 = and(_T_1043, _T_1045) @[ifu_bp_ctl.scala 521:81] + node _T_1047 = or(_T_1046, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1048 = bits(_T_1047, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_6 = mux(_T_1048, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1049 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1050 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1051 = eq(_T_1050, UInt<3>("h07")) @[ifu_bp_ctl.scala 521:74] + node _T_1052 = and(_T_1049, _T_1051) @[ifu_bp_ctl.scala 521:23] + node _T_1053 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1055 = and(_T_1052, _T_1054) @[ifu_bp_ctl.scala 521:81] + node _T_1056 = or(_T_1055, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1057 = bits(_T_1056, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_7 = mux(_T_1057, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1058 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1059 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1060 = eq(_T_1059, UInt<4>("h08")) @[ifu_bp_ctl.scala 521:74] + node _T_1061 = and(_T_1058, _T_1060) @[ifu_bp_ctl.scala 521:23] + node _T_1062 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1063 = eq(_T_1062, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1064 = and(_T_1061, _T_1063) @[ifu_bp_ctl.scala 521:81] + node _T_1065 = or(_T_1064, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1066 = bits(_T_1065, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_8 = mux(_T_1066, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1067 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1068 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1069 = eq(_T_1068, UInt<4>("h09")) @[ifu_bp_ctl.scala 521:74] + node _T_1070 = and(_T_1067, _T_1069) @[ifu_bp_ctl.scala 521:23] + node _T_1071 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1072 = eq(_T_1071, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1073 = and(_T_1070, _T_1072) @[ifu_bp_ctl.scala 521:81] + node _T_1074 = or(_T_1073, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1075 = bits(_T_1074, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_9 = mux(_T_1075, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1076 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1077 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1078 = eq(_T_1077, UInt<4>("h0a")) @[ifu_bp_ctl.scala 521:74] + node _T_1079 = and(_T_1076, _T_1078) @[ifu_bp_ctl.scala 521:23] + node _T_1080 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1081 = eq(_T_1080, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1082 = and(_T_1079, _T_1081) @[ifu_bp_ctl.scala 521:81] + node _T_1083 = or(_T_1082, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1084 = bits(_T_1083, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_10 = mux(_T_1084, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1085 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1086 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1087 = eq(_T_1086, UInt<4>("h0b")) @[ifu_bp_ctl.scala 521:74] + node _T_1088 = and(_T_1085, _T_1087) @[ifu_bp_ctl.scala 521:23] + node _T_1089 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1090 = eq(_T_1089, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1091 = and(_T_1088, _T_1090) @[ifu_bp_ctl.scala 521:81] + node _T_1092 = or(_T_1091, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1093 = bits(_T_1092, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_11 = mux(_T_1093, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1094 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1095 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1096 = eq(_T_1095, UInt<4>("h0c")) @[ifu_bp_ctl.scala 521:74] + node _T_1097 = and(_T_1094, _T_1096) @[ifu_bp_ctl.scala 521:23] + node _T_1098 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1099 = eq(_T_1098, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1100 = and(_T_1097, _T_1099) @[ifu_bp_ctl.scala 521:81] + node _T_1101 = or(_T_1100, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1102 = bits(_T_1101, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_12 = mux(_T_1102, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1103 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1104 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1105 = eq(_T_1104, UInt<4>("h0d")) @[ifu_bp_ctl.scala 521:74] + node _T_1106 = and(_T_1103, _T_1105) @[ifu_bp_ctl.scala 521:23] + node _T_1107 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1108 = eq(_T_1107, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1109 = and(_T_1106, _T_1108) @[ifu_bp_ctl.scala 521:81] + node _T_1110 = or(_T_1109, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1111 = bits(_T_1110, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_13 = mux(_T_1111, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1112 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1113 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1114 = eq(_T_1113, UInt<4>("h0e")) @[ifu_bp_ctl.scala 521:74] + node _T_1115 = and(_T_1112, _T_1114) @[ifu_bp_ctl.scala 521:23] + node _T_1116 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1117 = eq(_T_1116, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1118 = and(_T_1115, _T_1117) @[ifu_bp_ctl.scala 521:81] + node _T_1119 = or(_T_1118, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1120 = bits(_T_1119, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_14 = mux(_T_1120, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1121 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] + node _T_1122 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1123 = eq(_T_1122, UInt<4>("h0f")) @[ifu_bp_ctl.scala 521:74] + node _T_1124 = and(_T_1121, _T_1123) @[ifu_bp_ctl.scala 521:23] + node _T_1125 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1126 = eq(_T_1125, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1127 = and(_T_1124, _T_1126) @[ifu_bp_ctl.scala 521:81] + node _T_1128 = or(_T_1127, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1129 = bits(_T_1128, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_0_0_15 = mux(_T_1129, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1130 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1131 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:74] + node _T_1133 = and(_T_1130, _T_1132) @[ifu_bp_ctl.scala 521:23] + node _T_1134 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1135 = eq(_T_1134, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1136 = and(_T_1133, _T_1135) @[ifu_bp_ctl.scala 521:81] + node _T_1137 = or(_T_1136, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1138 = bits(_T_1137, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_0 = mux(_T_1138, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1139 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1140 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1141 = eq(_T_1140, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:74] + node _T_1142 = and(_T_1139, _T_1141) @[ifu_bp_ctl.scala 521:23] + node _T_1143 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1144 = eq(_T_1143, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1145 = and(_T_1142, _T_1144) @[ifu_bp_ctl.scala 521:81] + node _T_1146 = or(_T_1145, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1147 = bits(_T_1146, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_1 = mux(_T_1147, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1148 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1149 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1150 = eq(_T_1149, UInt<2>("h02")) @[ifu_bp_ctl.scala 521:74] + node _T_1151 = and(_T_1148, _T_1150) @[ifu_bp_ctl.scala 521:23] + node _T_1152 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1154 = and(_T_1151, _T_1153) @[ifu_bp_ctl.scala 521:81] + node _T_1155 = or(_T_1154, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1156 = bits(_T_1155, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_2 = mux(_T_1156, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1157 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1158 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1159 = eq(_T_1158, UInt<2>("h03")) @[ifu_bp_ctl.scala 521:74] + node _T_1160 = and(_T_1157, _T_1159) @[ifu_bp_ctl.scala 521:23] + node _T_1161 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1163 = and(_T_1160, _T_1162) @[ifu_bp_ctl.scala 521:81] + node _T_1164 = or(_T_1163, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1165 = bits(_T_1164, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_3 = mux(_T_1165, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1166 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1167 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1168 = eq(_T_1167, UInt<3>("h04")) @[ifu_bp_ctl.scala 521:74] + node _T_1169 = and(_T_1166, _T_1168) @[ifu_bp_ctl.scala 521:23] + node _T_1170 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1172 = and(_T_1169, _T_1171) @[ifu_bp_ctl.scala 521:81] + node _T_1173 = or(_T_1172, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1174 = bits(_T_1173, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_4 = mux(_T_1174, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1175 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1176 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1177 = eq(_T_1176, UInt<3>("h05")) @[ifu_bp_ctl.scala 521:74] + node _T_1178 = and(_T_1175, _T_1177) @[ifu_bp_ctl.scala 521:23] + node _T_1179 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1180 = eq(_T_1179, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1181 = and(_T_1178, _T_1180) @[ifu_bp_ctl.scala 521:81] + node _T_1182 = or(_T_1181, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1183 = bits(_T_1182, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_5 = mux(_T_1183, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1184 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1185 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1186 = eq(_T_1185, UInt<3>("h06")) @[ifu_bp_ctl.scala 521:74] + node _T_1187 = and(_T_1184, _T_1186) @[ifu_bp_ctl.scala 521:23] + node _T_1188 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1189 = eq(_T_1188, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1190 = and(_T_1187, _T_1189) @[ifu_bp_ctl.scala 521:81] + node _T_1191 = or(_T_1190, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1192 = bits(_T_1191, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_6 = mux(_T_1192, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1193 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1194 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1195 = eq(_T_1194, UInt<3>("h07")) @[ifu_bp_ctl.scala 521:74] + node _T_1196 = and(_T_1193, _T_1195) @[ifu_bp_ctl.scala 521:23] + node _T_1197 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1198 = eq(_T_1197, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1199 = and(_T_1196, _T_1198) @[ifu_bp_ctl.scala 521:81] + node _T_1200 = or(_T_1199, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1201 = bits(_T_1200, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_7 = mux(_T_1201, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1202 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1203 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1204 = eq(_T_1203, UInt<4>("h08")) @[ifu_bp_ctl.scala 521:74] + node _T_1205 = and(_T_1202, _T_1204) @[ifu_bp_ctl.scala 521:23] + node _T_1206 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1208 = and(_T_1205, _T_1207) @[ifu_bp_ctl.scala 521:81] + node _T_1209 = or(_T_1208, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1210 = bits(_T_1209, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_8 = mux(_T_1210, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1211 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1212 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1213 = eq(_T_1212, UInt<4>("h09")) @[ifu_bp_ctl.scala 521:74] + node _T_1214 = and(_T_1211, _T_1213) @[ifu_bp_ctl.scala 521:23] + node _T_1215 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1217 = and(_T_1214, _T_1216) @[ifu_bp_ctl.scala 521:81] + node _T_1218 = or(_T_1217, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1219 = bits(_T_1218, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_9 = mux(_T_1219, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1220 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1221 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1222 = eq(_T_1221, UInt<4>("h0a")) @[ifu_bp_ctl.scala 521:74] + node _T_1223 = and(_T_1220, _T_1222) @[ifu_bp_ctl.scala 521:23] + node _T_1224 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1225 = eq(_T_1224, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1226 = and(_T_1223, _T_1225) @[ifu_bp_ctl.scala 521:81] + node _T_1227 = or(_T_1226, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1228 = bits(_T_1227, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_10 = mux(_T_1228, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1229 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1230 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1231 = eq(_T_1230, UInt<4>("h0b")) @[ifu_bp_ctl.scala 521:74] + node _T_1232 = and(_T_1229, _T_1231) @[ifu_bp_ctl.scala 521:23] + node _T_1233 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1235 = and(_T_1232, _T_1234) @[ifu_bp_ctl.scala 521:81] + node _T_1236 = or(_T_1235, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1237 = bits(_T_1236, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_11 = mux(_T_1237, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1238 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1239 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1240 = eq(_T_1239, UInt<4>("h0c")) @[ifu_bp_ctl.scala 521:74] + node _T_1241 = and(_T_1238, _T_1240) @[ifu_bp_ctl.scala 521:23] + node _T_1242 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1243 = eq(_T_1242, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1244 = and(_T_1241, _T_1243) @[ifu_bp_ctl.scala 521:81] + node _T_1245 = or(_T_1244, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1246 = bits(_T_1245, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_12 = mux(_T_1246, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1247 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1248 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1249 = eq(_T_1248, UInt<4>("h0d")) @[ifu_bp_ctl.scala 521:74] + node _T_1250 = and(_T_1247, _T_1249) @[ifu_bp_ctl.scala 521:23] + node _T_1251 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1252 = eq(_T_1251, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1253 = and(_T_1250, _T_1252) @[ifu_bp_ctl.scala 521:81] + node _T_1254 = or(_T_1253, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1255 = bits(_T_1254, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_13 = mux(_T_1255, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1256 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1257 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1258 = eq(_T_1257, UInt<4>("h0e")) @[ifu_bp_ctl.scala 521:74] + node _T_1259 = and(_T_1256, _T_1258) @[ifu_bp_ctl.scala 521:23] + node _T_1260 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1261 = eq(_T_1260, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1262 = and(_T_1259, _T_1261) @[ifu_bp_ctl.scala 521:81] + node _T_1263 = or(_T_1262, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1264 = bits(_T_1263, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_14 = mux(_T_1264, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + node _T_1265 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] + node _T_1266 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1267 = eq(_T_1266, UInt<4>("h0f")) @[ifu_bp_ctl.scala 521:74] + node _T_1268 = and(_T_1265, _T_1267) @[ifu_bp_ctl.scala 521:23] + node _T_1269 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:95] + node _T_1270 = eq(_T_1269, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:154] + node _T_1271 = and(_T_1268, _T_1270) @[ifu_bp_ctl.scala 521:81] + node _T_1272 = or(_T_1271, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:161] + node _T_1273 = bits(_T_1272, 0, 0) @[ifu_bp_ctl.scala 521:183] + node bht_bank_wr_data_1_0_15 = mux(_T_1273, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] + wire bht_bank_sel : UInt<1>[16][1][2] @[ifu_bp_ctl.scala 523:26] + node _T_1274 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1275 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:97] + node _T_1277 = and(_T_1274, _T_1276) @[ifu_bp_ctl.scala 529:45] + node _T_1278 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1280 = or(_T_1279, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1281 = and(_T_1277, _T_1280) @[ifu_bp_ctl.scala 529:110] + node _T_1282 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1283 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:74] + node _T_1285 = and(_T_1282, _T_1284) @[ifu_bp_ctl.scala 530:22] + node _T_1286 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1287 = eq(_T_1286, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1288 = or(_T_1287, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1289 = and(_T_1285, _T_1288) @[ifu_bp_ctl.scala 530:87] + node _T_1290 = or(_T_1281, _T_1289) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][0] <= _T_1290 @[ifu_bp_ctl.scala 529:27] + node _T_1291 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1292 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1293 = eq(_T_1292, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:97] + node _T_1294 = and(_T_1291, _T_1293) @[ifu_bp_ctl.scala 529:45] + node _T_1295 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1296 = eq(_T_1295, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1297 = or(_T_1296, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1298 = and(_T_1294, _T_1297) @[ifu_bp_ctl.scala 529:110] + node _T_1299 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1300 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1301 = eq(_T_1300, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:74] + node _T_1302 = and(_T_1299, _T_1301) @[ifu_bp_ctl.scala 530:22] + node _T_1303 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1304 = eq(_T_1303, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1305 = or(_T_1304, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1306 = and(_T_1302, _T_1305) @[ifu_bp_ctl.scala 530:87] + node _T_1307 = or(_T_1298, _T_1306) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][1] <= _T_1307 @[ifu_bp_ctl.scala 529:27] + node _T_1308 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1309 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1310 = eq(_T_1309, UInt<2>("h02")) @[ifu_bp_ctl.scala 529:97] + node _T_1311 = and(_T_1308, _T_1310) @[ifu_bp_ctl.scala 529:45] + node _T_1312 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1313 = eq(_T_1312, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1314 = or(_T_1313, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1315 = and(_T_1311, _T_1314) @[ifu_bp_ctl.scala 529:110] + node _T_1316 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1317 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1318 = eq(_T_1317, UInt<2>("h02")) @[ifu_bp_ctl.scala 530:74] + node _T_1319 = and(_T_1316, _T_1318) @[ifu_bp_ctl.scala 530:22] + node _T_1320 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1322 = or(_T_1321, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1323 = and(_T_1319, _T_1322) @[ifu_bp_ctl.scala 530:87] + node _T_1324 = or(_T_1315, _T_1323) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][2] <= _T_1324 @[ifu_bp_ctl.scala 529:27] + node _T_1325 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1326 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1327 = eq(_T_1326, UInt<2>("h03")) @[ifu_bp_ctl.scala 529:97] + node _T_1328 = and(_T_1325, _T_1327) @[ifu_bp_ctl.scala 529:45] + node _T_1329 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1331 = or(_T_1330, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1332 = and(_T_1328, _T_1331) @[ifu_bp_ctl.scala 529:110] + node _T_1333 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1334 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1335 = eq(_T_1334, UInt<2>("h03")) @[ifu_bp_ctl.scala 530:74] + node _T_1336 = and(_T_1333, _T_1335) @[ifu_bp_ctl.scala 530:22] + node _T_1337 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1338 = eq(_T_1337, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1339 = or(_T_1338, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1340 = and(_T_1336, _T_1339) @[ifu_bp_ctl.scala 530:87] + node _T_1341 = or(_T_1332, _T_1340) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][3] <= _T_1341 @[ifu_bp_ctl.scala 529:27] + node _T_1342 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1343 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1344 = eq(_T_1343, UInt<3>("h04")) @[ifu_bp_ctl.scala 529:97] + node _T_1345 = and(_T_1342, _T_1344) @[ifu_bp_ctl.scala 529:45] + node _T_1346 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1347 = eq(_T_1346, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1348 = or(_T_1347, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1349 = and(_T_1345, _T_1348) @[ifu_bp_ctl.scala 529:110] + node _T_1350 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1351 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1352 = eq(_T_1351, UInt<3>("h04")) @[ifu_bp_ctl.scala 530:74] + node _T_1353 = and(_T_1350, _T_1352) @[ifu_bp_ctl.scala 530:22] + node _T_1354 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1355 = eq(_T_1354, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1356 = or(_T_1355, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1357 = and(_T_1353, _T_1356) @[ifu_bp_ctl.scala 530:87] + node _T_1358 = or(_T_1349, _T_1357) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][4] <= _T_1358 @[ifu_bp_ctl.scala 529:27] + node _T_1359 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1360 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1361 = eq(_T_1360, UInt<3>("h05")) @[ifu_bp_ctl.scala 529:97] + node _T_1362 = and(_T_1359, _T_1361) @[ifu_bp_ctl.scala 529:45] + node _T_1363 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1364 = eq(_T_1363, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1365 = or(_T_1364, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1366 = and(_T_1362, _T_1365) @[ifu_bp_ctl.scala 529:110] + node _T_1367 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1368 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1369 = eq(_T_1368, UInt<3>("h05")) @[ifu_bp_ctl.scala 530:74] + node _T_1370 = and(_T_1367, _T_1369) @[ifu_bp_ctl.scala 530:22] + node _T_1371 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1372 = eq(_T_1371, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1373 = or(_T_1372, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1374 = and(_T_1370, _T_1373) @[ifu_bp_ctl.scala 530:87] + node _T_1375 = or(_T_1366, _T_1374) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][5] <= _T_1375 @[ifu_bp_ctl.scala 529:27] + node _T_1376 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1377 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1378 = eq(_T_1377, UInt<3>("h06")) @[ifu_bp_ctl.scala 529:97] + node _T_1379 = and(_T_1376, _T_1378) @[ifu_bp_ctl.scala 529:45] + node _T_1380 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1381 = eq(_T_1380, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1382 = or(_T_1381, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1383 = and(_T_1379, _T_1382) @[ifu_bp_ctl.scala 529:110] + node _T_1384 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1385 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1386 = eq(_T_1385, UInt<3>("h06")) @[ifu_bp_ctl.scala 530:74] + node _T_1387 = and(_T_1384, _T_1386) @[ifu_bp_ctl.scala 530:22] + node _T_1388 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1389 = eq(_T_1388, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1390 = or(_T_1389, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1391 = and(_T_1387, _T_1390) @[ifu_bp_ctl.scala 530:87] + node _T_1392 = or(_T_1383, _T_1391) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][6] <= _T_1392 @[ifu_bp_ctl.scala 529:27] + node _T_1393 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1394 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1395 = eq(_T_1394, UInt<3>("h07")) @[ifu_bp_ctl.scala 529:97] + node _T_1396 = and(_T_1393, _T_1395) @[ifu_bp_ctl.scala 529:45] + node _T_1397 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1398 = eq(_T_1397, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1399 = or(_T_1398, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1400 = and(_T_1396, _T_1399) @[ifu_bp_ctl.scala 529:110] + node _T_1401 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1402 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1403 = eq(_T_1402, UInt<3>("h07")) @[ifu_bp_ctl.scala 530:74] + node _T_1404 = and(_T_1401, _T_1403) @[ifu_bp_ctl.scala 530:22] + node _T_1405 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1406 = eq(_T_1405, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1407 = or(_T_1406, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1408 = and(_T_1404, _T_1407) @[ifu_bp_ctl.scala 530:87] + node _T_1409 = or(_T_1400, _T_1408) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][7] <= _T_1409 @[ifu_bp_ctl.scala 529:27] + node _T_1410 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1411 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1412 = eq(_T_1411, UInt<4>("h08")) @[ifu_bp_ctl.scala 529:97] + node _T_1413 = and(_T_1410, _T_1412) @[ifu_bp_ctl.scala 529:45] + node _T_1414 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1416 = or(_T_1415, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1417 = and(_T_1413, _T_1416) @[ifu_bp_ctl.scala 529:110] + node _T_1418 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1419 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1420 = eq(_T_1419, UInt<4>("h08")) @[ifu_bp_ctl.scala 530:74] + node _T_1421 = and(_T_1418, _T_1420) @[ifu_bp_ctl.scala 530:22] + node _T_1422 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1423 = eq(_T_1422, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1424 = or(_T_1423, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1425 = and(_T_1421, _T_1424) @[ifu_bp_ctl.scala 530:87] + node _T_1426 = or(_T_1417, _T_1425) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][8] <= _T_1426 @[ifu_bp_ctl.scala 529:27] + node _T_1427 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1428 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1429 = eq(_T_1428, UInt<4>("h09")) @[ifu_bp_ctl.scala 529:97] + node _T_1430 = and(_T_1427, _T_1429) @[ifu_bp_ctl.scala 529:45] + node _T_1431 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1432 = eq(_T_1431, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1433 = or(_T_1432, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1434 = and(_T_1430, _T_1433) @[ifu_bp_ctl.scala 529:110] + node _T_1435 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1436 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1437 = eq(_T_1436, UInt<4>("h09")) @[ifu_bp_ctl.scala 530:74] + node _T_1438 = and(_T_1435, _T_1437) @[ifu_bp_ctl.scala 530:22] + node _T_1439 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1440 = eq(_T_1439, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1441 = or(_T_1440, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1442 = and(_T_1438, _T_1441) @[ifu_bp_ctl.scala 530:87] + node _T_1443 = or(_T_1434, _T_1442) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][9] <= _T_1443 @[ifu_bp_ctl.scala 529:27] + node _T_1444 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1445 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1446 = eq(_T_1445, UInt<4>("h0a")) @[ifu_bp_ctl.scala 529:97] + node _T_1447 = and(_T_1444, _T_1446) @[ifu_bp_ctl.scala 529:45] + node _T_1448 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1449 = eq(_T_1448, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1450 = or(_T_1449, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1451 = and(_T_1447, _T_1450) @[ifu_bp_ctl.scala 529:110] + node _T_1452 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1453 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1454 = eq(_T_1453, UInt<4>("h0a")) @[ifu_bp_ctl.scala 530:74] + node _T_1455 = and(_T_1452, _T_1454) @[ifu_bp_ctl.scala 530:22] + node _T_1456 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1457 = eq(_T_1456, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1458 = or(_T_1457, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1459 = and(_T_1455, _T_1458) @[ifu_bp_ctl.scala 530:87] + node _T_1460 = or(_T_1451, _T_1459) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][10] <= _T_1460 @[ifu_bp_ctl.scala 529:27] + node _T_1461 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1462 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1463 = eq(_T_1462, UInt<4>("h0b")) @[ifu_bp_ctl.scala 529:97] + node _T_1464 = and(_T_1461, _T_1463) @[ifu_bp_ctl.scala 529:45] + node _T_1465 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1467 = or(_T_1466, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1468 = and(_T_1464, _T_1467) @[ifu_bp_ctl.scala 529:110] + node _T_1469 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1470 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1471 = eq(_T_1470, UInt<4>("h0b")) @[ifu_bp_ctl.scala 530:74] + node _T_1472 = and(_T_1469, _T_1471) @[ifu_bp_ctl.scala 530:22] + node _T_1473 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1474 = eq(_T_1473, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1475 = or(_T_1474, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1476 = and(_T_1472, _T_1475) @[ifu_bp_ctl.scala 530:87] + node _T_1477 = or(_T_1468, _T_1476) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][11] <= _T_1477 @[ifu_bp_ctl.scala 529:27] + node _T_1478 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1479 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1480 = eq(_T_1479, UInt<4>("h0c")) @[ifu_bp_ctl.scala 529:97] + node _T_1481 = and(_T_1478, _T_1480) @[ifu_bp_ctl.scala 529:45] + node _T_1482 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1483 = eq(_T_1482, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1484 = or(_T_1483, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1485 = and(_T_1481, _T_1484) @[ifu_bp_ctl.scala 529:110] + node _T_1486 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1487 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1488 = eq(_T_1487, UInt<4>("h0c")) @[ifu_bp_ctl.scala 530:74] + node _T_1489 = and(_T_1486, _T_1488) @[ifu_bp_ctl.scala 530:22] + node _T_1490 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1492 = or(_T_1491, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1493 = and(_T_1489, _T_1492) @[ifu_bp_ctl.scala 530:87] + node _T_1494 = or(_T_1485, _T_1493) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][12] <= _T_1494 @[ifu_bp_ctl.scala 529:27] + node _T_1495 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1496 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1497 = eq(_T_1496, UInt<4>("h0d")) @[ifu_bp_ctl.scala 529:97] + node _T_1498 = and(_T_1495, _T_1497) @[ifu_bp_ctl.scala 529:45] + node _T_1499 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1500 = eq(_T_1499, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1501 = or(_T_1500, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1502 = and(_T_1498, _T_1501) @[ifu_bp_ctl.scala 529:110] + node _T_1503 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1504 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1505 = eq(_T_1504, UInt<4>("h0d")) @[ifu_bp_ctl.scala 530:74] + node _T_1506 = and(_T_1503, _T_1505) @[ifu_bp_ctl.scala 530:22] + node _T_1507 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1508 = eq(_T_1507, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1509 = or(_T_1508, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1510 = and(_T_1506, _T_1509) @[ifu_bp_ctl.scala 530:87] + node _T_1511 = or(_T_1502, _T_1510) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][13] <= _T_1511 @[ifu_bp_ctl.scala 529:27] + node _T_1512 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1513 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1514 = eq(_T_1513, UInt<4>("h0e")) @[ifu_bp_ctl.scala 529:97] + node _T_1515 = and(_T_1512, _T_1514) @[ifu_bp_ctl.scala 529:45] + node _T_1516 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1518 = or(_T_1517, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1519 = and(_T_1515, _T_1518) @[ifu_bp_ctl.scala 529:110] + node _T_1520 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1521 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1522 = eq(_T_1521, UInt<4>("h0e")) @[ifu_bp_ctl.scala 530:74] + node _T_1523 = and(_T_1520, _T_1522) @[ifu_bp_ctl.scala 530:22] + node _T_1524 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1525 = eq(_T_1524, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1526 = or(_T_1525, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1527 = and(_T_1523, _T_1526) @[ifu_bp_ctl.scala 530:87] + node _T_1528 = or(_T_1519, _T_1527) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][14] <= _T_1528 @[ifu_bp_ctl.scala 529:27] + node _T_1529 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 529:41] + node _T_1530 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1531 = eq(_T_1530, UInt<4>("h0f")) @[ifu_bp_ctl.scala 529:97] + node _T_1532 = and(_T_1529, _T_1531) @[ifu_bp_ctl.scala 529:45] + node _T_1533 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1534 = eq(_T_1533, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1535 = or(_T_1534, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1536 = and(_T_1532, _T_1535) @[ifu_bp_ctl.scala 529:110] + node _T_1537 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 530:18] + node _T_1538 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1539 = eq(_T_1538, UInt<4>("h0f")) @[ifu_bp_ctl.scala 530:74] + node _T_1540 = and(_T_1537, _T_1539) @[ifu_bp_ctl.scala 530:22] + node _T_1541 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1542 = eq(_T_1541, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1543 = or(_T_1542, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1544 = and(_T_1540, _T_1543) @[ifu_bp_ctl.scala 530:87] + node _T_1545 = or(_T_1536, _T_1544) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[0][0][15] <= _T_1545 @[ifu_bp_ctl.scala 529:27] + node _T_1546 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1547 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1548 = eq(_T_1547, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:97] + node _T_1549 = and(_T_1546, _T_1548) @[ifu_bp_ctl.scala 529:45] + node _T_1550 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1551 = eq(_T_1550, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1552 = or(_T_1551, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1553 = and(_T_1549, _T_1552) @[ifu_bp_ctl.scala 529:110] + node _T_1554 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1555 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1556 = eq(_T_1555, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:74] + node _T_1557 = and(_T_1554, _T_1556) @[ifu_bp_ctl.scala 530:22] + node _T_1558 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1559 = eq(_T_1558, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1560 = or(_T_1559, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1561 = and(_T_1557, _T_1560) @[ifu_bp_ctl.scala 530:87] + node _T_1562 = or(_T_1553, _T_1561) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][0] <= _T_1562 @[ifu_bp_ctl.scala 529:27] + node _T_1563 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1564 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1565 = eq(_T_1564, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:97] + node _T_1566 = and(_T_1563, _T_1565) @[ifu_bp_ctl.scala 529:45] + node _T_1567 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1568 = eq(_T_1567, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1569 = or(_T_1568, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1570 = and(_T_1566, _T_1569) @[ifu_bp_ctl.scala 529:110] + node _T_1571 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1572 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1573 = eq(_T_1572, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:74] + node _T_1574 = and(_T_1571, _T_1573) @[ifu_bp_ctl.scala 530:22] + node _T_1575 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1576 = eq(_T_1575, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1577 = or(_T_1576, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1578 = and(_T_1574, _T_1577) @[ifu_bp_ctl.scala 530:87] + node _T_1579 = or(_T_1570, _T_1578) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][1] <= _T_1579 @[ifu_bp_ctl.scala 529:27] + node _T_1580 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1581 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1582 = eq(_T_1581, UInt<2>("h02")) @[ifu_bp_ctl.scala 529:97] + node _T_1583 = and(_T_1580, _T_1582) @[ifu_bp_ctl.scala 529:45] + node _T_1584 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1585 = eq(_T_1584, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1586 = or(_T_1585, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1587 = and(_T_1583, _T_1586) @[ifu_bp_ctl.scala 529:110] + node _T_1588 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1589 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1590 = eq(_T_1589, UInt<2>("h02")) @[ifu_bp_ctl.scala 530:74] + node _T_1591 = and(_T_1588, _T_1590) @[ifu_bp_ctl.scala 530:22] + node _T_1592 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1593 = eq(_T_1592, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1594 = or(_T_1593, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1595 = and(_T_1591, _T_1594) @[ifu_bp_ctl.scala 530:87] + node _T_1596 = or(_T_1587, _T_1595) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][2] <= _T_1596 @[ifu_bp_ctl.scala 529:27] + node _T_1597 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1598 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1599 = eq(_T_1598, UInt<2>("h03")) @[ifu_bp_ctl.scala 529:97] + node _T_1600 = and(_T_1597, _T_1599) @[ifu_bp_ctl.scala 529:45] + node _T_1601 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1602 = eq(_T_1601, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1603 = or(_T_1602, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1604 = and(_T_1600, _T_1603) @[ifu_bp_ctl.scala 529:110] + node _T_1605 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1606 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1607 = eq(_T_1606, UInt<2>("h03")) @[ifu_bp_ctl.scala 530:74] + node _T_1608 = and(_T_1605, _T_1607) @[ifu_bp_ctl.scala 530:22] + node _T_1609 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1610 = eq(_T_1609, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1611 = or(_T_1610, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1612 = and(_T_1608, _T_1611) @[ifu_bp_ctl.scala 530:87] + node _T_1613 = or(_T_1604, _T_1612) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][3] <= _T_1613 @[ifu_bp_ctl.scala 529:27] + node _T_1614 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1615 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1616 = eq(_T_1615, UInt<3>("h04")) @[ifu_bp_ctl.scala 529:97] + node _T_1617 = and(_T_1614, _T_1616) @[ifu_bp_ctl.scala 529:45] + node _T_1618 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1619 = eq(_T_1618, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1620 = or(_T_1619, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1621 = and(_T_1617, _T_1620) @[ifu_bp_ctl.scala 529:110] + node _T_1622 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1623 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1624 = eq(_T_1623, UInt<3>("h04")) @[ifu_bp_ctl.scala 530:74] + node _T_1625 = and(_T_1622, _T_1624) @[ifu_bp_ctl.scala 530:22] + node _T_1626 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1627 = eq(_T_1626, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1628 = or(_T_1627, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1629 = and(_T_1625, _T_1628) @[ifu_bp_ctl.scala 530:87] + node _T_1630 = or(_T_1621, _T_1629) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][4] <= _T_1630 @[ifu_bp_ctl.scala 529:27] + node _T_1631 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1632 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1633 = eq(_T_1632, UInt<3>("h05")) @[ifu_bp_ctl.scala 529:97] + node _T_1634 = and(_T_1631, _T_1633) @[ifu_bp_ctl.scala 529:45] + node _T_1635 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1636 = eq(_T_1635, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1637 = or(_T_1636, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1638 = and(_T_1634, _T_1637) @[ifu_bp_ctl.scala 529:110] + node _T_1639 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1640 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1641 = eq(_T_1640, UInt<3>("h05")) @[ifu_bp_ctl.scala 530:74] + node _T_1642 = and(_T_1639, _T_1641) @[ifu_bp_ctl.scala 530:22] + node _T_1643 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1644 = eq(_T_1643, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1645 = or(_T_1644, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1646 = and(_T_1642, _T_1645) @[ifu_bp_ctl.scala 530:87] + node _T_1647 = or(_T_1638, _T_1646) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][5] <= _T_1647 @[ifu_bp_ctl.scala 529:27] + node _T_1648 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1649 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1650 = eq(_T_1649, UInt<3>("h06")) @[ifu_bp_ctl.scala 529:97] + node _T_1651 = and(_T_1648, _T_1650) @[ifu_bp_ctl.scala 529:45] + node _T_1652 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1653 = eq(_T_1652, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1654 = or(_T_1653, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1655 = and(_T_1651, _T_1654) @[ifu_bp_ctl.scala 529:110] + node _T_1656 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1657 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1658 = eq(_T_1657, UInt<3>("h06")) @[ifu_bp_ctl.scala 530:74] + node _T_1659 = and(_T_1656, _T_1658) @[ifu_bp_ctl.scala 530:22] + node _T_1660 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1661 = eq(_T_1660, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1662 = or(_T_1661, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1663 = and(_T_1659, _T_1662) @[ifu_bp_ctl.scala 530:87] + node _T_1664 = or(_T_1655, _T_1663) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][6] <= _T_1664 @[ifu_bp_ctl.scala 529:27] + node _T_1665 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1666 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1667 = eq(_T_1666, UInt<3>("h07")) @[ifu_bp_ctl.scala 529:97] + node _T_1668 = and(_T_1665, _T_1667) @[ifu_bp_ctl.scala 529:45] + node _T_1669 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1670 = eq(_T_1669, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1671 = or(_T_1670, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1672 = and(_T_1668, _T_1671) @[ifu_bp_ctl.scala 529:110] + node _T_1673 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1674 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1675 = eq(_T_1674, UInt<3>("h07")) @[ifu_bp_ctl.scala 530:74] + node _T_1676 = and(_T_1673, _T_1675) @[ifu_bp_ctl.scala 530:22] + node _T_1677 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1678 = eq(_T_1677, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1679 = or(_T_1678, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1680 = and(_T_1676, _T_1679) @[ifu_bp_ctl.scala 530:87] + node _T_1681 = or(_T_1672, _T_1680) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][7] <= _T_1681 @[ifu_bp_ctl.scala 529:27] + node _T_1682 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1683 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1684 = eq(_T_1683, UInt<4>("h08")) @[ifu_bp_ctl.scala 529:97] + node _T_1685 = and(_T_1682, _T_1684) @[ifu_bp_ctl.scala 529:45] + node _T_1686 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1688 = or(_T_1687, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1689 = and(_T_1685, _T_1688) @[ifu_bp_ctl.scala 529:110] + node _T_1690 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1691 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1692 = eq(_T_1691, UInt<4>("h08")) @[ifu_bp_ctl.scala 530:74] + node _T_1693 = and(_T_1690, _T_1692) @[ifu_bp_ctl.scala 530:22] + node _T_1694 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1695 = eq(_T_1694, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1696 = or(_T_1695, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1697 = and(_T_1693, _T_1696) @[ifu_bp_ctl.scala 530:87] + node _T_1698 = or(_T_1689, _T_1697) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][8] <= _T_1698 @[ifu_bp_ctl.scala 529:27] + node _T_1699 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1700 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1701 = eq(_T_1700, UInt<4>("h09")) @[ifu_bp_ctl.scala 529:97] + node _T_1702 = and(_T_1699, _T_1701) @[ifu_bp_ctl.scala 529:45] + node _T_1703 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1704 = eq(_T_1703, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1705 = or(_T_1704, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1706 = and(_T_1702, _T_1705) @[ifu_bp_ctl.scala 529:110] + node _T_1707 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1708 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1709 = eq(_T_1708, UInt<4>("h09")) @[ifu_bp_ctl.scala 530:74] + node _T_1710 = and(_T_1707, _T_1709) @[ifu_bp_ctl.scala 530:22] + node _T_1711 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1712 = eq(_T_1711, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1713 = or(_T_1712, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1714 = and(_T_1710, _T_1713) @[ifu_bp_ctl.scala 530:87] + node _T_1715 = or(_T_1706, _T_1714) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][9] <= _T_1715 @[ifu_bp_ctl.scala 529:27] + node _T_1716 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1717 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1718 = eq(_T_1717, UInt<4>("h0a")) @[ifu_bp_ctl.scala 529:97] + node _T_1719 = and(_T_1716, _T_1718) @[ifu_bp_ctl.scala 529:45] + node _T_1720 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1721 = eq(_T_1720, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1722 = or(_T_1721, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1723 = and(_T_1719, _T_1722) @[ifu_bp_ctl.scala 529:110] + node _T_1724 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1725 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1726 = eq(_T_1725, UInt<4>("h0a")) @[ifu_bp_ctl.scala 530:74] + node _T_1727 = and(_T_1724, _T_1726) @[ifu_bp_ctl.scala 530:22] + node _T_1728 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1729 = eq(_T_1728, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1730 = or(_T_1729, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1731 = and(_T_1727, _T_1730) @[ifu_bp_ctl.scala 530:87] + node _T_1732 = or(_T_1723, _T_1731) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][10] <= _T_1732 @[ifu_bp_ctl.scala 529:27] + node _T_1733 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1734 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1735 = eq(_T_1734, UInt<4>("h0b")) @[ifu_bp_ctl.scala 529:97] + node _T_1736 = and(_T_1733, _T_1735) @[ifu_bp_ctl.scala 529:45] + node _T_1737 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1739 = or(_T_1738, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1740 = and(_T_1736, _T_1739) @[ifu_bp_ctl.scala 529:110] + node _T_1741 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1742 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1743 = eq(_T_1742, UInt<4>("h0b")) @[ifu_bp_ctl.scala 530:74] + node _T_1744 = and(_T_1741, _T_1743) @[ifu_bp_ctl.scala 530:22] + node _T_1745 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1746 = eq(_T_1745, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1747 = or(_T_1746, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1748 = and(_T_1744, _T_1747) @[ifu_bp_ctl.scala 530:87] + node _T_1749 = or(_T_1740, _T_1748) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][11] <= _T_1749 @[ifu_bp_ctl.scala 529:27] + node _T_1750 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1751 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1752 = eq(_T_1751, UInt<4>("h0c")) @[ifu_bp_ctl.scala 529:97] + node _T_1753 = and(_T_1750, _T_1752) @[ifu_bp_ctl.scala 529:45] + node _T_1754 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1755 = eq(_T_1754, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1756 = or(_T_1755, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1757 = and(_T_1753, _T_1756) @[ifu_bp_ctl.scala 529:110] + node _T_1758 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1759 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1760 = eq(_T_1759, UInt<4>("h0c")) @[ifu_bp_ctl.scala 530:74] + node _T_1761 = and(_T_1758, _T_1760) @[ifu_bp_ctl.scala 530:22] + node _T_1762 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1763 = eq(_T_1762, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1764 = or(_T_1763, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1765 = and(_T_1761, _T_1764) @[ifu_bp_ctl.scala 530:87] + node _T_1766 = or(_T_1757, _T_1765) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][12] <= _T_1766 @[ifu_bp_ctl.scala 529:27] + node _T_1767 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1768 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1769 = eq(_T_1768, UInt<4>("h0d")) @[ifu_bp_ctl.scala 529:97] + node _T_1770 = and(_T_1767, _T_1769) @[ifu_bp_ctl.scala 529:45] + node _T_1771 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1773 = or(_T_1772, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1774 = and(_T_1770, _T_1773) @[ifu_bp_ctl.scala 529:110] + node _T_1775 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1776 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1777 = eq(_T_1776, UInt<4>("h0d")) @[ifu_bp_ctl.scala 530:74] + node _T_1778 = and(_T_1775, _T_1777) @[ifu_bp_ctl.scala 530:22] + node _T_1779 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1780 = eq(_T_1779, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1781 = or(_T_1780, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1782 = and(_T_1778, _T_1781) @[ifu_bp_ctl.scala 530:87] + node _T_1783 = or(_T_1774, _T_1782) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][13] <= _T_1783 @[ifu_bp_ctl.scala 529:27] + node _T_1784 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1785 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1786 = eq(_T_1785, UInt<4>("h0e")) @[ifu_bp_ctl.scala 529:97] + node _T_1787 = and(_T_1784, _T_1786) @[ifu_bp_ctl.scala 529:45] + node _T_1788 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1789 = eq(_T_1788, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1790 = or(_T_1789, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1791 = and(_T_1787, _T_1790) @[ifu_bp_ctl.scala 529:110] + node _T_1792 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1793 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1794 = eq(_T_1793, UInt<4>("h0e")) @[ifu_bp_ctl.scala 530:74] + node _T_1795 = and(_T_1792, _T_1794) @[ifu_bp_ctl.scala 530:22] + node _T_1796 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1797 = eq(_T_1796, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1798 = or(_T_1797, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1799 = and(_T_1795, _T_1798) @[ifu_bp_ctl.scala 530:87] + node _T_1800 = or(_T_1791, _T_1799) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][14] <= _T_1800 @[ifu_bp_ctl.scala 529:27] + node _T_1801 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 529:41] + node _T_1802 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:60] + node _T_1803 = eq(_T_1802, UInt<4>("h0f")) @[ifu_bp_ctl.scala 529:97] + node _T_1804 = and(_T_1801, _T_1803) @[ifu_bp_ctl.scala 529:45] + node _T_1805 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 529:126] + node _T_1806 = eq(_T_1805, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:186] + node _T_1807 = or(_T_1806, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:199] + node _T_1808 = and(_T_1804, _T_1807) @[ifu_bp_ctl.scala 529:110] + node _T_1809 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 530:18] + node _T_1810 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:37] + node _T_1811 = eq(_T_1810, UInt<4>("h0f")) @[ifu_bp_ctl.scala 530:74] + node _T_1812 = and(_T_1809, _T_1811) @[ifu_bp_ctl.scala 530:22] + node _T_1813 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 530:103] + node _T_1814 = eq(_T_1813, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:163] + node _T_1815 = or(_T_1814, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:176] + node _T_1816 = and(_T_1812, _T_1815) @[ifu_bp_ctl.scala 530:87] + node _T_1817 = or(_T_1808, _T_1816) @[ifu_bp_ctl.scala 529:223] + bht_bank_sel[1][0][15] <= _T_1817 @[ifu_bp_ctl.scala 529:27] + wire bht_bank_rd_data_out : UInt<2>[16][2] @[ifu_bp_ctl.scala 533:34] node _T_1818 = and(bht_bank_sel[0][0][0], bht_bank_sel[0][0][0]) @[lib.scala 383:57] reg _T_1819 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1818 : @[Reg.scala 28:19] _T_1819 <= bht_bank_wr_data_0_0_0 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][0] <= _T_1819 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][0] <= _T_1819 @[ifu_bp_ctl.scala 535:39] node _T_1820 = and(bht_bank_sel[0][0][1], bht_bank_sel[0][0][1]) @[lib.scala 383:57] reg _T_1821 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1820 : @[Reg.scala 28:19] _T_1821 <= bht_bank_wr_data_0_0_1 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][1] <= _T_1821 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][1] <= _T_1821 @[ifu_bp_ctl.scala 535:39] node _T_1822 = and(bht_bank_sel[0][0][2], bht_bank_sel[0][0][2]) @[lib.scala 383:57] reg _T_1823 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1822 : @[Reg.scala 28:19] _T_1823 <= bht_bank_wr_data_0_0_2 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][2] <= _T_1823 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][2] <= _T_1823 @[ifu_bp_ctl.scala 535:39] node _T_1824 = and(bht_bank_sel[0][0][3], bht_bank_sel[0][0][3]) @[lib.scala 383:57] reg _T_1825 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1824 : @[Reg.scala 28:19] _T_1825 <= bht_bank_wr_data_0_0_3 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][3] <= _T_1825 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][3] <= _T_1825 @[ifu_bp_ctl.scala 535:39] node _T_1826 = and(bht_bank_sel[0][0][4], bht_bank_sel[0][0][4]) @[lib.scala 383:57] reg _T_1827 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1826 : @[Reg.scala 28:19] _T_1827 <= bht_bank_wr_data_0_0_4 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][4] <= _T_1827 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][4] <= _T_1827 @[ifu_bp_ctl.scala 535:39] node _T_1828 = and(bht_bank_sel[0][0][5], bht_bank_sel[0][0][5]) @[lib.scala 383:57] reg _T_1829 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1828 : @[Reg.scala 28:19] _T_1829 <= bht_bank_wr_data_0_0_5 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][5] <= _T_1829 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][5] <= _T_1829 @[ifu_bp_ctl.scala 535:39] node _T_1830 = and(bht_bank_sel[0][0][6], bht_bank_sel[0][0][6]) @[lib.scala 383:57] reg _T_1831 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1830 : @[Reg.scala 28:19] _T_1831 <= bht_bank_wr_data_0_0_6 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][6] <= _T_1831 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][6] <= _T_1831 @[ifu_bp_ctl.scala 535:39] node _T_1832 = and(bht_bank_sel[0][0][7], bht_bank_sel[0][0][7]) @[lib.scala 383:57] reg _T_1833 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1832 : @[Reg.scala 28:19] _T_1833 <= bht_bank_wr_data_0_0_7 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][7] <= _T_1833 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][7] <= _T_1833 @[ifu_bp_ctl.scala 535:39] node _T_1834 = and(bht_bank_sel[0][0][8], bht_bank_sel[0][0][8]) @[lib.scala 383:57] reg _T_1835 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1834 : @[Reg.scala 28:19] _T_1835 <= bht_bank_wr_data_0_0_8 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][8] <= _T_1835 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][8] <= _T_1835 @[ifu_bp_ctl.scala 535:39] node _T_1836 = and(bht_bank_sel[0][0][9], bht_bank_sel[0][0][9]) @[lib.scala 383:57] reg _T_1837 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1836 : @[Reg.scala 28:19] _T_1837 <= bht_bank_wr_data_0_0_9 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][9] <= _T_1837 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][9] <= _T_1837 @[ifu_bp_ctl.scala 535:39] node _T_1838 = and(bht_bank_sel[0][0][10], bht_bank_sel[0][0][10]) @[lib.scala 383:57] reg _T_1839 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1838 : @[Reg.scala 28:19] _T_1839 <= bht_bank_wr_data_0_0_10 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][10] <= _T_1839 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][10] <= _T_1839 @[ifu_bp_ctl.scala 535:39] node _T_1840 = and(bht_bank_sel[0][0][11], bht_bank_sel[0][0][11]) @[lib.scala 383:57] reg _T_1841 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1840 : @[Reg.scala 28:19] _T_1841 <= bht_bank_wr_data_0_0_11 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][11] <= _T_1841 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][11] <= _T_1841 @[ifu_bp_ctl.scala 535:39] node _T_1842 = and(bht_bank_sel[0][0][12], bht_bank_sel[0][0][12]) @[lib.scala 383:57] reg _T_1843 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1842 : @[Reg.scala 28:19] _T_1843 <= bht_bank_wr_data_0_0_12 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][12] <= _T_1843 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][12] <= _T_1843 @[ifu_bp_ctl.scala 535:39] node _T_1844 = and(bht_bank_sel[0][0][13], bht_bank_sel[0][0][13]) @[lib.scala 383:57] reg _T_1845 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1844 : @[Reg.scala 28:19] _T_1845 <= bht_bank_wr_data_0_0_13 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][13] <= _T_1845 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][13] <= _T_1845 @[ifu_bp_ctl.scala 535:39] node _T_1846 = and(bht_bank_sel[0][0][14], bht_bank_sel[0][0][14]) @[lib.scala 383:57] reg _T_1847 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1846 : @[Reg.scala 28:19] _T_1847 <= bht_bank_wr_data_0_0_14 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][14] <= _T_1847 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][14] <= _T_1847 @[ifu_bp_ctl.scala 535:39] node _T_1848 = and(bht_bank_sel[0][0][15], bht_bank_sel[0][0][15]) @[lib.scala 383:57] reg _T_1849 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1848 : @[Reg.scala 28:19] _T_1849 <= bht_bank_wr_data_0_0_15 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][15] <= _T_1849 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[0][15] <= _T_1849 @[ifu_bp_ctl.scala 535:39] node _T_1850 = and(bht_bank_sel[1][0][0], bht_bank_sel[1][0][0]) @[lib.scala 383:57] reg _T_1851 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1850 : @[Reg.scala 28:19] _T_1851 <= bht_bank_wr_data_1_0_0 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][0] <= _T_1851 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][0] <= _T_1851 @[ifu_bp_ctl.scala 535:39] node _T_1852 = and(bht_bank_sel[1][0][1], bht_bank_sel[1][0][1]) @[lib.scala 383:57] reg _T_1853 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1852 : @[Reg.scala 28:19] _T_1853 <= bht_bank_wr_data_1_0_1 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][1] <= _T_1853 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][1] <= _T_1853 @[ifu_bp_ctl.scala 535:39] node _T_1854 = and(bht_bank_sel[1][0][2], bht_bank_sel[1][0][2]) @[lib.scala 383:57] reg _T_1855 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1854 : @[Reg.scala 28:19] _T_1855 <= bht_bank_wr_data_1_0_2 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][2] <= _T_1855 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][2] <= _T_1855 @[ifu_bp_ctl.scala 535:39] node _T_1856 = and(bht_bank_sel[1][0][3], bht_bank_sel[1][0][3]) @[lib.scala 383:57] reg _T_1857 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1856 : @[Reg.scala 28:19] _T_1857 <= bht_bank_wr_data_1_0_3 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][3] <= _T_1857 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][3] <= _T_1857 @[ifu_bp_ctl.scala 535:39] node _T_1858 = and(bht_bank_sel[1][0][4], bht_bank_sel[1][0][4]) @[lib.scala 383:57] reg _T_1859 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1858 : @[Reg.scala 28:19] _T_1859 <= bht_bank_wr_data_1_0_4 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][4] <= _T_1859 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][4] <= _T_1859 @[ifu_bp_ctl.scala 535:39] node _T_1860 = and(bht_bank_sel[1][0][5], bht_bank_sel[1][0][5]) @[lib.scala 383:57] reg _T_1861 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1860 : @[Reg.scala 28:19] _T_1861 <= bht_bank_wr_data_1_0_5 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][5] <= _T_1861 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][5] <= _T_1861 @[ifu_bp_ctl.scala 535:39] node _T_1862 = and(bht_bank_sel[1][0][6], bht_bank_sel[1][0][6]) @[lib.scala 383:57] reg _T_1863 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1862 : @[Reg.scala 28:19] _T_1863 <= bht_bank_wr_data_1_0_6 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][6] <= _T_1863 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][6] <= _T_1863 @[ifu_bp_ctl.scala 535:39] node _T_1864 = and(bht_bank_sel[1][0][7], bht_bank_sel[1][0][7]) @[lib.scala 383:57] reg _T_1865 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1864 : @[Reg.scala 28:19] _T_1865 <= bht_bank_wr_data_1_0_7 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][7] <= _T_1865 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][7] <= _T_1865 @[ifu_bp_ctl.scala 535:39] node _T_1866 = and(bht_bank_sel[1][0][8], bht_bank_sel[1][0][8]) @[lib.scala 383:57] reg _T_1867 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1866 : @[Reg.scala 28:19] _T_1867 <= bht_bank_wr_data_1_0_8 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][8] <= _T_1867 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][8] <= _T_1867 @[ifu_bp_ctl.scala 535:39] node _T_1868 = and(bht_bank_sel[1][0][9], bht_bank_sel[1][0][9]) @[lib.scala 383:57] reg _T_1869 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1868 : @[Reg.scala 28:19] _T_1869 <= bht_bank_wr_data_1_0_9 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][9] <= _T_1869 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][9] <= _T_1869 @[ifu_bp_ctl.scala 535:39] node _T_1870 = and(bht_bank_sel[1][0][10], bht_bank_sel[1][0][10]) @[lib.scala 383:57] reg _T_1871 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1870 : @[Reg.scala 28:19] _T_1871 <= bht_bank_wr_data_1_0_10 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][10] <= _T_1871 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][10] <= _T_1871 @[ifu_bp_ctl.scala 535:39] node _T_1872 = and(bht_bank_sel[1][0][11], bht_bank_sel[1][0][11]) @[lib.scala 383:57] reg _T_1873 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1872 : @[Reg.scala 28:19] _T_1873 <= bht_bank_wr_data_1_0_11 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][11] <= _T_1873 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][11] <= _T_1873 @[ifu_bp_ctl.scala 535:39] node _T_1874 = and(bht_bank_sel[1][0][12], bht_bank_sel[1][0][12]) @[lib.scala 383:57] reg _T_1875 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1874 : @[Reg.scala 28:19] _T_1875 <= bht_bank_wr_data_1_0_12 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][12] <= _T_1875 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][12] <= _T_1875 @[ifu_bp_ctl.scala 535:39] node _T_1876 = and(bht_bank_sel[1][0][13], bht_bank_sel[1][0][13]) @[lib.scala 383:57] reg _T_1877 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1876 : @[Reg.scala 28:19] _T_1877 <= bht_bank_wr_data_1_0_13 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][13] <= _T_1877 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][13] <= _T_1877 @[ifu_bp_ctl.scala 535:39] node _T_1878 = and(bht_bank_sel[1][0][14], bht_bank_sel[1][0][14]) @[lib.scala 383:57] reg _T_1879 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1878 : @[Reg.scala 28:19] _T_1879 <= bht_bank_wr_data_1_0_14 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][14] <= _T_1879 @[ifu_bp_ctl.scala 534:39] + bht_bank_rd_data_out[1][14] <= _T_1879 @[ifu_bp_ctl.scala 535:39] node _T_1880 = and(bht_bank_sel[1][0][15], bht_bank_sel[1][0][15]) @[lib.scala 383:57] reg _T_1881 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1880 : @[Reg.scala 28:19] _T_1881 <= bht_bank_wr_data_1_0_15 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][15] <= _T_1881 @[ifu_bp_ctl.scala 534:39] - node _T_1882 = eq(bht_rd_addr_hashed_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 538:79] - node _T_1883 = bits(_T_1882, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1884 = eq(bht_rd_addr_hashed_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 538:79] - node _T_1885 = bits(_T_1884, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1886 = eq(bht_rd_addr_hashed_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 538:79] - node _T_1887 = bits(_T_1886, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1888 = eq(bht_rd_addr_hashed_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 538:79] - node _T_1889 = bits(_T_1888, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1890 = eq(bht_rd_addr_hashed_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 538:79] - node _T_1891 = bits(_T_1890, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1892 = eq(bht_rd_addr_hashed_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 538:79] - node _T_1893 = bits(_T_1892, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1894 = eq(bht_rd_addr_hashed_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 538:79] - node _T_1895 = bits(_T_1894, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1896 = eq(bht_rd_addr_hashed_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 538:79] - node _T_1897 = bits(_T_1896, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1898 = eq(bht_rd_addr_hashed_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 538:79] - node _T_1899 = bits(_T_1898, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1900 = eq(bht_rd_addr_hashed_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 538:79] - node _T_1901 = bits(_T_1900, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1902 = eq(bht_rd_addr_hashed_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 538:79] - node _T_1903 = bits(_T_1902, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1904 = eq(bht_rd_addr_hashed_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 538:79] - node _T_1905 = bits(_T_1904, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1906 = eq(bht_rd_addr_hashed_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 538:79] - node _T_1907 = bits(_T_1906, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1908 = eq(bht_rd_addr_hashed_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 538:79] - node _T_1909 = bits(_T_1908, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1910 = eq(bht_rd_addr_hashed_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 538:79] - node _T_1911 = bits(_T_1910, 0, 0) @[ifu_bp_ctl.scala 538:87] - node _T_1912 = eq(bht_rd_addr_hashed_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 538:79] - node _T_1913 = bits(_T_1912, 0, 0) @[ifu_bp_ctl.scala 538:87] + bht_bank_rd_data_out[1][15] <= _T_1881 @[ifu_bp_ctl.scala 535:39] + node _T_1882 = eq(bht_rd_addr_hashed_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 539:79] + node _T_1883 = bits(_T_1882, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1884 = eq(bht_rd_addr_hashed_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 539:79] + node _T_1885 = bits(_T_1884, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1886 = eq(bht_rd_addr_hashed_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 539:79] + node _T_1887 = bits(_T_1886, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1888 = eq(bht_rd_addr_hashed_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 539:79] + node _T_1889 = bits(_T_1888, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1890 = eq(bht_rd_addr_hashed_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 539:79] + node _T_1891 = bits(_T_1890, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1892 = eq(bht_rd_addr_hashed_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 539:79] + node _T_1893 = bits(_T_1892, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1894 = eq(bht_rd_addr_hashed_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 539:79] + node _T_1895 = bits(_T_1894, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1896 = eq(bht_rd_addr_hashed_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 539:79] + node _T_1897 = bits(_T_1896, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1898 = eq(bht_rd_addr_hashed_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 539:79] + node _T_1899 = bits(_T_1898, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1900 = eq(bht_rd_addr_hashed_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 539:79] + node _T_1901 = bits(_T_1900, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1902 = eq(bht_rd_addr_hashed_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 539:79] + node _T_1903 = bits(_T_1902, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1904 = eq(bht_rd_addr_hashed_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 539:79] + node _T_1905 = bits(_T_1904, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1906 = eq(bht_rd_addr_hashed_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 539:79] + node _T_1907 = bits(_T_1906, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1908 = eq(bht_rd_addr_hashed_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 539:79] + node _T_1909 = bits(_T_1908, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1910 = eq(bht_rd_addr_hashed_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 539:79] + node _T_1911 = bits(_T_1910, 0, 0) @[ifu_bp_ctl.scala 539:87] + node _T_1912 = eq(bht_rd_addr_hashed_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 539:79] + node _T_1913 = bits(_T_1912, 0, 0) @[ifu_bp_ctl.scala 539:87] node _T_1914 = mux(_T_1883, bht_bank_rd_data_out[0][0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1915 = mux(_T_1885, bht_bank_rd_data_out[0][1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1916 = mux(_T_1887, bht_bank_rd_data_out[0][2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3855,39 +3855,39 @@ circuit ifu_bp_ctl : node _T_1944 = or(_T_1943, _T_1929) @[Mux.scala 27:72] wire _T_1945 : UInt<2> @[Mux.scala 27:72] _T_1945 <= _T_1944 @[Mux.scala 27:72] - bht_bank0_rd_data_f <= _T_1945 @[ifu_bp_ctl.scala 538:23] - node _T_1946 = eq(bht_rd_addr_hashed_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 539:79] - node _T_1947 = bits(_T_1946, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1948 = eq(bht_rd_addr_hashed_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 539:79] - node _T_1949 = bits(_T_1948, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1950 = eq(bht_rd_addr_hashed_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 539:79] - node _T_1951 = bits(_T_1950, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1952 = eq(bht_rd_addr_hashed_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 539:79] - node _T_1953 = bits(_T_1952, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1954 = eq(bht_rd_addr_hashed_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 539:79] - node _T_1955 = bits(_T_1954, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1956 = eq(bht_rd_addr_hashed_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 539:79] - node _T_1957 = bits(_T_1956, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1958 = eq(bht_rd_addr_hashed_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 539:79] - node _T_1959 = bits(_T_1958, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1960 = eq(bht_rd_addr_hashed_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 539:79] - node _T_1961 = bits(_T_1960, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1962 = eq(bht_rd_addr_hashed_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 539:79] - node _T_1963 = bits(_T_1962, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1964 = eq(bht_rd_addr_hashed_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 539:79] - node _T_1965 = bits(_T_1964, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1966 = eq(bht_rd_addr_hashed_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 539:79] - node _T_1967 = bits(_T_1966, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1968 = eq(bht_rd_addr_hashed_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 539:79] - node _T_1969 = bits(_T_1968, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1970 = eq(bht_rd_addr_hashed_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 539:79] - node _T_1971 = bits(_T_1970, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1972 = eq(bht_rd_addr_hashed_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 539:79] - node _T_1973 = bits(_T_1972, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1974 = eq(bht_rd_addr_hashed_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 539:79] - node _T_1975 = bits(_T_1974, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1976 = eq(bht_rd_addr_hashed_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 539:79] - node _T_1977 = bits(_T_1976, 0, 0) @[ifu_bp_ctl.scala 539:87] + bht_bank0_rd_data_f <= _T_1945 @[ifu_bp_ctl.scala 539:23] + node _T_1946 = eq(bht_rd_addr_hashed_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 540:79] + node _T_1947 = bits(_T_1946, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1948 = eq(bht_rd_addr_hashed_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 540:79] + node _T_1949 = bits(_T_1948, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1950 = eq(bht_rd_addr_hashed_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 540:79] + node _T_1951 = bits(_T_1950, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1952 = eq(bht_rd_addr_hashed_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 540:79] + node _T_1953 = bits(_T_1952, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1954 = eq(bht_rd_addr_hashed_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 540:79] + node _T_1955 = bits(_T_1954, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1956 = eq(bht_rd_addr_hashed_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 540:79] + node _T_1957 = bits(_T_1956, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1958 = eq(bht_rd_addr_hashed_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 540:79] + node _T_1959 = bits(_T_1958, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1960 = eq(bht_rd_addr_hashed_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 540:79] + node _T_1961 = bits(_T_1960, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1962 = eq(bht_rd_addr_hashed_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 540:79] + node _T_1963 = bits(_T_1962, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1964 = eq(bht_rd_addr_hashed_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 540:79] + node _T_1965 = bits(_T_1964, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1966 = eq(bht_rd_addr_hashed_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 540:79] + node _T_1967 = bits(_T_1966, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1968 = eq(bht_rd_addr_hashed_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 540:79] + node _T_1969 = bits(_T_1968, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1970 = eq(bht_rd_addr_hashed_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 540:79] + node _T_1971 = bits(_T_1970, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1972 = eq(bht_rd_addr_hashed_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 540:79] + node _T_1973 = bits(_T_1972, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1974 = eq(bht_rd_addr_hashed_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 540:79] + node _T_1975 = bits(_T_1974, 0, 0) @[ifu_bp_ctl.scala 540:87] + node _T_1976 = eq(bht_rd_addr_hashed_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 540:79] + node _T_1977 = bits(_T_1976, 0, 0) @[ifu_bp_ctl.scala 540:87] node _T_1978 = mux(_T_1947, bht_bank_rd_data_out[1][0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1979 = mux(_T_1949, bht_bank_rd_data_out[1][1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1980 = mux(_T_1951, bht_bank_rd_data_out[1][2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3921,39 +3921,39 @@ circuit ifu_bp_ctl : node _T_2008 = or(_T_2007, _T_1993) @[Mux.scala 27:72] wire _T_2009 : UInt<2> @[Mux.scala 27:72] _T_2009 <= _T_2008 @[Mux.scala 27:72] - bht_bank1_rd_data_f <= _T_2009 @[ifu_bp_ctl.scala 539:23] - node _T_2010 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 540:85] - node _T_2011 = bits(_T_2010, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2012 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 540:85] - node _T_2013 = bits(_T_2012, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2014 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 540:85] - node _T_2015 = bits(_T_2014, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2016 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 540:85] - node _T_2017 = bits(_T_2016, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2018 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 540:85] - node _T_2019 = bits(_T_2018, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2020 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 540:85] - node _T_2021 = bits(_T_2020, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2022 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 540:85] - node _T_2023 = bits(_T_2022, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2024 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 540:85] - node _T_2025 = bits(_T_2024, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2026 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 540:85] - node _T_2027 = bits(_T_2026, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2028 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 540:85] - node _T_2029 = bits(_T_2028, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2030 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 540:85] - node _T_2031 = bits(_T_2030, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2032 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 540:85] - node _T_2033 = bits(_T_2032, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2034 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 540:85] - node _T_2035 = bits(_T_2034, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2036 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 540:85] - node _T_2037 = bits(_T_2036, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2038 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 540:85] - node _T_2039 = bits(_T_2038, 0, 0) @[ifu_bp_ctl.scala 540:93] - node _T_2040 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 540:85] - node _T_2041 = bits(_T_2040, 0, 0) @[ifu_bp_ctl.scala 540:93] + bht_bank1_rd_data_f <= _T_2009 @[ifu_bp_ctl.scala 540:23] + node _T_2010 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 541:85] + node _T_2011 = bits(_T_2010, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2012 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 541:85] + node _T_2013 = bits(_T_2012, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2014 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 541:85] + node _T_2015 = bits(_T_2014, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2016 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 541:85] + node _T_2017 = bits(_T_2016, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2018 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 541:85] + node _T_2019 = bits(_T_2018, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2020 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 541:85] + node _T_2021 = bits(_T_2020, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2022 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 541:85] + node _T_2023 = bits(_T_2022, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2024 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 541:85] + node _T_2025 = bits(_T_2024, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2026 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 541:85] + node _T_2027 = bits(_T_2026, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2028 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 541:85] + node _T_2029 = bits(_T_2028, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2030 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 541:85] + node _T_2031 = bits(_T_2030, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2032 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 541:85] + node _T_2033 = bits(_T_2032, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2034 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 541:85] + node _T_2035 = bits(_T_2034, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2036 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 541:85] + node _T_2037 = bits(_T_2036, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2038 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 541:85] + node _T_2039 = bits(_T_2038, 0, 0) @[ifu_bp_ctl.scala 541:93] + node _T_2040 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 541:85] + node _T_2041 = bits(_T_2040, 0, 0) @[ifu_bp_ctl.scala 541:93] node _T_2042 = mux(_T_2011, bht_bank_rd_data_out[0][0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2043 = mux(_T_2013, bht_bank_rd_data_out[0][1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2044 = mux(_T_2015, bht_bank_rd_data_out[0][2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3987,5 +3987,5 @@ circuit ifu_bp_ctl : node _T_2072 = or(_T_2071, _T_2057) @[Mux.scala 27:72] wire _T_2073 : UInt<2> @[Mux.scala 27:72] _T_2073 <= _T_2072 @[Mux.scala 27:72] - bht_bank0_rd_data_p1_f <= _T_2073 @[ifu_bp_ctl.scala 540:26] + bht_bank0_rd_data_p1_f <= _T_2073 @[ifu_bp_ctl.scala 541:26] diff --git a/ifu_bp_ctl.v b/ifu_bp_ctl.v index b1ee1c05..b7ec9645 100644 --- a/ifu_bp_ctl.v +++ b/ifu_bp_ctl.v @@ -245,198 +245,198 @@ module ifu_bp_ctl( wire [9:0] _T_580 = {btb_rd_addr_f,2'h0}; // @[Cat.scala 29:58] reg [7:0] fghr; // @[Reg.scala 27:20] wire [7:0] bht_rd_addr_hashed_f = _T_580[9:2] ^ fghr; // @[lib.scala 56:35] - wire _T_1946 = bht_rd_addr_hashed_f == 8'h0; // @[ifu_bp_ctl.scala 539:79] + wire _T_1946 = bht_rd_addr_hashed_f == 8'h0; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_0; // @[Reg.scala 27:20] wire [1:0] _T_1978 = _T_1946 ? bht_bank_rd_data_out_1_0 : 2'h0; // @[Mux.scala 27:72] - wire _T_1948 = bht_rd_addr_hashed_f == 8'h1; // @[ifu_bp_ctl.scala 539:79] + wire _T_1948 = bht_rd_addr_hashed_f == 8'h1; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_1; // @[Reg.scala 27:20] wire [1:0] _T_1979 = _T_1948 ? bht_bank_rd_data_out_1_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1994 = _T_1978 | _T_1979; // @[Mux.scala 27:72] - wire _T_1950 = bht_rd_addr_hashed_f == 8'h2; // @[ifu_bp_ctl.scala 539:79] + wire _T_1950 = bht_rd_addr_hashed_f == 8'h2; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_2; // @[Reg.scala 27:20] wire [1:0] _T_1980 = _T_1950 ? bht_bank_rd_data_out_1_2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1995 = _T_1994 | _T_1980; // @[Mux.scala 27:72] - wire _T_1952 = bht_rd_addr_hashed_f == 8'h3; // @[ifu_bp_ctl.scala 539:79] + wire _T_1952 = bht_rd_addr_hashed_f == 8'h3; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_3; // @[Reg.scala 27:20] wire [1:0] _T_1981 = _T_1952 ? bht_bank_rd_data_out_1_3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1996 = _T_1995 | _T_1981; // @[Mux.scala 27:72] - wire _T_1954 = bht_rd_addr_hashed_f == 8'h4; // @[ifu_bp_ctl.scala 539:79] + wire _T_1954 = bht_rd_addr_hashed_f == 8'h4; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_4; // @[Reg.scala 27:20] wire [1:0] _T_1982 = _T_1954 ? bht_bank_rd_data_out_1_4 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1997 = _T_1996 | _T_1982; // @[Mux.scala 27:72] - wire _T_1956 = bht_rd_addr_hashed_f == 8'h5; // @[ifu_bp_ctl.scala 539:79] + wire _T_1956 = bht_rd_addr_hashed_f == 8'h5; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_5; // @[Reg.scala 27:20] wire [1:0] _T_1983 = _T_1956 ? bht_bank_rd_data_out_1_5 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1998 = _T_1997 | _T_1983; // @[Mux.scala 27:72] - wire _T_1958 = bht_rd_addr_hashed_f == 8'h6; // @[ifu_bp_ctl.scala 539:79] + wire _T_1958 = bht_rd_addr_hashed_f == 8'h6; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_6; // @[Reg.scala 27:20] wire [1:0] _T_1984 = _T_1958 ? bht_bank_rd_data_out_1_6 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1999 = _T_1998 | _T_1984; // @[Mux.scala 27:72] - wire _T_1960 = bht_rd_addr_hashed_f == 8'h7; // @[ifu_bp_ctl.scala 539:79] + wire _T_1960 = bht_rd_addr_hashed_f == 8'h7; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_7; // @[Reg.scala 27:20] wire [1:0] _T_1985 = _T_1960 ? bht_bank_rd_data_out_1_7 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2000 = _T_1999 | _T_1985; // @[Mux.scala 27:72] - wire _T_1962 = bht_rd_addr_hashed_f == 8'h8; // @[ifu_bp_ctl.scala 539:79] + wire _T_1962 = bht_rd_addr_hashed_f == 8'h8; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_8; // @[Reg.scala 27:20] wire [1:0] _T_1986 = _T_1962 ? bht_bank_rd_data_out_1_8 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2001 = _T_2000 | _T_1986; // @[Mux.scala 27:72] - wire _T_1964 = bht_rd_addr_hashed_f == 8'h9; // @[ifu_bp_ctl.scala 539:79] + wire _T_1964 = bht_rd_addr_hashed_f == 8'h9; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_9; // @[Reg.scala 27:20] wire [1:0] _T_1987 = _T_1964 ? bht_bank_rd_data_out_1_9 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2002 = _T_2001 | _T_1987; // @[Mux.scala 27:72] - wire _T_1966 = bht_rd_addr_hashed_f == 8'ha; // @[ifu_bp_ctl.scala 539:79] + wire _T_1966 = bht_rd_addr_hashed_f == 8'ha; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_10; // @[Reg.scala 27:20] wire [1:0] _T_1988 = _T_1966 ? bht_bank_rd_data_out_1_10 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2003 = _T_2002 | _T_1988; // @[Mux.scala 27:72] - wire _T_1968 = bht_rd_addr_hashed_f == 8'hb; // @[ifu_bp_ctl.scala 539:79] + wire _T_1968 = bht_rd_addr_hashed_f == 8'hb; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_11; // @[Reg.scala 27:20] wire [1:0] _T_1989 = _T_1968 ? bht_bank_rd_data_out_1_11 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2004 = _T_2003 | _T_1989; // @[Mux.scala 27:72] - wire _T_1970 = bht_rd_addr_hashed_f == 8'hc; // @[ifu_bp_ctl.scala 539:79] + wire _T_1970 = bht_rd_addr_hashed_f == 8'hc; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_12; // @[Reg.scala 27:20] wire [1:0] _T_1990 = _T_1970 ? bht_bank_rd_data_out_1_12 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2005 = _T_2004 | _T_1990; // @[Mux.scala 27:72] - wire _T_1972 = bht_rd_addr_hashed_f == 8'hd; // @[ifu_bp_ctl.scala 539:79] + wire _T_1972 = bht_rd_addr_hashed_f == 8'hd; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_13; // @[Reg.scala 27:20] wire [1:0] _T_1991 = _T_1972 ? bht_bank_rd_data_out_1_13 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2006 = _T_2005 | _T_1991; // @[Mux.scala 27:72] - wire _T_1974 = bht_rd_addr_hashed_f == 8'he; // @[ifu_bp_ctl.scala 539:79] + wire _T_1974 = bht_rd_addr_hashed_f == 8'he; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_14; // @[Reg.scala 27:20] wire [1:0] _T_1992 = _T_1974 ? bht_bank_rd_data_out_1_14 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2007 = _T_2006 | _T_1992; // @[Mux.scala 27:72] - wire _T_1976 = bht_rd_addr_hashed_f == 8'hf; // @[ifu_bp_ctl.scala 539:79] + wire _T_1976 = bht_rd_addr_hashed_f == 8'hf; // @[ifu_bp_ctl.scala 540:79] reg [1:0] bht_bank_rd_data_out_1_15; // @[Reg.scala 27:20] wire [1:0] _T_1993 = _T_1976 ? bht_bank_rd_data_out_1_15 : 2'h0; // @[Mux.scala 27:72] wire [1:0] bht_bank1_rd_data_f = _T_2007 | _T_1993; // @[Mux.scala 27:72] wire [1:0] _T_251 = _T_248 ? bht_bank1_rd_data_f : 2'h0; // @[Mux.scala 27:72] wire [9:0] _T_583 = {btb_rd_addr_p1_f,2'h0}; // @[Cat.scala 29:58] wire [7:0] bht_rd_addr_hashed_p1_f = _T_583[9:2] ^ fghr; // @[lib.scala 56:35] - wire _T_2010 = bht_rd_addr_hashed_p1_f == 8'h0; // @[ifu_bp_ctl.scala 540:85] + wire _T_2010 = bht_rd_addr_hashed_p1_f == 8'h0; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_0; // @[Reg.scala 27:20] wire [1:0] _T_2042 = _T_2010 ? bht_bank_rd_data_out_0_0 : 2'h0; // @[Mux.scala 27:72] - wire _T_2012 = bht_rd_addr_hashed_p1_f == 8'h1; // @[ifu_bp_ctl.scala 540:85] + wire _T_2012 = bht_rd_addr_hashed_p1_f == 8'h1; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_1; // @[Reg.scala 27:20] wire [1:0] _T_2043 = _T_2012 ? bht_bank_rd_data_out_0_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2058 = _T_2042 | _T_2043; // @[Mux.scala 27:72] - wire _T_2014 = bht_rd_addr_hashed_p1_f == 8'h2; // @[ifu_bp_ctl.scala 540:85] + wire _T_2014 = bht_rd_addr_hashed_p1_f == 8'h2; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_2; // @[Reg.scala 27:20] wire [1:0] _T_2044 = _T_2014 ? bht_bank_rd_data_out_0_2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2059 = _T_2058 | _T_2044; // @[Mux.scala 27:72] - wire _T_2016 = bht_rd_addr_hashed_p1_f == 8'h3; // @[ifu_bp_ctl.scala 540:85] + wire _T_2016 = bht_rd_addr_hashed_p1_f == 8'h3; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_3; // @[Reg.scala 27:20] wire [1:0] _T_2045 = _T_2016 ? bht_bank_rd_data_out_0_3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2060 = _T_2059 | _T_2045; // @[Mux.scala 27:72] - wire _T_2018 = bht_rd_addr_hashed_p1_f == 8'h4; // @[ifu_bp_ctl.scala 540:85] + wire _T_2018 = bht_rd_addr_hashed_p1_f == 8'h4; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_4; // @[Reg.scala 27:20] wire [1:0] _T_2046 = _T_2018 ? bht_bank_rd_data_out_0_4 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2061 = _T_2060 | _T_2046; // @[Mux.scala 27:72] - wire _T_2020 = bht_rd_addr_hashed_p1_f == 8'h5; // @[ifu_bp_ctl.scala 540:85] + wire _T_2020 = bht_rd_addr_hashed_p1_f == 8'h5; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_5; // @[Reg.scala 27:20] wire [1:0] _T_2047 = _T_2020 ? bht_bank_rd_data_out_0_5 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2062 = _T_2061 | _T_2047; // @[Mux.scala 27:72] - wire _T_2022 = bht_rd_addr_hashed_p1_f == 8'h6; // @[ifu_bp_ctl.scala 540:85] + wire _T_2022 = bht_rd_addr_hashed_p1_f == 8'h6; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_6; // @[Reg.scala 27:20] wire [1:0] _T_2048 = _T_2022 ? bht_bank_rd_data_out_0_6 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2063 = _T_2062 | _T_2048; // @[Mux.scala 27:72] - wire _T_2024 = bht_rd_addr_hashed_p1_f == 8'h7; // @[ifu_bp_ctl.scala 540:85] + wire _T_2024 = bht_rd_addr_hashed_p1_f == 8'h7; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_7; // @[Reg.scala 27:20] wire [1:0] _T_2049 = _T_2024 ? bht_bank_rd_data_out_0_7 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2064 = _T_2063 | _T_2049; // @[Mux.scala 27:72] - wire _T_2026 = bht_rd_addr_hashed_p1_f == 8'h8; // @[ifu_bp_ctl.scala 540:85] + wire _T_2026 = bht_rd_addr_hashed_p1_f == 8'h8; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_8; // @[Reg.scala 27:20] wire [1:0] _T_2050 = _T_2026 ? bht_bank_rd_data_out_0_8 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2065 = _T_2064 | _T_2050; // @[Mux.scala 27:72] - wire _T_2028 = bht_rd_addr_hashed_p1_f == 8'h9; // @[ifu_bp_ctl.scala 540:85] + wire _T_2028 = bht_rd_addr_hashed_p1_f == 8'h9; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_9; // @[Reg.scala 27:20] wire [1:0] _T_2051 = _T_2028 ? bht_bank_rd_data_out_0_9 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2066 = _T_2065 | _T_2051; // @[Mux.scala 27:72] - wire _T_2030 = bht_rd_addr_hashed_p1_f == 8'ha; // @[ifu_bp_ctl.scala 540:85] + wire _T_2030 = bht_rd_addr_hashed_p1_f == 8'ha; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_10; // @[Reg.scala 27:20] wire [1:0] _T_2052 = _T_2030 ? bht_bank_rd_data_out_0_10 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2067 = _T_2066 | _T_2052; // @[Mux.scala 27:72] - wire _T_2032 = bht_rd_addr_hashed_p1_f == 8'hb; // @[ifu_bp_ctl.scala 540:85] + wire _T_2032 = bht_rd_addr_hashed_p1_f == 8'hb; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_11; // @[Reg.scala 27:20] wire [1:0] _T_2053 = _T_2032 ? bht_bank_rd_data_out_0_11 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2068 = _T_2067 | _T_2053; // @[Mux.scala 27:72] - wire _T_2034 = bht_rd_addr_hashed_p1_f == 8'hc; // @[ifu_bp_ctl.scala 540:85] + wire _T_2034 = bht_rd_addr_hashed_p1_f == 8'hc; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_12; // @[Reg.scala 27:20] wire [1:0] _T_2054 = _T_2034 ? bht_bank_rd_data_out_0_12 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2069 = _T_2068 | _T_2054; // @[Mux.scala 27:72] - wire _T_2036 = bht_rd_addr_hashed_p1_f == 8'hd; // @[ifu_bp_ctl.scala 540:85] + wire _T_2036 = bht_rd_addr_hashed_p1_f == 8'hd; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_13; // @[Reg.scala 27:20] wire [1:0] _T_2055 = _T_2036 ? bht_bank_rd_data_out_0_13 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2070 = _T_2069 | _T_2055; // @[Mux.scala 27:72] - wire _T_2038 = bht_rd_addr_hashed_p1_f == 8'he; // @[ifu_bp_ctl.scala 540:85] + wire _T_2038 = bht_rd_addr_hashed_p1_f == 8'he; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_14; // @[Reg.scala 27:20] wire [1:0] _T_2056 = _T_2038 ? bht_bank_rd_data_out_0_14 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2071 = _T_2070 | _T_2056; // @[Mux.scala 27:72] - wire _T_2040 = bht_rd_addr_hashed_p1_f == 8'hf; // @[ifu_bp_ctl.scala 540:85] + wire _T_2040 = bht_rd_addr_hashed_p1_f == 8'hf; // @[ifu_bp_ctl.scala 541:85] reg [1:0] bht_bank_rd_data_out_0_15; // @[Reg.scala 27:20] wire [1:0] _T_2057 = _T_2040 ? bht_bank_rd_data_out_0_15 : 2'h0; // @[Mux.scala 27:72] wire [1:0] bht_bank0_rd_data_p1_f = _T_2071 | _T_2057; // @[Mux.scala 27:72] wire [1:0] _T_252 = io_ifc_fetch_addr_f[0] ? bht_bank0_rd_data_p1_f : 2'h0; // @[Mux.scala 27:72] wire [1:0] bht_vbank1_rd_data_f = _T_251 | _T_252; // @[Mux.scala 27:72] wire [1:0] _T_599 = io_ifc_fetch_addr_f[0] ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_708 = btb_rd_addr_f == 8'h0; // @[ifu_bp_ctl.scala 444:80] + wire _T_708 = btb_rd_addr_f == 8'h0; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_0; // @[Reg.scala 27:20] wire [21:0] _T_740 = _T_708 ? btb_bank0_rd_data_way0_out_0 : 22'h0; // @[Mux.scala 27:72] - wire _T_710 = btb_rd_addr_f == 8'h1; // @[ifu_bp_ctl.scala 444:80] + wire _T_710 = btb_rd_addr_f == 8'h1; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_1; // @[Reg.scala 27:20] wire [21:0] _T_741 = _T_710 ? btb_bank0_rd_data_way0_out_1 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_756 = _T_740 | _T_741; // @[Mux.scala 27:72] - wire _T_712 = btb_rd_addr_f == 8'h2; // @[ifu_bp_ctl.scala 444:80] + wire _T_712 = btb_rd_addr_f == 8'h2; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_2; // @[Reg.scala 27:20] wire [21:0] _T_742 = _T_712 ? btb_bank0_rd_data_way0_out_2 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_757 = _T_756 | _T_742; // @[Mux.scala 27:72] - wire _T_714 = btb_rd_addr_f == 8'h3; // @[ifu_bp_ctl.scala 444:80] + wire _T_714 = btb_rd_addr_f == 8'h3; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_3; // @[Reg.scala 27:20] wire [21:0] _T_743 = _T_714 ? btb_bank0_rd_data_way0_out_3 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_758 = _T_757 | _T_743; // @[Mux.scala 27:72] - wire _T_716 = btb_rd_addr_f == 8'h4; // @[ifu_bp_ctl.scala 444:80] + wire _T_716 = btb_rd_addr_f == 8'h4; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_4; // @[Reg.scala 27:20] wire [21:0] _T_744 = _T_716 ? btb_bank0_rd_data_way0_out_4 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_759 = _T_758 | _T_744; // @[Mux.scala 27:72] - wire _T_718 = btb_rd_addr_f == 8'h5; // @[ifu_bp_ctl.scala 444:80] + wire _T_718 = btb_rd_addr_f == 8'h5; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_5; // @[Reg.scala 27:20] wire [21:0] _T_745 = _T_718 ? btb_bank0_rd_data_way0_out_5 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_760 = _T_759 | _T_745; // @[Mux.scala 27:72] - wire _T_720 = btb_rd_addr_f == 8'h6; // @[ifu_bp_ctl.scala 444:80] + wire _T_720 = btb_rd_addr_f == 8'h6; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_6; // @[Reg.scala 27:20] wire [21:0] _T_746 = _T_720 ? btb_bank0_rd_data_way0_out_6 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_761 = _T_760 | _T_746; // @[Mux.scala 27:72] - wire _T_722 = btb_rd_addr_f == 8'h7; // @[ifu_bp_ctl.scala 444:80] + wire _T_722 = btb_rd_addr_f == 8'h7; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_7; // @[Reg.scala 27:20] wire [21:0] _T_747 = _T_722 ? btb_bank0_rd_data_way0_out_7 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_762 = _T_761 | _T_747; // @[Mux.scala 27:72] - wire _T_724 = btb_rd_addr_f == 8'h8; // @[ifu_bp_ctl.scala 444:80] + wire _T_724 = btb_rd_addr_f == 8'h8; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_8; // @[Reg.scala 27:20] wire [21:0] _T_748 = _T_724 ? btb_bank0_rd_data_way0_out_8 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_763 = _T_762 | _T_748; // @[Mux.scala 27:72] - wire _T_726 = btb_rd_addr_f == 8'h9; // @[ifu_bp_ctl.scala 444:80] + wire _T_726 = btb_rd_addr_f == 8'h9; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_9; // @[Reg.scala 27:20] wire [21:0] _T_749 = _T_726 ? btb_bank0_rd_data_way0_out_9 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_764 = _T_763 | _T_749; // @[Mux.scala 27:72] - wire _T_728 = btb_rd_addr_f == 8'ha; // @[ifu_bp_ctl.scala 444:80] + wire _T_728 = btb_rd_addr_f == 8'ha; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_10; // @[Reg.scala 27:20] wire [21:0] _T_750 = _T_728 ? btb_bank0_rd_data_way0_out_10 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_765 = _T_764 | _T_750; // @[Mux.scala 27:72] - wire _T_730 = btb_rd_addr_f == 8'hb; // @[ifu_bp_ctl.scala 444:80] + wire _T_730 = btb_rd_addr_f == 8'hb; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_11; // @[Reg.scala 27:20] wire [21:0] _T_751 = _T_730 ? btb_bank0_rd_data_way0_out_11 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_766 = _T_765 | _T_751; // @[Mux.scala 27:72] - wire _T_732 = btb_rd_addr_f == 8'hc; // @[ifu_bp_ctl.scala 444:80] + wire _T_732 = btb_rd_addr_f == 8'hc; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_12; // @[Reg.scala 27:20] wire [21:0] _T_752 = _T_732 ? btb_bank0_rd_data_way0_out_12 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_767 = _T_766 | _T_752; // @[Mux.scala 27:72] - wire _T_734 = btb_rd_addr_f == 8'hd; // @[ifu_bp_ctl.scala 444:80] + wire _T_734 = btb_rd_addr_f == 8'hd; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_13; // @[Reg.scala 27:20] wire [21:0] _T_753 = _T_734 ? btb_bank0_rd_data_way0_out_13 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_768 = _T_767 | _T_753; // @[Mux.scala 27:72] - wire _T_736 = btb_rd_addr_f == 8'he; // @[ifu_bp_ctl.scala 444:80] + wire _T_736 = btb_rd_addr_f == 8'he; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_14; // @[Reg.scala 27:20] wire [21:0] _T_754 = _T_736 ? btb_bank0_rd_data_way0_out_14 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_769 = _T_768 | _T_754; // @[Mux.scala 27:72] - wire _T_738 = btb_rd_addr_f == 8'hf; // @[ifu_bp_ctl.scala 444:80] + wire _T_738 = btb_rd_addr_f == 8'hf; // @[ifu_bp_ctl.scala 445:80] reg [21:0] btb_bank0_rd_data_way0_out_15; // @[Reg.scala 27:20] wire [21:0] _T_755 = _T_738 ? btb_bank0_rd_data_way0_out_15 : 22'h0; // @[Mux.scala 27:72] wire [21:0] btb_bank0_rd_data_way0_f = _T_769 | _T_755; // @[Mux.scala 27:72] @@ -515,53 +515,53 @@ module ifu_bp_ctl( wire _T_97 = tag_match_way1_f & _T_96; // @[ifu_bp_ctl.scala 163:22] wire [1:0] tag_match_way1_expanded_f = {_T_92,_T_97}; // @[Cat.scala 29:58] wire [1:0] wayhit_f = tag_match_way0_expanded_f | tag_match_way1_expanded_f; // @[ifu_bp_ctl.scala 172:41] - wire [1:0] _T_601 = _T_599 & wayhit_f; // @[ifu_bp_ctl.scala 439:49] + wire [1:0] _T_601 = _T_599 & wayhit_f; // @[ifu_bp_ctl.scala 440:48] wire [1:0] _T_604 = io_ifc_fetch_addr_f[1] ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_836 = btb_rd_addr_p1_f == 8'h0; // @[ifu_bp_ctl.scala 448:86] + wire _T_836 = btb_rd_addr_p1_f == 8'h0; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_868 = _T_836 ? btb_bank0_rd_data_way0_out_0 : 22'h0; // @[Mux.scala 27:72] - wire _T_838 = btb_rd_addr_p1_f == 8'h1; // @[ifu_bp_ctl.scala 448:86] + wire _T_838 = btb_rd_addr_p1_f == 8'h1; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_869 = _T_838 ? btb_bank0_rd_data_way0_out_1 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_884 = _T_868 | _T_869; // @[Mux.scala 27:72] - wire _T_840 = btb_rd_addr_p1_f == 8'h2; // @[ifu_bp_ctl.scala 448:86] + wire _T_840 = btb_rd_addr_p1_f == 8'h2; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_870 = _T_840 ? btb_bank0_rd_data_way0_out_2 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_885 = _T_884 | _T_870; // @[Mux.scala 27:72] - wire _T_842 = btb_rd_addr_p1_f == 8'h3; // @[ifu_bp_ctl.scala 448:86] + wire _T_842 = btb_rd_addr_p1_f == 8'h3; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_871 = _T_842 ? btb_bank0_rd_data_way0_out_3 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_886 = _T_885 | _T_871; // @[Mux.scala 27:72] - wire _T_844 = btb_rd_addr_p1_f == 8'h4; // @[ifu_bp_ctl.scala 448:86] + wire _T_844 = btb_rd_addr_p1_f == 8'h4; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_872 = _T_844 ? btb_bank0_rd_data_way0_out_4 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_887 = _T_886 | _T_872; // @[Mux.scala 27:72] - wire _T_846 = btb_rd_addr_p1_f == 8'h5; // @[ifu_bp_ctl.scala 448:86] + wire _T_846 = btb_rd_addr_p1_f == 8'h5; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_873 = _T_846 ? btb_bank0_rd_data_way0_out_5 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_888 = _T_887 | _T_873; // @[Mux.scala 27:72] - wire _T_848 = btb_rd_addr_p1_f == 8'h6; // @[ifu_bp_ctl.scala 448:86] + wire _T_848 = btb_rd_addr_p1_f == 8'h6; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_874 = _T_848 ? btb_bank0_rd_data_way0_out_6 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_889 = _T_888 | _T_874; // @[Mux.scala 27:72] - wire _T_850 = btb_rd_addr_p1_f == 8'h7; // @[ifu_bp_ctl.scala 448:86] + wire _T_850 = btb_rd_addr_p1_f == 8'h7; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_875 = _T_850 ? btb_bank0_rd_data_way0_out_7 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_890 = _T_889 | _T_875; // @[Mux.scala 27:72] - wire _T_852 = btb_rd_addr_p1_f == 8'h8; // @[ifu_bp_ctl.scala 448:86] + wire _T_852 = btb_rd_addr_p1_f == 8'h8; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_876 = _T_852 ? btb_bank0_rd_data_way0_out_8 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_891 = _T_890 | _T_876; // @[Mux.scala 27:72] - wire _T_854 = btb_rd_addr_p1_f == 8'h9; // @[ifu_bp_ctl.scala 448:86] + wire _T_854 = btb_rd_addr_p1_f == 8'h9; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_877 = _T_854 ? btb_bank0_rd_data_way0_out_9 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_892 = _T_891 | _T_877; // @[Mux.scala 27:72] - wire _T_856 = btb_rd_addr_p1_f == 8'ha; // @[ifu_bp_ctl.scala 448:86] + wire _T_856 = btb_rd_addr_p1_f == 8'ha; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_878 = _T_856 ? btb_bank0_rd_data_way0_out_10 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_893 = _T_892 | _T_878; // @[Mux.scala 27:72] - wire _T_858 = btb_rd_addr_p1_f == 8'hb; // @[ifu_bp_ctl.scala 448:86] + wire _T_858 = btb_rd_addr_p1_f == 8'hb; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_879 = _T_858 ? btb_bank0_rd_data_way0_out_11 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_894 = _T_893 | _T_879; // @[Mux.scala 27:72] - wire _T_860 = btb_rd_addr_p1_f == 8'hc; // @[ifu_bp_ctl.scala 448:86] + wire _T_860 = btb_rd_addr_p1_f == 8'hc; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_880 = _T_860 ? btb_bank0_rd_data_way0_out_12 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_895 = _T_894 | _T_880; // @[Mux.scala 27:72] - wire _T_862 = btb_rd_addr_p1_f == 8'hd; // @[ifu_bp_ctl.scala 448:86] + wire _T_862 = btb_rd_addr_p1_f == 8'hd; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_881 = _T_862 ? btb_bank0_rd_data_way0_out_13 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_896 = _T_895 | _T_881; // @[Mux.scala 27:72] - wire _T_864 = btb_rd_addr_p1_f == 8'he; // @[ifu_bp_ctl.scala 448:86] + wire _T_864 = btb_rd_addr_p1_f == 8'he; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_882 = _T_864 ? btb_bank0_rd_data_way0_out_14 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_897 = _T_896 | _T_882; // @[Mux.scala 27:72] - wire _T_866 = btb_rd_addr_p1_f == 8'hf; // @[ifu_bp_ctl.scala 448:86] + wire _T_866 = btb_rd_addr_p1_f == 8'hf; // @[ifu_bp_ctl.scala 449:86] wire [21:0] _T_883 = _T_866 ? btb_bank0_rd_data_way0_out_15 : 22'h0; // @[Mux.scala 27:72] wire [21:0] btb_bank0_rd_data_way0_p1_f = _T_897 | _T_883; // @[Mux.scala 27:72] wire [4:0] _T_35 = _T_8[13:9] ^ _T_8[18:14]; // @[lib.scala 42:111] @@ -624,15 +624,15 @@ module ifu_bp_ctl( wire [1:0] tag_match_way1_expanded_p1_f = {_T_110,_T_115}; // @[Cat.scala 29:58] wire [1:0] wayhit_p1_f = tag_match_way0_expanded_p1_f | tag_match_way1_expanded_p1_f; // @[ifu_bp_ctl.scala 174:47] wire [1:0] _T_607 = {wayhit_p1_f[0],wayhit_f[1]}; // @[Cat.scala 29:58] - wire [1:0] _T_608 = _T_604 & _T_607; // @[ifu_bp_ctl.scala 439:100] - wire [1:0] _T_609 = _T_601 | _T_608; // @[ifu_bp_ctl.scala 439:66] + wire [1:0] _T_608 = _T_604 & _T_607; // @[ifu_bp_ctl.scala 440:100] wire eoc_near = &io_ifc_fetch_addr_f[4:2]; // @[ifu_bp_ctl.scala 257:64] wire _T_210 = ~eoc_near; // @[ifu_bp_ctl.scala 259:15] wire [1:0] _T_212 = ~io_ifc_fetch_addr_f[1:0]; // @[ifu_bp_ctl.scala 259:28] wire _T_213 = |_T_212; // @[ifu_bp_ctl.scala 259:58] wire eoc_mask = _T_210 | _T_213; // @[ifu_bp_ctl.scala 259:25] - wire [1:0] _T_610 = {eoc_mask,1'h1}; // @[Cat.scala 29:58] - wire [1:0] vwayhit_f = _T_609 & _T_610; // @[ifu_bp_ctl.scala 439:136] + wire [1:0] _T_609 = {eoc_mask,1'h1}; // @[Cat.scala 29:58] + wire [1:0] _T_610 = _T_608 & _T_609; // @[ifu_bp_ctl.scala 440:135] + wire [1:0] vwayhit_f = _T_601 | _T_610; // @[ifu_bp_ctl.scala 440:65] wire _T_258 = bht_vbank1_rd_data_f[1] & vwayhit_f[1]; // @[ifu_bp_ctl.scala 296:69] wire [1:0] _T_1914 = _T_1946 ? bht_bank_rd_data_out_0_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1915 = _T_1948 ? bht_bank_rd_data_out_0_1 : 2'h0; // @[Mux.scala 27:72] @@ -811,182 +811,182 @@ module ifu_bp_ctl( wire _T_595 = io_dec_bp_dec_tlu_br0_r_pkt_bits_way & dec_tlu_error_wb; // @[ifu_bp_ctl.scala 435:98] wire btb_wr_en_way1 = _T_594 | _T_595; // @[ifu_bp_ctl.scala 435:80] wire [7:0] btb_wr_addr = dec_tlu_error_wb ? io_exu_bp_exu_i0_br_index_r : io_exu_bp_exu_mp_index; // @[ifu_bp_ctl.scala 438:24] - wire _T_612 = btb_wr_addr == 8'h0; // @[ifu_bp_ctl.scala 441:98] - wire _T_613 = _T_612 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_615 = btb_wr_addr == 8'h1; // @[ifu_bp_ctl.scala 441:98] - wire _T_616 = _T_615 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_618 = btb_wr_addr == 8'h2; // @[ifu_bp_ctl.scala 441:98] - wire _T_619 = _T_618 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_621 = btb_wr_addr == 8'h3; // @[ifu_bp_ctl.scala 441:98] - wire _T_622 = _T_621 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_624 = btb_wr_addr == 8'h4; // @[ifu_bp_ctl.scala 441:98] - wire _T_625 = _T_624 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_627 = btb_wr_addr == 8'h5; // @[ifu_bp_ctl.scala 441:98] - wire _T_628 = _T_627 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_630 = btb_wr_addr == 8'h6; // @[ifu_bp_ctl.scala 441:98] - wire _T_631 = _T_630 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_633 = btb_wr_addr == 8'h7; // @[ifu_bp_ctl.scala 441:98] - wire _T_634 = _T_633 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_636 = btb_wr_addr == 8'h8; // @[ifu_bp_ctl.scala 441:98] - wire _T_637 = _T_636 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_639 = btb_wr_addr == 8'h9; // @[ifu_bp_ctl.scala 441:98] - wire _T_640 = _T_639 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_642 = btb_wr_addr == 8'ha; // @[ifu_bp_ctl.scala 441:98] - wire _T_643 = _T_642 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_645 = btb_wr_addr == 8'hb; // @[ifu_bp_ctl.scala 441:98] - wire _T_646 = _T_645 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_648 = btb_wr_addr == 8'hc; // @[ifu_bp_ctl.scala 441:98] - wire _T_649 = _T_648 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_651 = btb_wr_addr == 8'hd; // @[ifu_bp_ctl.scala 441:98] - wire _T_652 = _T_651 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_654 = btb_wr_addr == 8'he; // @[ifu_bp_ctl.scala 441:98] - wire _T_655 = _T_654 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_657 = btb_wr_addr == 8'hf; // @[ifu_bp_ctl.scala 441:98] - wire _T_658 = _T_657 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 441:107] - wire _T_661 = _T_612 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_664 = _T_615 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_667 = _T_618 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_670 = _T_621 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_673 = _T_624 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_676 = _T_627 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_679 = _T_630 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_682 = _T_633 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_685 = _T_636 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_688 = _T_639 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_691 = _T_642 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_694 = _T_645 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_697 = _T_648 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_700 = _T_651 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_703 = _T_654 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_706 = _T_657 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 442:107] - wire _T_966 = mp_hashed == 8'h0; // @[ifu_bp_ctl.scala 514:109] - wire _T_971 = br0_hashed_wb == 8'h0; // @[ifu_bp_ctl.scala 515:109] - wire _T_989 = bht_wr_en2[0] & _T_971; // @[ifu_bp_ctl.scala 520:23] - wire _T_997 = br0_hashed_wb == 8'h1; // @[ifu_bp_ctl.scala 520:74] - wire _T_998 = bht_wr_en2[0] & _T_997; // @[ifu_bp_ctl.scala 520:23] - wire _T_1006 = br0_hashed_wb == 8'h2; // @[ifu_bp_ctl.scala 520:74] - wire _T_1007 = bht_wr_en2[0] & _T_1006; // @[ifu_bp_ctl.scala 520:23] - wire _T_1015 = br0_hashed_wb == 8'h3; // @[ifu_bp_ctl.scala 520:74] - wire _T_1016 = bht_wr_en2[0] & _T_1015; // @[ifu_bp_ctl.scala 520:23] - wire _T_1024 = br0_hashed_wb == 8'h4; // @[ifu_bp_ctl.scala 520:74] - wire _T_1025 = bht_wr_en2[0] & _T_1024; // @[ifu_bp_ctl.scala 520:23] - wire _T_1033 = br0_hashed_wb == 8'h5; // @[ifu_bp_ctl.scala 520:74] - wire _T_1034 = bht_wr_en2[0] & _T_1033; // @[ifu_bp_ctl.scala 520:23] - wire _T_1042 = br0_hashed_wb == 8'h6; // @[ifu_bp_ctl.scala 520:74] - wire _T_1043 = bht_wr_en2[0] & _T_1042; // @[ifu_bp_ctl.scala 520:23] - wire _T_1051 = br0_hashed_wb == 8'h7; // @[ifu_bp_ctl.scala 520:74] - wire _T_1052 = bht_wr_en2[0] & _T_1051; // @[ifu_bp_ctl.scala 520:23] - wire _T_1060 = br0_hashed_wb == 8'h8; // @[ifu_bp_ctl.scala 520:74] - wire _T_1061 = bht_wr_en2[0] & _T_1060; // @[ifu_bp_ctl.scala 520:23] - wire _T_1069 = br0_hashed_wb == 8'h9; // @[ifu_bp_ctl.scala 520:74] - wire _T_1070 = bht_wr_en2[0] & _T_1069; // @[ifu_bp_ctl.scala 520:23] - wire _T_1078 = br0_hashed_wb == 8'ha; // @[ifu_bp_ctl.scala 520:74] - wire _T_1079 = bht_wr_en2[0] & _T_1078; // @[ifu_bp_ctl.scala 520:23] - wire _T_1087 = br0_hashed_wb == 8'hb; // @[ifu_bp_ctl.scala 520:74] - wire _T_1088 = bht_wr_en2[0] & _T_1087; // @[ifu_bp_ctl.scala 520:23] - wire _T_1096 = br0_hashed_wb == 8'hc; // @[ifu_bp_ctl.scala 520:74] - wire _T_1097 = bht_wr_en2[0] & _T_1096; // @[ifu_bp_ctl.scala 520:23] - wire _T_1105 = br0_hashed_wb == 8'hd; // @[ifu_bp_ctl.scala 520:74] - wire _T_1106 = bht_wr_en2[0] & _T_1105; // @[ifu_bp_ctl.scala 520:23] - wire _T_1114 = br0_hashed_wb == 8'he; // @[ifu_bp_ctl.scala 520:74] - wire _T_1115 = bht_wr_en2[0] & _T_1114; // @[ifu_bp_ctl.scala 520:23] - wire _T_1123 = br0_hashed_wb == 8'hf; // @[ifu_bp_ctl.scala 520:74] - wire _T_1124 = bht_wr_en2[0] & _T_1123; // @[ifu_bp_ctl.scala 520:23] - wire _T_1133 = bht_wr_en2[1] & _T_971; // @[ifu_bp_ctl.scala 520:23] - wire _T_1142 = bht_wr_en2[1] & _T_997; // @[ifu_bp_ctl.scala 520:23] - wire _T_1151 = bht_wr_en2[1] & _T_1006; // @[ifu_bp_ctl.scala 520:23] - wire _T_1160 = bht_wr_en2[1] & _T_1015; // @[ifu_bp_ctl.scala 520:23] - wire _T_1169 = bht_wr_en2[1] & _T_1024; // @[ifu_bp_ctl.scala 520:23] - wire _T_1178 = bht_wr_en2[1] & _T_1033; // @[ifu_bp_ctl.scala 520:23] - wire _T_1187 = bht_wr_en2[1] & _T_1042; // @[ifu_bp_ctl.scala 520:23] - wire _T_1196 = bht_wr_en2[1] & _T_1051; // @[ifu_bp_ctl.scala 520:23] - wire _T_1205 = bht_wr_en2[1] & _T_1060; // @[ifu_bp_ctl.scala 520:23] - wire _T_1214 = bht_wr_en2[1] & _T_1069; // @[ifu_bp_ctl.scala 520:23] - wire _T_1223 = bht_wr_en2[1] & _T_1078; // @[ifu_bp_ctl.scala 520:23] - wire _T_1232 = bht_wr_en2[1] & _T_1087; // @[ifu_bp_ctl.scala 520:23] - wire _T_1241 = bht_wr_en2[1] & _T_1096; // @[ifu_bp_ctl.scala 520:23] - wire _T_1250 = bht_wr_en2[1] & _T_1105; // @[ifu_bp_ctl.scala 520:23] - wire _T_1259 = bht_wr_en2[1] & _T_1114; // @[ifu_bp_ctl.scala 520:23] - wire _T_1268 = bht_wr_en2[1] & _T_1123; // @[ifu_bp_ctl.scala 520:23] - wire _T_1277 = bht_wr_en0[0] & _T_966; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_0 = _T_1277 | _T_989; // @[ifu_bp_ctl.scala 528:223] - wire _T_1293 = mp_hashed == 8'h1; // @[ifu_bp_ctl.scala 528:97] - wire _T_1294 = bht_wr_en0[0] & _T_1293; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_1 = _T_1294 | _T_998; // @[ifu_bp_ctl.scala 528:223] - wire _T_1310 = mp_hashed == 8'h2; // @[ifu_bp_ctl.scala 528:97] - wire _T_1311 = bht_wr_en0[0] & _T_1310; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_2 = _T_1311 | _T_1007; // @[ifu_bp_ctl.scala 528:223] - wire _T_1327 = mp_hashed == 8'h3; // @[ifu_bp_ctl.scala 528:97] - wire _T_1328 = bht_wr_en0[0] & _T_1327; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_3 = _T_1328 | _T_1016; // @[ifu_bp_ctl.scala 528:223] - wire _T_1344 = mp_hashed == 8'h4; // @[ifu_bp_ctl.scala 528:97] - wire _T_1345 = bht_wr_en0[0] & _T_1344; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_4 = _T_1345 | _T_1025; // @[ifu_bp_ctl.scala 528:223] - wire _T_1361 = mp_hashed == 8'h5; // @[ifu_bp_ctl.scala 528:97] - wire _T_1362 = bht_wr_en0[0] & _T_1361; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_5 = _T_1362 | _T_1034; // @[ifu_bp_ctl.scala 528:223] - wire _T_1378 = mp_hashed == 8'h6; // @[ifu_bp_ctl.scala 528:97] - wire _T_1379 = bht_wr_en0[0] & _T_1378; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_6 = _T_1379 | _T_1043; // @[ifu_bp_ctl.scala 528:223] - wire _T_1395 = mp_hashed == 8'h7; // @[ifu_bp_ctl.scala 528:97] - wire _T_1396 = bht_wr_en0[0] & _T_1395; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_7 = _T_1396 | _T_1052; // @[ifu_bp_ctl.scala 528:223] - wire _T_1412 = mp_hashed == 8'h8; // @[ifu_bp_ctl.scala 528:97] - wire _T_1413 = bht_wr_en0[0] & _T_1412; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_8 = _T_1413 | _T_1061; // @[ifu_bp_ctl.scala 528:223] - wire _T_1429 = mp_hashed == 8'h9; // @[ifu_bp_ctl.scala 528:97] - wire _T_1430 = bht_wr_en0[0] & _T_1429; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_9 = _T_1430 | _T_1070; // @[ifu_bp_ctl.scala 528:223] - wire _T_1446 = mp_hashed == 8'ha; // @[ifu_bp_ctl.scala 528:97] - wire _T_1447 = bht_wr_en0[0] & _T_1446; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_10 = _T_1447 | _T_1079; // @[ifu_bp_ctl.scala 528:223] - wire _T_1463 = mp_hashed == 8'hb; // @[ifu_bp_ctl.scala 528:97] - wire _T_1464 = bht_wr_en0[0] & _T_1463; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_11 = _T_1464 | _T_1088; // @[ifu_bp_ctl.scala 528:223] - wire _T_1480 = mp_hashed == 8'hc; // @[ifu_bp_ctl.scala 528:97] - wire _T_1481 = bht_wr_en0[0] & _T_1480; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_12 = _T_1481 | _T_1097; // @[ifu_bp_ctl.scala 528:223] - wire _T_1497 = mp_hashed == 8'hd; // @[ifu_bp_ctl.scala 528:97] - wire _T_1498 = bht_wr_en0[0] & _T_1497; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_13 = _T_1498 | _T_1106; // @[ifu_bp_ctl.scala 528:223] - wire _T_1514 = mp_hashed == 8'he; // @[ifu_bp_ctl.scala 528:97] - wire _T_1515 = bht_wr_en0[0] & _T_1514; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_14 = _T_1515 | _T_1115; // @[ifu_bp_ctl.scala 528:223] - wire _T_1531 = mp_hashed == 8'hf; // @[ifu_bp_ctl.scala 528:97] - wire _T_1532 = bht_wr_en0[0] & _T_1531; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_0_0_15 = _T_1532 | _T_1124; // @[ifu_bp_ctl.scala 528:223] - wire _T_1549 = bht_wr_en0[1] & _T_966; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_0 = _T_1549 | _T_1133; // @[ifu_bp_ctl.scala 528:223] - wire _T_1566 = bht_wr_en0[1] & _T_1293; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_1 = _T_1566 | _T_1142; // @[ifu_bp_ctl.scala 528:223] - wire _T_1583 = bht_wr_en0[1] & _T_1310; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_2 = _T_1583 | _T_1151; // @[ifu_bp_ctl.scala 528:223] - wire _T_1600 = bht_wr_en0[1] & _T_1327; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_3 = _T_1600 | _T_1160; // @[ifu_bp_ctl.scala 528:223] - wire _T_1617 = bht_wr_en0[1] & _T_1344; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_4 = _T_1617 | _T_1169; // @[ifu_bp_ctl.scala 528:223] - wire _T_1634 = bht_wr_en0[1] & _T_1361; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_5 = _T_1634 | _T_1178; // @[ifu_bp_ctl.scala 528:223] - wire _T_1651 = bht_wr_en0[1] & _T_1378; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_6 = _T_1651 | _T_1187; // @[ifu_bp_ctl.scala 528:223] - wire _T_1668 = bht_wr_en0[1] & _T_1395; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_7 = _T_1668 | _T_1196; // @[ifu_bp_ctl.scala 528:223] - wire _T_1685 = bht_wr_en0[1] & _T_1412; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_8 = _T_1685 | _T_1205; // @[ifu_bp_ctl.scala 528:223] - wire _T_1702 = bht_wr_en0[1] & _T_1429; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_9 = _T_1702 | _T_1214; // @[ifu_bp_ctl.scala 528:223] - wire _T_1719 = bht_wr_en0[1] & _T_1446; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_10 = _T_1719 | _T_1223; // @[ifu_bp_ctl.scala 528:223] - wire _T_1736 = bht_wr_en0[1] & _T_1463; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_11 = _T_1736 | _T_1232; // @[ifu_bp_ctl.scala 528:223] - wire _T_1753 = bht_wr_en0[1] & _T_1480; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_12 = _T_1753 | _T_1241; // @[ifu_bp_ctl.scala 528:223] - wire _T_1770 = bht_wr_en0[1] & _T_1497; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_13 = _T_1770 | _T_1250; // @[ifu_bp_ctl.scala 528:223] - wire _T_1787 = bht_wr_en0[1] & _T_1514; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_14 = _T_1787 | _T_1259; // @[ifu_bp_ctl.scala 528:223] - wire _T_1804 = bht_wr_en0[1] & _T_1531; // @[ifu_bp_ctl.scala 528:45] - wire bht_bank_sel_1_0_15 = _T_1804 | _T_1268; // @[ifu_bp_ctl.scala 528:223] + wire _T_612 = btb_wr_addr == 8'h0; // @[ifu_bp_ctl.scala 442:98] + wire _T_613 = _T_612 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_615 = btb_wr_addr == 8'h1; // @[ifu_bp_ctl.scala 442:98] + wire _T_616 = _T_615 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_618 = btb_wr_addr == 8'h2; // @[ifu_bp_ctl.scala 442:98] + wire _T_619 = _T_618 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_621 = btb_wr_addr == 8'h3; // @[ifu_bp_ctl.scala 442:98] + wire _T_622 = _T_621 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_624 = btb_wr_addr == 8'h4; // @[ifu_bp_ctl.scala 442:98] + wire _T_625 = _T_624 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_627 = btb_wr_addr == 8'h5; // @[ifu_bp_ctl.scala 442:98] + wire _T_628 = _T_627 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_630 = btb_wr_addr == 8'h6; // @[ifu_bp_ctl.scala 442:98] + wire _T_631 = _T_630 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_633 = btb_wr_addr == 8'h7; // @[ifu_bp_ctl.scala 442:98] + wire _T_634 = _T_633 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_636 = btb_wr_addr == 8'h8; // @[ifu_bp_ctl.scala 442:98] + wire _T_637 = _T_636 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_639 = btb_wr_addr == 8'h9; // @[ifu_bp_ctl.scala 442:98] + wire _T_640 = _T_639 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_642 = btb_wr_addr == 8'ha; // @[ifu_bp_ctl.scala 442:98] + wire _T_643 = _T_642 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_645 = btb_wr_addr == 8'hb; // @[ifu_bp_ctl.scala 442:98] + wire _T_646 = _T_645 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_648 = btb_wr_addr == 8'hc; // @[ifu_bp_ctl.scala 442:98] + wire _T_649 = _T_648 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_651 = btb_wr_addr == 8'hd; // @[ifu_bp_ctl.scala 442:98] + wire _T_652 = _T_651 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_654 = btb_wr_addr == 8'he; // @[ifu_bp_ctl.scala 442:98] + wire _T_655 = _T_654 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_657 = btb_wr_addr == 8'hf; // @[ifu_bp_ctl.scala 442:98] + wire _T_658 = _T_657 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] + wire _T_661 = _T_612 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_664 = _T_615 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_667 = _T_618 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_670 = _T_621 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_673 = _T_624 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_676 = _T_627 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_679 = _T_630 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_682 = _T_633 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_685 = _T_636 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_688 = _T_639 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_691 = _T_642 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_694 = _T_645 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_697 = _T_648 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_700 = _T_651 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_703 = _T_654 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_706 = _T_657 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] + wire _T_966 = mp_hashed == 8'h0; // @[ifu_bp_ctl.scala 515:109] + wire _T_971 = br0_hashed_wb == 8'h0; // @[ifu_bp_ctl.scala 516:109] + wire _T_989 = bht_wr_en2[0] & _T_971; // @[ifu_bp_ctl.scala 521:23] + wire _T_997 = br0_hashed_wb == 8'h1; // @[ifu_bp_ctl.scala 521:74] + wire _T_998 = bht_wr_en2[0] & _T_997; // @[ifu_bp_ctl.scala 521:23] + wire _T_1006 = br0_hashed_wb == 8'h2; // @[ifu_bp_ctl.scala 521:74] + wire _T_1007 = bht_wr_en2[0] & _T_1006; // @[ifu_bp_ctl.scala 521:23] + wire _T_1015 = br0_hashed_wb == 8'h3; // @[ifu_bp_ctl.scala 521:74] + wire _T_1016 = bht_wr_en2[0] & _T_1015; // @[ifu_bp_ctl.scala 521:23] + wire _T_1024 = br0_hashed_wb == 8'h4; // @[ifu_bp_ctl.scala 521:74] + wire _T_1025 = bht_wr_en2[0] & _T_1024; // @[ifu_bp_ctl.scala 521:23] + wire _T_1033 = br0_hashed_wb == 8'h5; // @[ifu_bp_ctl.scala 521:74] + wire _T_1034 = bht_wr_en2[0] & _T_1033; // @[ifu_bp_ctl.scala 521:23] + wire _T_1042 = br0_hashed_wb == 8'h6; // @[ifu_bp_ctl.scala 521:74] + wire _T_1043 = bht_wr_en2[0] & _T_1042; // @[ifu_bp_ctl.scala 521:23] + wire _T_1051 = br0_hashed_wb == 8'h7; // @[ifu_bp_ctl.scala 521:74] + wire _T_1052 = bht_wr_en2[0] & _T_1051; // @[ifu_bp_ctl.scala 521:23] + wire _T_1060 = br0_hashed_wb == 8'h8; // @[ifu_bp_ctl.scala 521:74] + wire _T_1061 = bht_wr_en2[0] & _T_1060; // @[ifu_bp_ctl.scala 521:23] + wire _T_1069 = br0_hashed_wb == 8'h9; // @[ifu_bp_ctl.scala 521:74] + wire _T_1070 = bht_wr_en2[0] & _T_1069; // @[ifu_bp_ctl.scala 521:23] + wire _T_1078 = br0_hashed_wb == 8'ha; // @[ifu_bp_ctl.scala 521:74] + wire _T_1079 = bht_wr_en2[0] & _T_1078; // @[ifu_bp_ctl.scala 521:23] + wire _T_1087 = br0_hashed_wb == 8'hb; // @[ifu_bp_ctl.scala 521:74] + wire _T_1088 = bht_wr_en2[0] & _T_1087; // @[ifu_bp_ctl.scala 521:23] + wire _T_1096 = br0_hashed_wb == 8'hc; // @[ifu_bp_ctl.scala 521:74] + wire _T_1097 = bht_wr_en2[0] & _T_1096; // @[ifu_bp_ctl.scala 521:23] + wire _T_1105 = br0_hashed_wb == 8'hd; // @[ifu_bp_ctl.scala 521:74] + wire _T_1106 = bht_wr_en2[0] & _T_1105; // @[ifu_bp_ctl.scala 521:23] + wire _T_1114 = br0_hashed_wb == 8'he; // @[ifu_bp_ctl.scala 521:74] + wire _T_1115 = bht_wr_en2[0] & _T_1114; // @[ifu_bp_ctl.scala 521:23] + wire _T_1123 = br0_hashed_wb == 8'hf; // @[ifu_bp_ctl.scala 521:74] + wire _T_1124 = bht_wr_en2[0] & _T_1123; // @[ifu_bp_ctl.scala 521:23] + wire _T_1133 = bht_wr_en2[1] & _T_971; // @[ifu_bp_ctl.scala 521:23] + wire _T_1142 = bht_wr_en2[1] & _T_997; // @[ifu_bp_ctl.scala 521:23] + wire _T_1151 = bht_wr_en2[1] & _T_1006; // @[ifu_bp_ctl.scala 521:23] + wire _T_1160 = bht_wr_en2[1] & _T_1015; // @[ifu_bp_ctl.scala 521:23] + wire _T_1169 = bht_wr_en2[1] & _T_1024; // @[ifu_bp_ctl.scala 521:23] + wire _T_1178 = bht_wr_en2[1] & _T_1033; // @[ifu_bp_ctl.scala 521:23] + wire _T_1187 = bht_wr_en2[1] & _T_1042; // @[ifu_bp_ctl.scala 521:23] + wire _T_1196 = bht_wr_en2[1] & _T_1051; // @[ifu_bp_ctl.scala 521:23] + wire _T_1205 = bht_wr_en2[1] & _T_1060; // @[ifu_bp_ctl.scala 521:23] + wire _T_1214 = bht_wr_en2[1] & _T_1069; // @[ifu_bp_ctl.scala 521:23] + wire _T_1223 = bht_wr_en2[1] & _T_1078; // @[ifu_bp_ctl.scala 521:23] + wire _T_1232 = bht_wr_en2[1] & _T_1087; // @[ifu_bp_ctl.scala 521:23] + wire _T_1241 = bht_wr_en2[1] & _T_1096; // @[ifu_bp_ctl.scala 521:23] + wire _T_1250 = bht_wr_en2[1] & _T_1105; // @[ifu_bp_ctl.scala 521:23] + wire _T_1259 = bht_wr_en2[1] & _T_1114; // @[ifu_bp_ctl.scala 521:23] + wire _T_1268 = bht_wr_en2[1] & _T_1123; // @[ifu_bp_ctl.scala 521:23] + wire _T_1277 = bht_wr_en0[0] & _T_966; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_0 = _T_1277 | _T_989; // @[ifu_bp_ctl.scala 529:223] + wire _T_1293 = mp_hashed == 8'h1; // @[ifu_bp_ctl.scala 529:97] + wire _T_1294 = bht_wr_en0[0] & _T_1293; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_1 = _T_1294 | _T_998; // @[ifu_bp_ctl.scala 529:223] + wire _T_1310 = mp_hashed == 8'h2; // @[ifu_bp_ctl.scala 529:97] + wire _T_1311 = bht_wr_en0[0] & _T_1310; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_2 = _T_1311 | _T_1007; // @[ifu_bp_ctl.scala 529:223] + wire _T_1327 = mp_hashed == 8'h3; // @[ifu_bp_ctl.scala 529:97] + wire _T_1328 = bht_wr_en0[0] & _T_1327; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_3 = _T_1328 | _T_1016; // @[ifu_bp_ctl.scala 529:223] + wire _T_1344 = mp_hashed == 8'h4; // @[ifu_bp_ctl.scala 529:97] + wire _T_1345 = bht_wr_en0[0] & _T_1344; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_4 = _T_1345 | _T_1025; // @[ifu_bp_ctl.scala 529:223] + wire _T_1361 = mp_hashed == 8'h5; // @[ifu_bp_ctl.scala 529:97] + wire _T_1362 = bht_wr_en0[0] & _T_1361; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_5 = _T_1362 | _T_1034; // @[ifu_bp_ctl.scala 529:223] + wire _T_1378 = mp_hashed == 8'h6; // @[ifu_bp_ctl.scala 529:97] + wire _T_1379 = bht_wr_en0[0] & _T_1378; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_6 = _T_1379 | _T_1043; // @[ifu_bp_ctl.scala 529:223] + wire _T_1395 = mp_hashed == 8'h7; // @[ifu_bp_ctl.scala 529:97] + wire _T_1396 = bht_wr_en0[0] & _T_1395; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_7 = _T_1396 | _T_1052; // @[ifu_bp_ctl.scala 529:223] + wire _T_1412 = mp_hashed == 8'h8; // @[ifu_bp_ctl.scala 529:97] + wire _T_1413 = bht_wr_en0[0] & _T_1412; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_8 = _T_1413 | _T_1061; // @[ifu_bp_ctl.scala 529:223] + wire _T_1429 = mp_hashed == 8'h9; // @[ifu_bp_ctl.scala 529:97] + wire _T_1430 = bht_wr_en0[0] & _T_1429; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_9 = _T_1430 | _T_1070; // @[ifu_bp_ctl.scala 529:223] + wire _T_1446 = mp_hashed == 8'ha; // @[ifu_bp_ctl.scala 529:97] + wire _T_1447 = bht_wr_en0[0] & _T_1446; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_10 = _T_1447 | _T_1079; // @[ifu_bp_ctl.scala 529:223] + wire _T_1463 = mp_hashed == 8'hb; // @[ifu_bp_ctl.scala 529:97] + wire _T_1464 = bht_wr_en0[0] & _T_1463; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_11 = _T_1464 | _T_1088; // @[ifu_bp_ctl.scala 529:223] + wire _T_1480 = mp_hashed == 8'hc; // @[ifu_bp_ctl.scala 529:97] + wire _T_1481 = bht_wr_en0[0] & _T_1480; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_12 = _T_1481 | _T_1097; // @[ifu_bp_ctl.scala 529:223] + wire _T_1497 = mp_hashed == 8'hd; // @[ifu_bp_ctl.scala 529:97] + wire _T_1498 = bht_wr_en0[0] & _T_1497; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_13 = _T_1498 | _T_1106; // @[ifu_bp_ctl.scala 529:223] + wire _T_1514 = mp_hashed == 8'he; // @[ifu_bp_ctl.scala 529:97] + wire _T_1515 = bht_wr_en0[0] & _T_1514; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_14 = _T_1515 | _T_1115; // @[ifu_bp_ctl.scala 529:223] + wire _T_1531 = mp_hashed == 8'hf; // @[ifu_bp_ctl.scala 529:97] + wire _T_1532 = bht_wr_en0[0] & _T_1531; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_0_0_15 = _T_1532 | _T_1124; // @[ifu_bp_ctl.scala 529:223] + wire _T_1549 = bht_wr_en0[1] & _T_966; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_0 = _T_1549 | _T_1133; // @[ifu_bp_ctl.scala 529:223] + wire _T_1566 = bht_wr_en0[1] & _T_1293; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_1 = _T_1566 | _T_1142; // @[ifu_bp_ctl.scala 529:223] + wire _T_1583 = bht_wr_en0[1] & _T_1310; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_2 = _T_1583 | _T_1151; // @[ifu_bp_ctl.scala 529:223] + wire _T_1600 = bht_wr_en0[1] & _T_1327; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_3 = _T_1600 | _T_1160; // @[ifu_bp_ctl.scala 529:223] + wire _T_1617 = bht_wr_en0[1] & _T_1344; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_4 = _T_1617 | _T_1169; // @[ifu_bp_ctl.scala 529:223] + wire _T_1634 = bht_wr_en0[1] & _T_1361; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_5 = _T_1634 | _T_1178; // @[ifu_bp_ctl.scala 529:223] + wire _T_1651 = bht_wr_en0[1] & _T_1378; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_6 = _T_1651 | _T_1187; // @[ifu_bp_ctl.scala 529:223] + wire _T_1668 = bht_wr_en0[1] & _T_1395; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_7 = _T_1668 | _T_1196; // @[ifu_bp_ctl.scala 529:223] + wire _T_1685 = bht_wr_en0[1] & _T_1412; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_8 = _T_1685 | _T_1205; // @[ifu_bp_ctl.scala 529:223] + wire _T_1702 = bht_wr_en0[1] & _T_1429; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_9 = _T_1702 | _T_1214; // @[ifu_bp_ctl.scala 529:223] + wire _T_1719 = bht_wr_en0[1] & _T_1446; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_10 = _T_1719 | _T_1223; // @[ifu_bp_ctl.scala 529:223] + wire _T_1736 = bht_wr_en0[1] & _T_1463; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_11 = _T_1736 | _T_1232; // @[ifu_bp_ctl.scala 529:223] + wire _T_1753 = bht_wr_en0[1] & _T_1480; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_12 = _T_1753 | _T_1241; // @[ifu_bp_ctl.scala 529:223] + wire _T_1770 = bht_wr_en0[1] & _T_1497; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_13 = _T_1770 | _T_1250; // @[ifu_bp_ctl.scala 529:223] + wire _T_1787 = bht_wr_en0[1] & _T_1514; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_14 = _T_1787 | _T_1259; // @[ifu_bp_ctl.scala 529:223] + wire _T_1804 = bht_wr_en0[1] & _T_1531; // @[ifu_bp_ctl.scala 529:45] + wire bht_bank_sel_1_0_15 = _T_1804 | _T_1268; // @[ifu_bp_ctl.scala 529:223] rvclkhdr rvclkhdr ( // @[lib.scala 399:23] .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) diff --git a/src/main/scala/ifu/ifu_bp_ctl.scala b/src/main/scala/ifu/ifu_bp_ctl.scala index 801b452a..39afaac3 100644 --- a/src/main/scala/ifu/ifu_bp_ctl.scala +++ b/src/main/scala/ifu/ifu_bp_ctl.scala @@ -436,7 +436,8 @@ if(!BTB_FULLYA) { // Writing is always done from dec or exu check if the dec have a valid data val btb_wr_addr = Mux(dec_tlu_error_wb.asBool, btb_error_addr_wb, exu_mp_addr) - vwayhit_f := ((Fill(2,io.ifc_fetch_addr_f(0)) & wayhit_f(1,0)) | (Fill(2,io.ifc_fetch_addr_f(1)) & Cat(wayhit_p1_f(0),wayhit_f(1)))) & Cat(eoc_mask,1.U) + + vwayhit_f := (Fill(2,io.ifc_fetch_addr_f(0)) & wayhit_f(1,0)) | ((Fill(2,io.ifc_fetch_addr_f(1)) & Cat(wayhit_p1_f(0),wayhit_f(1))) & Cat(eoc_mask,1.U)) val btb_bank0_rd_data_way0_out = (0 until LRU_SIZE).map(i => rvdffe(btb_wr_data, ((btb_wr_addr === i.U) & btb_wr_en_way0).asBool, clock, io.scan_mode)) val btb_bank0_rd_data_way1_out = (0 until LRU_SIZE).map(i => rvdffe(btb_wr_data, ((btb_wr_addr === i.U) & btb_wr_en_way1).asBool, clock, io.scan_mode)) diff --git a/target/scala-2.12/classes/ifu/bp$.class b/target/scala-2.12/classes/ifu/bp$.class index d77a5ff1..e490980e 100644 Binary files a/target/scala-2.12/classes/ifu/bp$.class and b/target/scala-2.12/classes/ifu/bp$.class differ diff --git a/target/scala-2.12/classes/ifu/bp$delayedInit$body.class b/target/scala-2.12/classes/ifu/bp$delayedInit$body.class index ea3bf5aa..f19fe8ce 100644 Binary files a/target/scala-2.12/classes/ifu/bp$delayedInit$body.class and b/target/scala-2.12/classes/ifu/bp$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class index 4b3f7dae..79d008d5 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class and b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class differ